TW589390B - Method of etching titanium nitride - Google Patents

Method of etching titanium nitride Download PDF

Info

Publication number
TW589390B
TW589390B TW090119089A TW90119089A TW589390B TW 589390 B TW589390 B TW 589390B TW 090119089 A TW090119089 A TW 090119089A TW 90119089 A TW90119089 A TW 90119089A TW 589390 B TW589390 B TW 589390B
Authority
TW
Taiwan
Prior art keywords
layer
titanium nitride
etching
item
scope
Prior art date
Application number
TW090119089A
Other languages
English (en)
Inventor
Padmapani Nallan
Tong Zhang
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of TW589390B publication Critical patent/TW589390B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Description

589390 A7 B7 五、發明說明() 發明領域: (請先閱讀背面之注意事項再填寫本頁) 本發明係關於一種在半導體結構内蝕刻氮化鈦層之 方法。特別是有關於蝕刻已圖案化之結構,例如氮化鈦 閘,亦可使用在氮化鈦層之表面回蝕。 發明背景: 在半導體的製造技術領域中,半導體結構之金屬閘 極(metal gate )的使用是一相當新的概念。氮化钦在經 研究後已成為製造閘極的一種材料。舉例來說,利用氮 化鈥作為閘極材料已見於 Thomas Tang et al. (International Electric Devices Meeting Technical Digest, pp.590-593, 1 9 8 5; IEEE Transactions on Electronic
Devices, Vol. ED-3 4, No. 3,pp. 682-688, 1987) ; J. G. Fleming et al. ( Proceedings of the 9th International
Vacuum Microelectronics Conference, pp.375-379, 1 9 9 6 ); D. B. King et al. ( Proceedings of the 9th International 經濟部智慧財產局員工消費合作社印制^.
Vacuum Microelectronics Conference, pp.41 1-414, 1996 ); J. T. C. Lee et al. ( J. Vac. Sci. Techno!. B, Vol. 14, No. 5, pp. 3282-3290,1 996 ); and Dong-Gu Lee et al. ( J. Vac. Sci. B,Vol. 18, Νο·2, pp.1 085-1088, 2000 )。 氮化鈦在鋁的金屬化結構中已經常被利用為阻障層 材料以避免碎由下層基材轉移至上層之鋁的金屬化層。 常見的蝕刻氮化鈦層化學作用是以氯為基礎。對氮化鈦 蝕刻時氯提供一非常高的蝕刻速率。然而,當考慮蝕刻 第4頁 ^紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) _ 時層 層飲 薄化 欽氮 化之 氮化 刻案^ 姓圖屬 在已金 種刻鈦 1 蝕化 要參氣 需是之 便別中 此特構 因’結 法體 方導 的半 制刻 控蝕 以如 加例 制 控 的 589390 A7 _______B7 五、發明說明() 非常薄(即厚度< 1 000A )的氮化鈦層時,高蝕刻速率並 不為所需,特別是在當薄層係作為在半導體結構中之閘 極時。 發明概沭: 本發明揭示氮化鈦之電漿蝕刻方法,在蝕刻圖案化 結構時,提供有利的蝕刻速率以使輪廓能有效地被控制。 本發明之氮化鈥電漿蚀刻方法能單獨地使用於單一蚀刻 步驟,或亦能使用於二步驟製程方法中之主蝕刻步驟, 其中過度姓刻步驟係於主蝕刻步驟之後。當氮化鈦對相 鄭的氧化物(一般在其下層)之蝕刻選擇性相當重要時, 此二步驟蝕刻製程便經常被使用。 蚀刻氣化欽之方法(或主蝕刻步驟)係利用由氯及 氟化碳氣體所構成的電漿來源氣體(plasma s〇urce gas) 來產生化學蝕刻劑。氯為主要的蝕刻劑,且氟化碳作為 第二蝕刻劑並作為側壁之保護層(sidewall passivati〇n)。 氟化碳係選自含氟之化合物,其化學式為m , X的 範圍由i至4, y的範圍由0至3, z的範圍由i至1〇。 藉由改乂在%漿來源氣體中氣對氟的比率,便能控制蝕 刻速率。此外,當進行圖案化之㈣時,㈣氮化鈥特 徵的蝕刻輪廓(例如閘極之結構)便能精確地受到控制。 第項 (請先閱讀背面之注意事項再填寫本頁) 訂---------線 經濟部智慧財產局員工消費合作社印製 589390 經音部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 來源氣體中加入氟化碳亦可使得全部的側壁保護層以及 受触刻之閘極的側壁輪廓獲得控制。氟化碳的存在亦可 以減慢氮化鈦的蝕刻速率,在蝕刻過程中較氯用來作為 對氮化鈦單獨的蝕刻劑更容易受到控制。 舉例來說,在半導體結構中氮化鈦金屬閘藉曝露於 由氯及氟化碳所構成的來源氣體所產生的電漿之中而受 蝕刻作用。已證明運作良妤的來源氣體電漿包含ci2及CF4 來作為化學蝕刻劑。其他必要的化學性惰性氣體能與化 學蝕刻劑形成組合物。一般來說,範圍從約1 : 1 〇至1 0 : 1的氯:氟原子分率(atomic ratio );氯:氟原子分率以 約1 : 5至5 : 1為較佳。在氮化鈦金屬閘極蝕刻的例子 中,氯:氟原子分率從約1 : 1至5 : 1表現得尤為佳。 當回蝕氮化鈦層時,不需要次微米尺寸之圖案化, 便有可也僅利用C F4來作為反應性触刻劑。由於對姓刻 圖案輪廓的控制並不是最主要的考慮,而主要的考慮在 於對蝕刻製程的終點,CF4單獨地提供整體較慢的蝕刻速 率,此速率便能對回蝕步驟的終點有較佳的控制。當氮 化欽層為薄(厚度約小於1 000A)時,將CF1單獨地作 為反應性蝕刻劑提供了簡化的製程。當氮化鈦層較厚時, 在某一程度上姓刻時間便變得重要,使用含氯的主蝕刻 步驟便變得重要並且需單獨地使用CF1作為反應性蚀刻 劑來完成回蚀步驟。在另一方面,在主蝕刻步驟後,過 度蝕刻步驟便利用下述的化學作用來到達下層的表面 層,以使氮化钦層能受到回蚀。 一---_------------訂---------線 丨 (請先閱讀背面之注意事項再填寫本頁) 1 第6頁 本紙張尺度適用中國國家標準(CNSM4規格(210 X 297公爱) 雄 ^----- 589390 經濟部智慧財產局員工消費合作社印製 A7 R7 五、發明說明() 除了上述蝕刻化學作用的使用之外,本發明利用一 種二步驟蝕刻方法來改善相較於下層之氧化物層(例如 氧化矽、矽氧氮化物、鈦酸相鳃、氧化钽、氧化锆、矽 酸錘、氧化銓、矽酸銓、氮化矽以及其組合物),較偏向 於蝕刻氮化鈦之選擇性。在二步驟蝕刻方法中,主蝕刻 係利用由氯及氟化碳所構成的第一電漿來源氣體,如上 所述(或是在蝕刻氮化鈦的習知技術中常見之一蝕刻化 學作用)’之後進行過度触刻步驟,電漿來源氣體係由氯 和漢所構成,作為化學蚀刻劑。其他必要的化學性惰性 氣體能與提供化學蝕刻劑的氣體混合。 在過度蝕刻步驟中,氯及溴一般係產生約1 ·· 4至4 : 1之氯:溴原子分率。原子分率能與包括蝕刻氮化鈦層 的層結構之偏壓功率相結合而調整。當過度蝕刻步驟電 漿來源氣體被使用來產生化學蝕刻劑時,作為示範的電 漿來源氣體包括Cl2及HBr,。 當由終點(endpoint )決定主蚀刻步驟的結束時,使 用光感應技術(optical sensing technique ),例如在主蚀 刻步驟中蝕刻氮化鈦特徵(例如閘極)約98%之厚度, 其餘於過度蝕刻步驟中進行蝕刻。當主蝕刻步驟係一定 時蚀刻(timed etch )時,典型的方式為約80%的蚀刻於 主蝕刻步驟中進行,其餘的於過度蝕刻步驟中進行。 藉由控制主蝕刻步驟的蝕刻速率,甚至氮化鈦的薄 層(即厚度< 1 〇〇〇A )也能接受蝕刻。藉主蝕刻步驟中控 制閘的蝕刻輪廓,更多的元件能可放置於半導體基材的 第7頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) rl 1· i^i βϋ —>1 —β ·ϋ n* fl·— H ί§ n n 0 ί «ϋ n n· n n ΛβΜβ ^ ^ ν «ριν β···· ·* waHV I I · 言 各 (請先閱讀背面之注意事項再填寫本頁) 589390
特疋 藉由控制與下層氧化物層有關的氮化鈦閘之 蝕刻選擇性’閘能在對下層氧化物層避免造成危險的情 況下接受蝕刻。 經濟部智慧財產局員工消費合作社印製 |Β式簡I _明: 第1圖繪示依據本發明之蝕刻製程設備之一實施例; 第2A圖繪示依據本發明之啟始半導體結構。半導體結 構’由上到下包括光阻圖案層、ARC介電層 (DARC ) ( dielectric ARC )、氮化鈦閘層以及氧 化物層,皆沈積在矽基材上。沈積膜堆疊層的相 對厚度並非以比例顯示; 第2B圖依據本發明之經敍刻DARC層後之半導體結構; 第2C圖依據本發明之經㈣氮化飲閘層至少游。厚度之 半導體結構。結構所示者為在一定時主蝕刻步驟 執行完畢後並在過度蝕刻步驟之前的二步驟蚀刻 方法;以及 第2D圖依據本發明之氮化鈦閘層之所有厚度經蝕刻後之 半導體結構。 圖號#照說明: 100 CENTURA®DPStm多矽蝕刻反應室 104上反應室 106陶瓷圓頂 I 0 8下反應室 11 0單極性靜電墊塊陰極 II 4氣體注射噴嘴 11 8節流闕 第頃 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) 一 —*— I --------訂---------線—- (請先閱讀背面之注意事項再填寫本頁) 589390 A7 B7 五、發明說明( 120基材 122入 124晶圓升降柱 1 2 6電感耦合式電漿電源功率 1 2 8相對應網路 1 3 2相對應網路 202基材 206氧化鈦閘 2 1 0圖案化光阻層 130 RF電源 200啟始半導體結構 204氧化物(或氮化物)層 208 ARC 介電層(DARC ) 212腳 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 發明詳細說明: 以下將詳細描述一種在 金屬閘之方法。該方法至少 氟化碳所構成之來源氣體電 氟之比率以約1 ·· 5為較佳。 刻氮化鈦閘之二步驟方法, 及隨後的過度蝕刻步驟。二 所構成的主蝕刻步驟電漿來 於氮化鈦蝕刻之來源氣體), 蝕刻步驟電漿來源氣體。 在本詳細描述之前言中 明及附加的申請專利範圍中 明,否則該單數的形式(例 個0 一半導體結構中蝕刻氮化鈦 包括將氮化鈥曝露於由氯及 漿當中,其中來源氣體氯: 以下亦將描述一種以電漿蝕 該方法至少包括主蝕刻步驟 步驟方法包含由氯及氟化碳 源氣體(或其他已知可利用 以及由氯及溴所構成的過度 必須先說明的是,在具體說 ,除非在内容中有明白地說 如” 一”、”該”)亦包括複數 第9頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) 589390 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() I . 一種實施本發明之設備 本發明之實施例可於加州聖塔克拉拉應用材料公司 (Applied Materials, Inc. of Santa Clara,California)所 生產的 Centura® 整合處理系統(Integrated Processing System)上實施。此系統係揭露於美國專利第5,186,718 號,在此併入以供參考。雖然在本發明第1圖中以示意 圖的方式將蝕刻反應室作為範例,然而產業中任何的蝕 刻處理裝置都應藉修正其他製程參數來利用本發明所述 的蝕刻化學作用。第1圖所示之設備簡圖包括由Yan Ye 等人於「第十一屆國際電漿處理研討會會刊」(May 7, 1 996 )中所描述一去耦合電漿來源(Decoupled Plasma Source),並刊於「電化學協會會刊」第 96-12期第 222 至23 3頁(1 996 ),在此併入以供參考。電漿反應室亦能 處理直徑8忖(200mm)的晶圓。 第1圖所示者為一個別的Centura®DPSTM多矽蝕刻 反應室100之側面簡圖。蝕刻反應室100係由具陶瓷圓 頂106 ( ceramic dome )之上反應室104及下反應室1 08 所構成。下反應室108包括單極性靜電墊塊(monopolar electrostatic chuch,ESC)陰極 110。氣體由氣體注射噴 嘴114 (gas injection nozzles)輸入以使氣體產生均衡的 分佈。反應室壓力由利用節流閥118 (throttle nozzle) 之閉迴路壓力控制系統(closed-loop pressure control system )所控制。在處理的過程中,基材1 20係由入口 1 22 進入至下反應室108。輸入DC電壓至靜電墊塊表面上位 第10頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ϋ —-i n n I— ·1 n el n a— n —9 · n n βϋ 8— n n 」 9 1 ϋ« —9 n fl— n βϋ I k (請先閱讀背面之注意事項再填寫本頁) 589390 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 於介電薄膜下之導體層(未圖示),基材120藉由在墊塊 陰極110表面上所產生的靜電置於適當的位置。晶圓升 降柱(wafer lift) 124隨後將陰極11〇及基材120抬升 並在適當位置將上反應室104密封以便進行處理過程。 蝕刻氣體由氣體注射噴嘴1 1 4輸入上反應室1 0 4中。蝕 刻反應室100利用電感耦合式電漿電源功率126 (inductively coupled plasma source power)及相對應網 路 128 (matching network )以 1 2 · 56MHZ 的頻率運作以 產生並維持高密度電漿。RF電源13〇與以13.5 6MHZ頻 率運作的相對應網路1 3 2加偏壓於晶圓。電漿電源功率 1 2 6以及加偏壓於基材的方法丨3 〇係由個別的控制器所 控制(未圖示)。 雖然本發明之實施例係藉centura®dpstm蝕刻系 統為例’亦能使用於相容的>1虫刻工具如一種由應用材料 公司所生產的eMaxTMCENTURA⑧蝕刻系統。 Π ·银刻氮化鈥金屬閘之方法 第2 A圖所示者為本發明方法所述之一啟始半導體結 構 200 ( beginning semiconductor structure)。第 2A 圖並 未依實際的比例尺圖示。半導體結構2〇0由上到下包括 圖案化光阻層21〇、ARC介電層(DARC) 208、氮化欽 閘層206以及氧化物(或氮化矽)層2〇4,均沈積於通 常為硬所構成的基材202上。ARC係指一種作為一抗反 射塗佈之材料層。 第11頁 本紙張尺度適用中關家標準(CNS)A4規格(210 X 297公餐)^ --------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 589390 經濟部智慧时產局員工消費合作社印製 A7 B7 五、發明說明() 光阻層210之厚度及圖案化方法與所使用的特定光 阻材料有關。光阻層210可為任何一種習知的光阻材料。 典型的情況下,光阻材料係含碳之有機材料。常被使用 為光阻材料者係可由JSR或Shipley獲得的DUV光阻。 DUV光阻之典型薄膜厚度為約4,〇〇〇至1〇,〇〇〇A。 DARC層208 —般係由矽氧氮化物(Si〇xNy)所構 成且其厚度通常介於約300至600A。矽氧氮化物Darc 層2 0 8 —般以習知技術沈積,如電漿加強化學氣相沈積 (PECVD )。使用有機基ARC層亦為本發明所揭露之部 分。 欲於其上產生閘之氮化鈦層2 06 —般的厚度約為2〇〇 至1,5 00A。氮化鈦閘層206使用典型的習知技術沈積, 如物理氣相沈積(PVD)或化學氣相沈積(CVD)。 舉例來說’當氧化物層2 0 4為氧化硬時,氧化物層 之厚度通常約為15至50A。而當氧化物層為五氧化鈕 (tantalum pentoxide)時,氧化物層之厚度通常約為3〇 至200A。氧化物層係由典型之習知技術所沈積,如熱氧 化法或PECVD。 在圖案化光阻層210之後,為了完成第2A圖結構, 蝕刻DARC層208 (如第2B圖)以將氮化鈦閘層206之 表面曝露出。通常(如表二及表三所示)DARC層208 係與氮化鈦閘層2 0 6於單一處理步驟中利用相同的蚀刻 化學作用及處理條件進行蝕刻。另一種處理方法是,darc 層2 0 8亦能使用習知的常見蝕刻化學作用及方法於一單 第12頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) --------------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 589390 經濟部智慧財產局員工消費合作社印制农 A7 B7 五、發明說明() 獨的步驟中蚀刻。 在姓刻D A R C層2 0 8之後,根據本發明所揭露之方 法利用由氯及氟化碳所構成的來源氣體所產生之電漿蝕 刻氮化鈥閘層206。主氮化鈥蚀刻步驟之來源氣體一般 包括氯及含氟之化合物以提供作為反應姓刻劑。含氟化 合物以化學式為CxHyFz之氟化碳為較佳,其中χ之範圍 為1至4,y之範圍為〇至3,zi範圍為1至1〇。在一 較佳的實施例當中,主氮化鈦蝕刻步驟之來源氣體係氯 及四氟化碳(CF4)。根據半導體結構中的相鄰材料,氯 化氫能用來作為反應氯。舉例來說,提供給主氮化鈥蚀 刻步驟之來源氣體可包括化學性惰性氣體(chemicaUy inert gas )如氬、氖、氪或氦,最高濃度約為總氣流7〇0/〇 的體積谷率。氮能被添加為鈍化劑直到體積容率約為總 氣流之70%。添加入電漿來源氣體之惰性氣體或氮的數 量係決疋於物理(轟擊)蚀刻相對於化學蚀刻所欲達到 的程度。 在主姓刻電漿來源氣體中氯:氟原子分率一般係約 為1 : 10至10 : 1,以約為! : 5至5 : 1者為較佳。在 主姓刻中’氯/氟化碳之化學作用可用來蝕刻整個氮化鈦 閘層206之厚度,曝露氧化物層2〇4 一部分之表面,如 第2D圖所示。然而下層的氧化物層2〇4係相對薄層(一 般若為氧化矽則約為1 5至5 0 A厚,若為五氧化鋰則約為 30至20 0人厚,依據各不同的元件而定),並且會有危險 存在於傾向於蝕刻氮化鈦層而非氧化物層之選擇性對氣/ 第13頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公餐) --------訂·-------* 線 (請先閱讀背面之注意事項再填寫本頁) 589390 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 氟化碳化學作用而言並不適當,以避免在完成融刻氮化 鈦閘層206後蝕刻整個氧化物層。對氯/氟化碳化學作用 較傾向於蝕刻氮化鈦而非氧化矽之選擇性一般約為2 : 1 至5 : 1。當氧化物層厚度約為50A或更多時’約2 : 1 之選擇性是適當的。當氧化物層厚度約為2〇A或是更少 時,氮化鈦閘層以利用二步騾主蝕刻/過度蝕刻之方法為 較佳。 本發明二步驟方法的實施例可以第2C及2D圖之組 合來描述❶在二步驟之實施例當中,主蝕刻步騾為一種 用來蝕刻氮化鈦閘層206厚度約80%之(如第2C圖所示) 定時蝕刻。在另一方面,當下層的氧化物層足夠厚時, 主蝕刻能為一測量的終點蝕刻(例如干涉計之終點),其 用來蝕刻氮化鈦閘層206厚度約98%或更多。關於定時 蝕刻(有時指的是軟著陸蝕刻),過度蚀刻步驟隨後係執 行以蝕刻氮化鈦層厚度剩餘之20% ,包括移除腳(feet) 2 1 2,以及曝露其他的氧化矽層204。關於測量的終點蝕 刻,過度蝕刻步驟隨後係實施以從受保護的圖案化區域 清除殘留的氮化鈦材料(其中所有的氮化鈦層於過曝露 區域中已被清除)。 在過度蝕刻步驟中,利用氯及溴反應來蝕刻剩餘的 氮化鈦。在過度蝕刻步驟内之電漿來源氣體包括氯及含 >臭之化合物(如:溪化氫)。過度蚀刻步驟中氯::;臭原子 分率一般的範圍約在1 ·· 4至4 : 1之間。如此提供了氮 化鈦對氧化矽約50 : 1至1 〇〇 : 1比率之蝕刻選擇性,以 第14頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公餐) -----1---!------------訂---------線 (請先閱讀背面之注意事項再填冩本頁) 589390 A7 _________R7 五、發明說明() (請先閱讀背面之沒意事項再填寫本頁) 及約800A/min至500A/min的蝕刻速率。選擇性能藉由 利用基材偏愚功率來改變,其效果因各器材設備而不同。 然而,熟知該項技術者能應用基材偏壓發展出氯及溴之 原子分率組合以產生所欲達到的結果。發射終點偵測系 統係用來偵測下層的氧化物層2〇4。 典型對主蝕刻及過度蝕刻步驟的製程條件係根據表 一所揭示的本發明之方法。 經濟部智慧財產局員工消費合作社印制农 來產生並維持電漿的功率。 第15頁 本紙張尺度3用中關家標準(CNS)A4規格(210 X 297公餐) 表一氮化鈦閘主蝕刻與過度蚀刻之典型製程情形 製程參數 主蝕刻 過度蝕刻 全體電漿來源氣體 流率(seem) 200-400 200-400 012流率(seem) 1 20-200 20-200 CF4 流率(seem) 10-100 HBr 流率(seem) 20-200 電漿電源功率(W ) 300-3000 300-3000 基材偏壓功率(W) 40-200 10-60 反應室氣壓 | (mTorr ) 4-10 10-50 基材溫度(°C) 10-100 10-100 偏壓功率(Bias Power)係提供基材(通常是至基材 支私基座)功率以在基材表面上產生負電壓。一般負電 壓係用來控制高能在基材表面的轟擊。偏壓功率係指用 589390 經濟部智慧財產局員工消費合作社印製 A7 B7 五、發明說明() 上述之過度蚀刻化學作用能如表一所示與本發明之 主蚀刻化學作用或與不同的習知氮化鈦蝕刻化學作用相 結合。 表二及表三提供了依據本發明方法之主蝕刻及過度 蚀刻步驟所產生之典型數據。表二及表三提供DARC蝕 刻、氮化鈥主蝕刻(ME )、以及氮化鈦過度蝕刻(〇E ) 步驟之製程條件。上述之製程條件包括:製程氣體流率 (in seem)、反應室壓力(in mT〇rr)、電漿電源功率(Ws)、 基材偏壓功率(Wb )、蝕刻時基材溫度以及終點時間(in seconds )。所有終點皆為定時的終點,除了柱明,,Ep"者 外’而這些終點係由干涉計終點偵測系統決定。 表二及表三所示的編號1 典型流程係使用以下的 薄膜堆叠(由上到下為):5500A之Shipley DUV光阻層 (已預先圖案化);30〇A之SiOxNy DARC層;8〇〇A之氮 化欽層;以及500A之Ta2〇5層,皆沈積於發基材上。表 二中典型的流程編號7及8係利用除了氧化物層外相同 的薄膜堆疊,氧化物層為45A之氧化矽厚層。 以下所稱之"蚀刻輪廓(e t c h p r 〇 f i 1 e ) ’’(或是”特徵 輪廓(feature profile ) ”)係指(但並不限於)閘極結構 之剖面圖,如第2A-2D圖所示,並且被描述為介於蝕刻 閘層側壁與閘極結構支撐之表面的角度(即其下之五氧 化鈕層)。所稱之’’垂直輪廓(vertical profile ),,係指蚀 刻閘層之剖面圖,其中蝕刻閘層之側壁係與氧化梦表面 相垂直。所稱之”過切(undercut )’’輪廓係指蝕刻閘層之 第16頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) --------^---------^ (請先閱讀背面之注意事項再填寫本頁) 589390 A7 _B7_ 五、發明說明() 剖面圖,其中姓刻開口 (etched opening)之寬度隨著與 基材表面上開口之距離愈遠而愈大。所稱之”錐形化’’輪 廓係指蝕刻閘層之一剖面圖,其中蝕刻開口之寬度隨著 與基材表面上之開口距離愈遠而愈小。所稱之π臨界尺寸 (c r i t i c a 1 d i m e n s i ο η ) ’· 一般係指必須被控制來產生該設 計結構之特徵的最小尺寸。 表二 氮化鈦主蝕刻及過度蚀刻之發展資料 編號 DARC蝕刻 TiN主蝕刻 TiN過度蝕刻 說明 40sccmCF4 30sccmCF4 100sccmCl2 主蝕刻後輪廓 40sccmCl2 15sccmCl2 lOOsccmHBr 錐形化,但過 1 120sccmAr 4mTorr lOmTorr 度蝕刻後輪廓 (Ta2〇5氧 4mTorr 250Ws 800Ws 遭過切,故過 化物) 500Ws 60Wb lOWb 度蝕刻之碰觸 120Wb 50°C 50°C 到輪廓。 50°C 20sec (EP) 20sec 16.4sec (EP) 40sccmCF4 15sccmCF4 100sccmCl2 主蝕刻及過度 40sccmCl2 30sccmCl2 100sccmO2 蝕刻後輪廓錐 2 120sccmAr 4mTorr lOmTorr 形化,因此過 (Ta205 氧 4mTorr 300Ws 500Ws 度蝕刻並不會 化物) 500Ws 60Wb 60Wb 碰觸到輪麻。 120Wb 50°C 50°C 5(TC 13.9sec (EP) 30sec 17sec (EP) 40sccmCF4 30sccmCF4 100sccmCl2 主蝕刻後輪廓 40sccmCl2 15sccmCl2 lOOsccmHBr 錐形化,但過 3 120sccmAx 4mTorr lOmTorr 度蚀刻後輪廓 (Ta205 氧 1 4mTorr 250Ws 800Ws 遭過切,故過 第Π頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) (請先閱讀背面之注意事項再填寫本頁) t 訂---------線 經濟部智慧財產局員工消費合作社印製 589390 A7 _B7 五、發明說明() 經濟部智慧財產局員工消費合作社印製 化物)| 500Ws 120Wb 50°C 16.4sec (EP) 60Wb 50°C 20sec (EP) lOWb 50°C 20sec 度蝕刻之碰觸 到輪廓。 40sccmCF4 10sccmCF4 100sccmCl2 增加Cl2對cf4 40sccmCl2 35sccmCl2 lOOsccmHBr 之比率並增加 4 120sccmAr 4mTorr lOmTorr 編號3主蝕刻 (Τ^2〇5 氧 4mTorr 350Ws SOOWs 中的Ws ;輪廓 化物) 500Ws 60Wb 60Wb 較編號3為直 120Wb 50°C 50°C 但並不足夠。 50°C 16.8sec (EP) 12.2sec (EP) 30sec 損失了 一些氧 化妲(Ta205)。 表 三 氮化鈦主蝕刻及過度蝕刻之發展資料 編號1 DARC蚀刻 TiN主蝕刻 TiN過度蝕刻 說明 40sccmCF4 10sccmCF4 100sccmCl2 增加Cl2對cf4 40sccmCl2 45sccmCl2 lOOsccmHBr 之比率及增加 5 120sccmAr 4mTorr lOmTorr 編號4中主蚀 (Ta205 氧 4mTorr 450Ws 500Ws 刻的Ws ;產生 化物) 500Ws 60Wb 40Wb 直的輪廓,但 120Wb 50°C 50°C 仍損失一些氧 50°C 16.8sec (EP) 10.5sec (EP) 30sec 化is。 40sccmCF4 10sccmCF4 100sccmCl2 減少過度触刻 40sccmCl2 45sccmCl2 lOOsccmHBr 的時間以減少 6 120sccmAr 4mTorr lOmTorr 氧化鈕的損 (TaA 氧 4mTorr 450Ws 500Ws 失。產生直的 化物) 500Ws 60Wb 40Wb 輪廓且無可見 120Wb 50°C 50°C 的氧化麵損 50°C I 17sec (EP) 10.4sec (EP) 20sec 失。最終製程。 -----r---1------------訂·--------線 (請先閱讀背面之注意事項再填寫本頁) 第18頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公t ) 經濟部智慧时產局員工消費合作社印製 589390 A7 _ 五、發明說明() 7 (Si02氧化 物) 40sccmCF4 40sccmCl2 120sccmAr 4mTorr 500Ws 120Wb 50°C 15.3sec (EP) 20sccmCF4 60sccmCl2 4mTorr 300Ws 60Wb 50°C 19.6sec (EP) 無過度蝕刻步驟 直接蚀刻入氧 化矽層,輪廓 輕微地受到過 切0 80sccmCF4 執行DARC蝕 100sccmCl2 氮化鈦主蝕刻 120sccmAr 刻及氮化鈥主姓 lOOsccmHBr 正確地達到終 8 4mTorr 刻如一單一製程 lOmTorr 點,相當慢的 (Si02氧化 500Ws 800Ws 蚀刻速率,以 物) 120Wb lOWb 及錐形化的氮 20°C 50°C 化欽輪廓。 lOOsec (EP) 30sec 如在發明概述中所述,利用改變在電漿電源氣體中 氯對氟的比率,蝕刻速率及氮化鈦閘之蝕刻輪廓能精準 地受到控制。下述的表四提供了在電漿來源氣體中利用 不同的氯··氟的原子分率所獲得的氮化鈦之蝕刻速率以 及蝕刻輪廓的資料,其中所有的製程條件皆為約 5 5 seem 的整體氣體流,4mTorr的反應室壓力,450Ws,60Wb, 以及50°C之基材溫度。 第19頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -----1---------------訂----------線 (請先閱讀背面之注意事項再填寫本頁) 589390 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明說明( 表四 改變氣之數量的效果:氟原子分率及相關的氮化 获44划速率與蚀刻輪廓
Cl : F原子分率 蝕刻速率 蝕刻輪廓 30 : 15 3 45 0A/min 稍微成椎形(〜87 ° ) 3 5:10 393〇A/min 較垂直(〜88° ) 45 : 10 457〇A/min 垂直的輪廓 在一特定氮化鈦閘蝕刻製程中氯對氟的原子分率將 依據一些係數而定,特別是被蝕刻的氮化鈦閘之厚度。 舉例來說,當蝕刻非常薄的氮化鈦閘時(即,厚度小於 500 A )’電漿來源氣體中較低的氯:氟比例係用於產生較 慢的、能控制的氮化鈥蚀刻速率。熟悉半導體製造之習 知技術者在閱讀過本發明所揭露之後,將能決定在一般 實驗中蚀刻一特定氮化鈦閘厚度之最佳的特定氯:氟原 子分率。 如表四所示,利用調整本發明中主蝕刻步驟中氯: 氟原子分率,將可能對氮化欽姓刻速率及閘極之姑刻輪 廓提供一絕佳的控制。 關於過度蚀刻步驟’利用1 : i之氯:溴原子分率及 10Wb,與較傾向蝕刻氮化鈦而非五氧化鈕之約1〇〇 : i 的選擇性,然而,氮化鈦之蝕刻輪廓在主蝕刻後已錐形 化,並在過度蝕刻之後已受到過切,顯示偏壓功率(wb) 太低。當偏壓功率增加至60Wb時,偏壓功率又太高, 第20頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公餐^------- (請先閱讀背面之注意事項再填寫本頁) 訂i 589390 經濟部智慧时產局員工消費合作社印製 A7 B7 五、發明說明() 並且能觀察到一些氧化物損失(選擇性已減至低於10 ·· 1 )。調整偏壓功率至40 Wb,產生垂直氮化鈦蝕刻輪廓也 不會使五氧化鈕損失。過度蝕刻步驟係實施至約2 5 °/〇的 下層氧化物層厚度被移除。移除1 〇%下層氧化物層之厚 度是適當的,2 5 %之厚度係為確保任何在氮化鈦主蚀刻 步驟中留下來的”腳(feet ) ”能被移除。一般說來,欲增 加化學蝕刻劑的效果時,Wb需較低且蝕刻變得更具等向 性。當選擇性相較於下層具較低 Wb的氧化物為高時, 必須謹慎避免氮化鈦垂直蝕刻輪廓之過切。若欲增加物 理森擊蝕刻的效果以及產生更為非等向性的蚀刻時,則 增加Wb。當在較高Wb之過度蝕刻中欲維持垂直輪廓時, 減少傾向於蝕刻氮化鈦的選擇性並更快速地蝕刻下層的 氧化物。熟習該項技術者能在利用最少的實驗下調整偏 壓:功率以相符已知的下層,例如:氧化碎、碎氧氮化物、 欽酸鎖 4S ( barium strontium titanate )、氧化歛、氧化錯 (zirconium oxide)、矽酸锆(zirconium silicate)、氧化 銓(hafnium oxide )、碎酸給(hafnium silicate )、氮化 矽、或其任何組合物,用來作為氮化鈦之下層。 利用組合主蝕刻步驟中較佳的氯:氟的原子分率以 及過度蝕刻步驟中較佳的氯··溴的原子分率和基材偏壓 功率,將可能在任何已知的製程設備中皆能達到最佳的 結果。 熟知該項技術者在閱讀過以上之揭露後可輕易對之 加以變動及修改,然而本發明之範圍並不僅侷限於以上 第21頁 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公餐) -----:—---------------訂---------線 (請先閱讀背面之注意事項再填寫本頁) 589390 A7 _B7 _ 五、發明說明() 所說明之實施例,以上所述之修改及置換都不脫離本發 明之精神範圍。 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 頁 22 第 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)

Claims (1)

  1. 589390 ί A 8 __ C8第的號專利案9炎3 正
    專利範圍 ..............· (請先閱讀背面之注意事項再塡寫本頁) • 一種餘刻一圖案化氮化鈦層之方法,該氮化鈦層在一 半導體結構中直接覆蓋住一含氧層,該方法至少包含·· 將該氮化鈦層曝露於產生自氣及氟化碳所構成之一來 源氣體中之一電漿中,其中該來源氣體中之氣:氟之 原子分率為1 : 10至10 : 1 ,其中該半導體結構包含覆 蓋於該氮化鈦層之一介電arc層,且其中該介電arc 層與該氮化鈦層於相同的製程步驟中受到蝕刻。 2·如申請專利範圍第1項所述之方法,其中上述氟化碳 之化學式為CxHyFz,X介於1至4間,y介於〇至3間, 以及z介於1至1 〇間。 3.如申請專利範圍第2項所述之方&,其中上述之該氟 化碳為四氟化碳(CF4)。 4·如申請專利範圍第i項、第2項或第3項所述之方法, 其中上述之該氮化鈦層之厚度低於1〇〇〇人。 經濟部智慧財產局員工消費合作社印製 5·如申請專利範圍第4項所述之方法,其中上述氮化鈦 層下層之一氧化物層或一氮化物層之厚度低於5〇〇人。 6.如申請專利範圍第5項所述之方法,其中上述氧化物 層或氮化物層厚度低於5〇a,並且在上述來源氣體中該 氯:氟之原子分率由2:1至5:1。 第23頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 589390 ABCD 一 、申請專利範圍 7·如申請專利範圍第6項所述之方法,其中上述氧化物 或氮化物層厚度低於20Α,並且在上述來源氣體中該 氣··氟之原子分率至少為5 : 1。 8 ·如申請專利範圍第1項、第2項或第3項所述之方法 其中上述之圖案包括一閘極結構。 9‘一種在一半導體結構中蝕刻一介電ARC層與其 一氮化鈦層之方法,該方法至少包含: a ) —主蝕刻步驟,其中將該介電ARC層與 鈦層依序曝露在產生自氣及氟化碳所構成來源 一電漿中,其中該來源氣體中該氣:氟之原子 圍自1:10至10:1,且其中該主蝕刻步驟蝕 化鈦層9 8 %以下;以及 b )在該主蝕刻步驟後之一過度蝕刻步驟, 主钱刻步驟中未受到餘刻的該氣化欽層之一部 在一電漿中,該電漿產生自一由氣及一含漠化 構成的一來源氣體。 下方之 該氮化 氣體的 分率範 刻該氮 、一叮^· (請先閲讀背面之注意事項再填寫本頁} 經濟部智慧財產局員工消費合作社印製 10·如申請專利範圍第9項所述之方法,其中上述+ 4 v驟 的蝕刻係蝕刻上述氮化鈦層至80%以下。 11 ·如申請專利範圍第9項所述之方法,其中上 述之過 度 第24頁 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) 589390 B8 C8 D8 申請專利範圍 钱刻來源氣體由氯及溴化氣所構成。 I2·如申請專利範圍第項所述之方法,其中上述之過 度餘刻來源氣體由氯及溴化氫所構成。 13·如申請專利範圍第11項或第12項所述之方法,其 中上述第二來源氣體之氣:溴原子分率範圍由丨:4 至 4 : 1 〇 14·如申請專利範圍第13項所述之方法,其中上述之過 度蝕刻步驟中該氯:演之比率及應用至該半導體結構 之一偏壓功率係受調整以提供與位於氧化物層或氮化 物層之下層及想要完成的氮化鈦&刻輪廓成比例之選 擇性。 15. 如申請專利範圍第9項或第10項所述之方法,其中 上述之圖案包括一閘極結構。 16. —種在一半導體結構中圖案蝕刻一介電arc層與其 下方之一氮化鈦層之方法,該方法至少包含: a ) —主蝕刻步驟,其中將該介電ARC層與該氮化 鈦層依序曝露於產生自氯.及氟化碳所構成來源氣體的 一電漿中,其中該來源氣體中該氣:氟之原子分率範 圍自1:10至1〇:1,且其中該主蝕刻步驟蝕刻該氣 化鈦層至98%厚度或以上;以及 -------------------- ----.第25頁----- 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐) (請先閲讀背面之注意箏項再磚寫本頁) 訂. 589390 A8 B8 C8
    六、申請專利範圍 (請先閲讀背面之注意事項再場寫本頁} b)該主蝕刻步驟後的一過度蝕刻步驟,其中將該 主餘刻步驟中未蝕刻的該氮化鈦層厚度之一部分曝露 在一電漿中’該電漿係產生自一由氣及含溴化合物所 構成的來源氣體中。 17·如申請專利範圍第16項所述之方法,其中該過度蝕 刻來源氣體由氯及溴化氫所構成。 18·如申請專利範圍第16項或第17項所述之方法,其 中上述第二來源氣體中該氣··溴之莫耳分率自i:4 至 4 : 1 〇 i9.如申請專利範圍第18項所述之方’法,其中以上述氯: 漠原子分率的組合物及應用至該半導體結構之一偏壓 功率係於該過度蝕刻步驟中調整以提供與位於氧化物 層或氮化物層之下層及欲完成的氮化鈦蝕刻輪廓成比 例之選擇性。 經濟部智慧財產局員工消費合作社印製 頁 26 第 本紙張尺度適用中國國家標準(CNS)A4規格(210X297公釐)
TW090119089A 2000-08-04 2001-08-03 Method of etching titanium nitride TW589390B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/632,455 US6531404B1 (en) 2000-08-04 2000-08-04 Method of etching titanium nitride

Publications (1)

Publication Number Publication Date
TW589390B true TW589390B (en) 2004-06-01

Family

ID=24535593

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090119089A TW589390B (en) 2000-08-04 2001-08-03 Method of etching titanium nitride

Country Status (6)

Country Link
US (1) US6531404B1 (zh)
EP (1) EP1307901A2 (zh)
JP (1) JP2004519838A (zh)
KR (1) KR20030022361A (zh)
TW (1) TW589390B (zh)
WO (1) WO2002013241A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7442650B2 (en) 2007-01-10 2008-10-28 International Business Machines Corporation Methods of manufacturing semiconductor structures using RIE process
TWI648786B (zh) * 2016-09-09 2019-01-21 日商日立全球先端科技股份有限公司 蝕刻方法及蝕刻裝置

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100380409B1 (ko) * 2001-01-18 2003-04-11 삼성전자주식회사 반도체 메모리 소자의 패드배열구조 및 그의 구동방법
US6977184B1 (en) * 2001-10-31 2005-12-20 Lam Research Corporation Method and apparatus for nitride spacer etch process implementing in situ interferometry endpoint detection and non-interferometry endpoint monitoring
US20040242005A1 (en) * 2003-04-14 2004-12-02 Chentsau Ying Method of etching metal layers
US7078337B2 (en) * 2003-09-30 2006-07-18 Agere Systems Inc. Selective isotropic etch for titanium-based materials
US7645618B2 (en) * 2004-09-09 2010-01-12 Tegal Corporation Dry etch stop process for eliminating electrical shorting in MRAM device structures
JP4447419B2 (ja) * 2004-09-29 2010-04-07 Necエレクトロニクス株式会社 半導体装置の製造方法
KR100705397B1 (ko) * 2005-07-13 2007-04-10 삼성전자주식회사 저 저항의 텅스텐막 형성 방법
US7276450B2 (en) * 2005-11-01 2007-10-02 International Business Machines Corporation Etching processes using C4F8 for silicon dioxide and CF4 for titanium nitride
JP4908824B2 (ja) * 2005-11-11 2012-04-04 ローム株式会社 半導体装置の製造方法
JP4849881B2 (ja) * 2005-12-08 2012-01-11 株式会社日立ハイテクノロジーズ プラズマエッチング方法
WO2007109117A2 (en) * 2006-03-16 2007-09-27 Tegal Corporation Dry etch stop process for eliminating electrical shorting in mram device structures
US7815814B2 (en) * 2007-03-23 2010-10-19 Tokyo Electron Limited Method and system for dry etching a metal nitride
US8282844B2 (en) * 2007-08-01 2012-10-09 Tokyo Electron Limited Method for etching metal nitride with high selectivity to other materials
US8507385B2 (en) * 2008-05-05 2013-08-13 Shanghai Lexvu Opto Microelectronics Technology Co., Ltd. Method for processing a thin film micro device on a substrate
US8338205B2 (en) * 2009-08-31 2012-12-25 Shanghai Lexvu Opto Microelectronics Technology Co., Ltd. Method of fabricating and encapsulating MEMS devices
US8501628B2 (en) * 2010-03-23 2013-08-06 Tokyo Electron Limited Differential metal gate etching process
WO2012048079A2 (en) * 2010-10-06 2012-04-12 Advanced Technology Materials, Inc. Composition and process for selectively etching metal nitrides
US9059250B2 (en) 2012-02-17 2015-06-16 International Business Machines Corporation Lateral-dimension-reducing metallic hard mask etch
US9437449B2 (en) * 2012-12-31 2016-09-06 Texas Instruments Incorporated Uniform, damage free nitride etch
US8987133B2 (en) 2013-01-15 2015-03-24 International Business Machines Corporation Titanium oxynitride hard mask for lithographic patterning
US8853095B1 (en) 2013-05-30 2014-10-07 International Business Machines Corporation Hybrid hard mask for damascene and dual damascene
RU2533740C1 (ru) * 2013-07-04 2014-11-20 Федеральное государственное автономное образовательное учреждение высшего профессионального образования "Национальный исследовательский университет "МИЭТ" (МИЭТ) Способ реактивного ионного травления слоя нитрида титана селективно к двуокиси кремния, поликремнию и вольфраму
KR101724456B1 (ko) * 2015-07-27 2017-04-07 현대자동차 주식회사 연료전지용 분리판의 코팅 방법 및 연료전지용 분리판
US10672618B2 (en) 2017-09-26 2020-06-02 International Business Machines Corporation Systems and methods for patterning features in tantalum nitride (TaN) layer
CN112219266B (zh) * 2018-04-13 2024-06-25 玛特森技术公司 以使用烷基卤化物生成的反应性核素处理工件
JP7427155B2 (ja) * 2019-08-23 2024-02-05 東京エレクトロン株式会社 別の金属及び誘電体に対してチューニング可能な選択性を有するチタン含有材料層の非プラズマエッチング
WO2021202229A1 (en) 2020-03-31 2021-10-07 Mattson Technology, Inc. Processing of workpieces using fluorocarbon plasma
JP7482684B2 (ja) * 2020-05-21 2024-05-14 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5010032A (en) * 1985-05-01 1991-04-23 Texas Instruments Incorporated Process for making CMOS device with both P+ and N+ gates including refractory metal silicide and nitride interconnects
US4675073A (en) 1986-03-07 1987-06-23 Texas Instruments Incorporated Tin etch process
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5326427A (en) * 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
US5952244A (en) * 1996-02-15 1999-09-14 Lam Research Corporation Methods for reducing etch rate loading while etching through a titanium nitride anti-reflective layer and an aluminum-based metallization layer
US6004884A (en) * 1996-02-15 1999-12-21 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
US6013582A (en) * 1997-12-08 2000-01-11 Applied Materials, Inc. Method for etching silicon oxynitride and inorganic antireflection coatings
US6043163A (en) 1997-12-29 2000-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. HCL in overetch with hard mask to improve metal line etching profile
KR100259352B1 (ko) * 1998-01-09 2000-08-01 김영환 반도체 소자의 다층막 건식각 방법
JP2000150477A (ja) * 1998-11-12 2000-05-30 Nec Corp ドライエッチング方法
US6358790B1 (en) * 1999-01-13 2002-03-19 Agere Systems Guardian Corp. Method of making a capacitor

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7442650B2 (en) 2007-01-10 2008-10-28 International Business Machines Corporation Methods of manufacturing semiconductor structures using RIE process
US8614150B2 (en) 2007-01-10 2013-12-24 International Business Machines Corporation Methods of manufacturing semiconductor structures using RIE process
TWI648786B (zh) * 2016-09-09 2019-01-21 日商日立全球先端科技股份有限公司 蝕刻方法及蝕刻裝置

Also Published As

Publication number Publication date
WO2002013241A2 (en) 2002-02-14
WO2002013241A3 (en) 2002-11-07
EP1307901A2 (en) 2003-05-07
US6531404B1 (en) 2003-03-11
JP2004519838A (ja) 2004-07-02
KR20030022361A (ko) 2003-03-15

Similar Documents

Publication Publication Date Title
TW589390B (en) Method of etching titanium nitride
TW550661B (en) Method of etching organic antireflection coating (ARC) layers
US6579806B2 (en) Method of etching tungsten or tungsten nitride in semiconductor structures
TW558768B (en) Unique process chemistry for etching organic low-k materials
TW554425B (en) Method of forming a notched silicon-containing gate structure
TWI493619B (zh) 雙層、三層遮罩臨界尺寸控制
KR101257532B1 (ko) 감소된 라인 에지 거칠기를 갖는 피처 에칭
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
TW552317B (en) Method for etching silicon oxynitride and dielectric antireflection coating
TW472281B (en) A stable plasma process for etching of films
TW563199B (en) Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
TW200405460A (en) Method of etching silicon nitride spacers with high selectivity relative to oxide in a high density plasma chamber
TWI228280B (en) Method of fabricating gate structures having a high-k gate dielectric layer
TWI284370B (en) Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
TW201248718A (en) Plasma etching method
TW468226B (en) Improved methods and apparatus for etching a conductive layer to improve yield
TW413866B (en) Method of etching doped silicon dioxide with selectivity to undoped silicon dioxide with a high density plasma etcher
TW526557B (en) Method of etching carbon-containing silicon oxide films
KR20010040371A (ko) 임계치수 성장 억제를 위하여 하드 마스크를 사용하는 방법
TW533471B (en) Method for in situ removal of a dielectric antireflective coating during a gate etch process
TW200522197A (en) Plasma treatment and etching process for ultra-thin dielectric films
TWI237329B (en) Method for etching a hardmask layer and a metal layer
JP3862035B2 (ja) 半導体装置およびその製造方法
TW501199B (en) Method for enhancing etching of TiSix
TW200414345A (en) Method of etching a silicon-containing dielectric material

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees