TW583680B - Algorithmically programmable memory tester with history FIFO's that aid in ERROR analysis and recovery - Google Patents

Algorithmically programmable memory tester with history FIFO's that aid in ERROR analysis and recovery Download PDF

Info

Publication number
TW583680B
TW583680B TW091101157A TW91101157A TW583680B TW 583680 B TW583680 B TW 583680B TW 091101157 A TW091101157 A TW 091101157A TW 91101157 A TW91101157 A TW 91101157A TW 583680 B TW583680 B TW 583680B
Authority
TW
Taiwan
Prior art keywords
dut
test
memory
error
response
Prior art date
Application number
TW091101157A
Other languages
English (en)
Inventor
Alan S Krech Jr
Stephen D Jordan
Original Assignee
Agilent Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Agilent Technologies Inc filed Critical Agilent Technologies Inc
Application granted granted Critical
Publication of TW583680B publication Critical patent/TW583680B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/24Marginal checking or other specified testing methods not covered by G06F11/26, e.g. race tests
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/56External testing equipment for static stores, e.g. automatic test equipment [ATE]; Interfaces therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Quality & Reliability (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • For Increasing The Reliability Of Semiconductor Memories (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Description

583680 A7 B7 五、發明説明( 參考相關應用 本發明是有關於揭露在2000年9月20曰申請之美國專 利申請案第S/N 09/665,892號名稱為用於具有靜態隨機存 取記憶體組之記憶體測試器之錯誤擷取動態隨機存取記憶
體(ERROR CATCH RAM FOR MEMORY TESTER HAS SDRAM MEMORY SETS)之資訊,及基於後述理由在此一 併予以參考。本發明之主要重點是關於用來測試半導體記 憶體之一較大及複雜系統的一部份。所述的記憶體測試器 包括有在其自身中的一擴充記憶體次系統作為執行測試之 整個範例之一元件。該記憶體次系統之某些能力在此是被 感興趣的,因為它們是作為將被揭露的新穎的主題。為了 在製造上經濟上的理由’一種方法被發展出來使用便宜的 記憶體(動態隨機存取記憶體,DRAM,其在隨機存取時是 緩慢的)作為替代即使在隨機定址時都是很快速的昂貴靜 態隨機存取記憶體(SDRAM)之替代品。當混合不同的其a 記憶體次系統特徵時,此結果是相當複雜的牽涉到在多群 組(GROUPS)之間的多工處理及插入於多排(Bank〇之 間’及以不同的字元見度貫現此專事件。在另__. 万面,我 們在此所要揭露的特別特徵可以在僅用靜態隨機存取a己土 體的系統中被實施,然而,其很可能使該成品為工。" 好奇而不是可看到商業技術。我們在本揭露中保持中 場’我們並不假裝使整個系統完全不用靜態隨擁 拽存取記憮 體,雖然其是可行的。我們歸納該靜態隨機存 ^ 叹1予取圮憶體為 重要的課題,但我們將簡化許多該靜態隨機存^ 取圮憶體技 本紙張尺度適用中國國家標準(CNS) A4规格(210X297公釐) (請先閲讀背面之注意事項再填窝本頁)
583680 A7 B7 五、發明説明(2 術之内部操作之繁鎖的細節,而以適當地對它的基本原理 之描述。即使是一般的讀者將可歸納許多有趣的内容(例 如,不同的插入及定址原理及其等連接至不同節點上之操 作)已經被省略。然而,基於反應上,每一讀者將瞭解我們 所想要揭露的技術及特徵並是基本上的依據那些被省略的 内容’即使有時後有些微被其影響到。所以我們有考慮到 與本主題相關的週邊議題。本揭露與上述者共同提供關於 該動態隨機存取記憶體豐富的内容。那些感覺上本揭露所 產生的有關5己憶體次系統的議題多於它可以回答的可以與 那些合案作為该共同的揭露。此亦為在次將已知者一起作 為蒼考的理由。 再說,對於那些響要混合此二揭露者要提出一警告。 忒合併揭露所採取的觀點是,所關切的整個記憶體被稱之 為錯誤擷取隨機存取記憶體(Error Catch RAM,ECR)及其 被分成數個記憶體組。此觀點在整合揭露中完全沒有困 難,因為在該揭露中,一個ECR幾乎是所感興趣的唯一的 記憶體功能,即使其它像這樣的功能被提到。然而,在反 應上’在準備本應用期間,其被發現使用該用語,,内部測試 5己fe體(Interior Test Memory)來描述整個關注的記憶體是 更加方便的,該内部測試記憶體接著是由四個分離且獨立 的記憶體組所組成,其中不同功能的記憶體機構(包括一 ECR)可以被適當的結構所定義。因此其將出現在該共同揭 路中,兄憶體組包含在一 ECR,而在此,其為另一種方式。 然而’該等揭露是與在該相同的整個系統所發現者直接相 本紙張尺度適用中國國家標準(CNS) A4規格(21〇χ297公釐) (請先閲讀背面之注意事項再填寫本頁) 訂丨 A7 *^ ______B7 T 1 - --- - - --- ------------ --------- ---------- ------------------------------ _ - 立、發明説明(3 ) 關的主題。其不同之處主要是用語上的差別,及在當其是 為被考慮的低層度細節的記憶體次系統操作時,在該二揭 路之間的任何表面上的不一致消失。其使那些細節可以為 那些感興趣的讀者所取得,其中我們已經在該整合的揭露 中所指出。 本揭露的主題也是有關於在西元2〇〇〇年9月31日所申 請的美國專利申請案第S/N 〇9/702,631號,名稱為“具有加 強後解碼之§己憶體測試器(Memory Tester with Enhanced Post Decode)’’。在本揭露中,我們對一信號稱之為 PD—ERROR (9〇)作擴充使用,該信號是為一先前申請案的 之主題的中心要件。及在此我們將簡略但充份的說明它的 意義是什麼及其是如何被產生,其完整的細節是相當的複 雜的。為了保護避免在此所產生的一些混淆及表面上的不 一致的可能性,及為了簡明起見,我們在此選擇該具有加 強後解碼之記憶體測試器(Memory Tester with Enhanced Post Decode) —起作為參考。 本揭露的主題也有關於在西元2000年10月2日所申請 的美國專利申請案第S/N 09/677,202號,名稱為‘‘在每一測 試區域中測試多數個待測裝置之記憶體測試器(Memory Tester Tests Multiple DUT’s Per Test Site)’’。在此揭露中, 我們參考一特定集合信號稱之為PERR (113),PERR (114) 及PD—ERROR (115) 〇它們存在於在此所描述的一特徵的主 題的中心,但是它們的起源及原本的用途在一先前申請案 中被加以描述。及在此我們將簡略但充份的說明它的意義 本紙張尺度適用中國國家標準(CNS) Α4規格(210X297公*) - 7 - •0Θ7 (請先閲讀背面之注意事項再填寫本頁) 、可| 五、發明説明(4 ) 、—十麼及其疋如何被產生,其完整的細節如上述是相當的 、复雜的#此,我們再此再一次一併參考該在每一測試區 .域中測試多數個待測裝置之記憶體測試器(Memory Tester
Tests Multiple DUT,s per Test Site)。 本發明之背景 電子設備及能力在日常生活中已經發展到相當的普 遍。伴隨在家庭中的個人電腦,許多個人使用多於一種的 生產工具於不同及雜項目的。大部份的個人生產電子裝置 匕括有&型式的非揮發性記憶體。行動電話使用非揮發 性記憶體在當電源切斷時以儲存及保留使用者編輯電話號 碼及配置。PCMCIA卡使用_發性記憶體使其在即使該 卡片破由該電腦的插槽中拔出之後仍可以儲存及保留資 Λ。泎多其他大眾化的電子裝置在非電源供應組合也受用 於非揮發性記憶體之長時間儲存能力的優點。 銷售至該電子設備製造廠商之非揮發性記憶體製造商 需要測試器來驗證他們所生產的記憶體的正柄操作。因 為低成本製造及販賣之非揮發性記憶體的數量,最小化測 忒單元件所需要的時間是分常重要的。非揮發性記憒 體的採購者需要記憶體製造商提供快速的運送產能,因為 以取小會沒有測試下,在整合該記憶體裝置於較貴重組件 之貝務上相關的成本節省。據此,該記憶體測試程序比需 相S有效率的辨識在單一測試程序中一大部份的不一致部 份及最好是所有的不一致部份。 當非揮發性記憶體變成較大,較密集及較複雜時,誃 9 583680 A7 I---—-___B7 五、發明説明—- 賴者必需能處理該增加㈣格及㈣程度,而不會明顯 Α增加測試它們所需要的時間。記憶體測試器經常地連續 操作,及測試時間被視為在最後部份的成本上的主要因 I。隨著記憶體發展及改善,測試者必需能很容易的配合 肖t置上的改變。在職非揮發性記憶體上的另—議題是 ί複寫人該記憶體的細胞會降低該元件 a。非揮發性記憶雜製造商已經藉由建立特別的= H己憶體裝置來反應許多測試議題。此等測試模式不是 纟使用在所有的記憶體採購者,但或許可以為製造商在盡 彳能短及有效率的時間下存取來測試該等記憶體的所有或 HP伤彳些非揮發性記憶體在測試程序期間也可以 被修補。因此,該測試者應可以辨識:修補的需要;修補 的位置;需要修補的形態;及,不需可以執行適當的修補。 修補程序須要-測試者可以_及隔離該記憶體之一 #定不-致部份。為了完全理用該特別測試模式及該等修 _功能之優點,對於_測試者可崎行支援基於來自該裝 置的預期響影的條件式分流之—測試程式之有幫助的。 伙一觀念性的觀點,測試記憶體的程序是一演繹法則 程序。譬如在-例子中,典型的測試包括有在寫入⑷進 A.亥。己體細胞日寸’連續地增加或減少記憶體位址。習慣 上稱在一記憶體週期中被寫入或讀取的丨及0之集合為一 向里(Vector),而該用語“圖樣(Pattem),,是指一序列的向 I。在測4 %包括有寫人圖樣至該記憶體空間像是西洋棋 盤,行進1及蝴蝶、式圖樣,是較為方便的…測試發展者可 本紙張尺度適用中國國家標準(CI^^ (210X297$
^w··! (請先閲讀背面之注意事項再填寫本頁) •訂— 583680 A7 _________ B7 五、發明説明(ό ;Γ " — ~- 以較容易及有效率地藉由演繹結構的幫助產生一程式來產 生此等圖樣。演繹性—致的一測試圖樣也很容易來除錯及 促進域輯方法的使用來隔離不想要執行的圖樣部份。使用 在重覆於程式迴路中的指令及命令所演绎性產生的一測試 圖樣在測試器記憶體中消耗較少空間。據此,在-記憶體 測試器中需要有演繹測試圖樣產生能力。 、精確的信號邊緣置放㈣測也是在—非揮發性記憶體 2視器中之效用的—考量。為了獲得當與特定要求不一致 時’大體上在中間-致之部份,_非揮發性記憶體測試器 必需能在時間上精確地相對另一信號邊緣置放每一信號邊 緣。可以精確地量測一信號在什麼時間點被接收也是2重 要的。據此,-非揮發性記憶體測試者應該有足夠的時間 及控制來自該待測裝置(Device Under Test)(記憶體)之刺 激及響應之時間及配置。 記憶體測試器被稱為產生被用在(刺激)該DUT(待測 裝置:D〜ce Under Test)之“傳送,,向量,及預期回應(響應) 之接收肖畺。纟當该記憶體測試器包含映對安排來傳送 信號至接腳或由該接腳接收信號時,產生此等向量的演繹 邏輯大體上可以這樣操作而不會有關於在_向量中的一特 定位元如何獲得或來自在該DUT中的一特定信號板的自身 問題。 記憶體測試器具有被用來促進該測試程序的内部測試 記憶體。該内部測試記憶體可被用於許多目的,其中包括 有事先儲存傳送向量,及相反的即時產生該等向量,儲存 本紙張尺度適用中國國家標準⑽)A4規格⑵〇χ297公爱)"'〜 --- (請先閱讀背面之注意事項再填寫本頁) .、町| 10 583680 五、發明説明(7 ) ==!不同的錯誤指示及在測試期間所獲得的 關於r其他:#訊(其也有内建於該記憶體測試器 之知作之官豕功能,其使用靜態隨機存取記憶體及可以顯 不完全在該用語“内部記憶體,,之範圍之内。此對於該測試 益之操作是私密的,其在該演繹水平上是看不到的,及被 比喻為内部控制暫存器。該記憶體被描述成“内部控制記憶 體”’及其是被在此所稱之“内部測試記憶體,,所排除,其中 我們習慣描述使用來儲存直接相關於該011丁之刺激及來自 其之響應之位元圖樣之記憶體。)。其很容易瞭解此内部測 試記憶體需要與該測試被操作時一樣的快的被操作· ,一種 非常普遍的範例是對於該内部測試記憶體(或它的一些部 份)在當其被用在該DUT時,被相同的位址所定址(或它的 衍生位址)。而後儲存在該内部測試記憶體中的該被定址位 址的是在那位址在該DUT所執行的一測試操作期間,該 DUT行為的一些測試指標。在測試程式中的演繹考量意指 與連續傳送向量相關的該序列的位址可以是任意的。因 此’違内部記憶體需要具有高速及隨機定址能力之雙特 性。靜態隨機存取記憶體的立即印象是快速,容易控制及 完全隨機定址的容忍度。的確,傳統的記憶體測試器是使 用靜態隨機存取記憶體來作為它們的内部測試記憶體。 不幸地,靜態隨機存取記憶體是相當昂貴的,及此限 制了與測試器必需工作之内部測試記憶體的總量。此結果 因為記憶體缺少所加入對於在記憶體測試器功能上的限 制。動態隨機存取記憶體是明顯地沒有那麼貴,但不能容 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) (請先閲讀背面之注意事項再填寫本頁)
11 til 583680 A7 I---------------B7_ 五、發明説明(8 ) 忍隨機存取及仍然在高速下執行。 動態隨機存取記憶體取代靜態隨機存取記憶體作為在 。己丨思體測试為、内部中.的一内部測試記憶體。如後面在簡 要的概述中的簡明的描述,增加使用作為内部測試記憶體 之動恶隨機存取記憶體的操作之速度之問題可以用增加使 用的動態隨機存取記憶體的數目來取代增加它的速度來解 決。相同排的動態隨機存取記憶體之數目被視為多數個群 組。對於在一群組中不同排的插入信號的組合及那些排的 群、、且之中的夕工處理減緩了對於任一排的速度至低於該排 可以處理的速率。(為了方便讀者起見,我們在此對該技術 I-納了-非常簡略的結論,因為它的許多架構特徵及相關 用語在後面對該發明主題的解釋是有用的。) 在每四排之二個群組之間的三向多工處理,組合對至 一群組之信號流的一彈性四層插入原理產生接近12倍的操 作速度上的增加,而僅需要三個記憶體匯流排。用於該多 工器中選擇下一群組的一種循環策略使簡單的且確保對每 一群組的插入機構具有它所需要的時間來完成它最近所指 定的工作。在一群組中的所有插入群組基於下一排(在一群 組中)被執行,其也被一簡單的循環選擇所選擇。在此結構 中,每一個12排代表該整個可使用位址空間的一重製出 現,及任何個別寫入週期可能終止存取該12排之任一個。 在測試之結論上,一關聯是所有的12排必需被檢視學習在 測試該DUT期間,什麼樣的錯誤發生了,因為任一位址或 所需要的位址的集合之歷史將分散至所有的12排。一特別 J本紙張讀適用中國國家群“規格⑵— (請先閲讀背面之注意事項再填窝本頁} 、一Η口 . 583680 A7 B7 五、發明説明(9 的通道因此此被12位元所代表(每-群組-位元及對於該 群組之該字元之中的位元位址是被該通道所決定。)。 。而,、將很可怪的必需要(手動地,如通常)個別的 資詢所有的12群組以發現錯誤資訊,因此在一讀取期間, -有效用的機構被提供來自動地“組合,,(合併)所有Η群組 的結果成為可以被儲存一個或所有12群組之一致結果。此 允許該被組合資料而後被全速的讀取。在一實施例中,對 於隨機定址記憶體交易的全速是為1〇〇mhz。 /口果33 MHZ是足夠&,則隨機存取可以僅要該插入來 支援且不而要夕工處理,其中該組合機構及該記憶體定址 ㈣是適於被調整的。較址計劃改變來包括額外的群組 延擇位7L ’其允㈣記憶體之深度至於三倍深於隨機⑽ MHZ操作。此二種模式的操作分別稱為R100&R33。也有 -種用於單-排的L100模式的1〇〇MHZ操作,其依據被送 至該動態隨機存取記憶體之良好玉作的位址(列位址改 之一絕對值)。 在該内部記億體組織的最上層有四個記憶體組,每 圮憶體組具有它自己個別及獨立的位址空間及執行請求 fe體父易。二個是上述的動態隨機存取記憶體,及二個 靜態隨機存取記憶體。每一記憶體組具有它自己的控制 器,記憶體交易直接至該控制器。至於外部可視的操作 力,所有的四個記憶體組基本上是相同的。它們的差別 有在記憶體空間的尺寸及它們如何在内部實現:該靜態 機存取5己憶體沒有使用多工處理及插入處理,因為它們 變 記是 能 只隨 本紙張尺度適财關家檩準(挪)A视格(2歡撕公爱)
(請先閱讀背面之注意事項再填寫本頁)
、可I ^3680 、發明説明(10 到足以開始。除了它們的獨立性之外,相同型式(動態隨機 存取記憶體或動態隨機存取記憶體)的記憶體組可以被“堆 ® ,其也就是說被視為一較大的位址空間。此在該等記憶 體組本身之上的控制水平,其在該位址之演繹產生及該記 體組真正的送出一記憶體交易之決定。其不是像是該等 冗憶體組及其等控制可以堆疊群組來在該^㈧與尺^模式 操作之間予以三倍該位址空間那樣地自動的。對於每一記 L體組控制為,其不知道在另一記憶體組中具有另一控制 器。 (請先閲讀背面之注意事項再填寫本頁) 因此,這就是該測試器的内部測試記憶體被劃分成 個記憶體組,其中二個是“内部,,靜態隨機存取記憶體, 其中二個是“外部”動態隨機存取記憶體。確定地,所有 此記憶體是實體上的在該記憶體測試器之内;該用語‘‘内 部及‘‘外部’’是用於積體電路上所使用。該等靜態隨機存取 記憶體是與該測試器的中心功能電路相關的一超大型積體 電路(VLSI)的-部份,而該動態隨機存取記憶體是鄰裝於 該超大型積體電路之個別封裝元件。該靜態隨機存取記 體的量是相當的小(譬如說,每一記憶體組一百萬位元) 而該動態隨機存取記憶體的總量大是相當大且可以選擇的 (譬如說,每-記憶體組有128至刪百萬位元的範圍)。 靜態隨機存取記憶體的記憶體組總是存在的,但只被用 適當的目的,像是儲存_DUT的預期内容,那就是一唯 記憶體(RQM)。該動態隨機存取記憶體的記憶體組事實一 疋具有透擇性的,及其典型地被使用來產生對連續分析來 四 及的 憶 該 於 讀 上 、可| Θ14 本紙張尺度適用中國國家標準(CNS) A4規格(2i〇X297公董) 583680
,二I’的追蹤’雖然它還有其他的用途。該測試器回在 /月? Ά機5己!思體與該動態隨機存取記憶體的記憶體組之 間強迫作_區別’如同針對它們被使用的不同的用途一 木八那些差別主要是由於尺寸上的差別。產生該測試程式 的人對關於該不同的記憶體組如何被使用予以決定。 已'、、工被我們所描述的該記憶體測試器是相當大地管道 」刀的II由&運劃分,我們意指一整體工作或功能被散 佈到ο括有一些數目機構(該管道的階層)之基本連續通 道,其中每一個可以接收輸入環境及也可以而後以共同的 速率產生相應輸出。因此,例如,在該管道的頂端引導“向 下至.亥DUT的疋-微控制序列器,其是為測試程式執行的 λ、、’睪了私式原型。其^供最後被使用在該之“原始,,或 冷、、睪位帛位址,但辆只在—些相當大的操縱之後。此 等位址,及有時後是資料,通過一些ALU及(只用於位址) 位址映對為可以被使用在一内部測試記憶體及/或一資 料多工器’及因此至一位址位元選擇電路,一傳送向量映 對為/串列|§及接收向量比較資料電路(丁rasmit 乂⑽沉 Mapper/Serializer & Receive Vector Compare Data Circuit),一向量先進先出緩衝器45,及最後至一時間/格 式化及比較電路(Timing/ Formatting & C⑽pare Data Circuit),其中傳送向量離開經由一些接腳電子組合(pin Electronics)被加入該DUT中。不是所有階段的管道具有相 同的延遲。對於該DUT在該管道中更複雜的操作可能在它 們相關的階段中花費較多的時間。但是,至於與所有不同 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐)
--------------♦---------- (請先閱讀背面之注意事項再填寫本頁) 訂— 的階段,此等具有固定的延遲,其一但發生,不會影響到 該管道的整體終端至終端(end-t0-end)率。我們可以說,還 有另一個(且不同的)管道的通道來傳遞接收向量‘‘向上,,回 到該執行測試程式的環境。 當該記憶體測試器以一測試程式的一特別區段的方式 來被架構,相反於在該相同程式中的一不同的區段,在結 構上的此一改變可以在使用當時加入或刪除在該管道的階 I又,改變該管迢階段的長度,所有的作用可以影響到該測 試程式中該相關區段的整個組合管道延遲。此等組合的延 遲將被知道,然而,及它們將不會改變、它們相關的測試程 式區段的持續時間。 我們先前提到一演繹能力是需要用來發展及維持被該 記憶體測試器所執行的該測試程式。該微控制器序列器以 在迴路中相互層疊的迴路及分流該測試結果來支援具有一 簡深型式的測試程式。然而,一管道的架構的使用複雜了 我們所感興趣的某些能力,特別是對於由該DUT行為所引 起的錯誤被瞭解及處理的方式。 考慮基本要知道的“在該程式中的該此點上是如此的 機能不全/不可預期的結果發生,,。讓我們說,我們執行該 測試程式/DUT週期率低得足以使由該微控制器序列器至 该接腳電子組合及而後再一次回來的管道延遲可以簡易地 被整批成該低速率的程式執行。我們甚至不需要知道有一 官這(及,其將也是一個非常慢的〇1/丁)。在此等(虛構)環境 下,该測試程式的即時狀態及在該DUT的活動不僅是在 583680 五、發明説明(l3 ) 互關聯(同步化)之-些時間/速率發生,也發生,,連續的一致 之狀態中,其中一原因及其影響從不會由該測試程式之-指令擷取所分離。在此等美好的環境之下,如果該丽不 能工作,在該程式中的下-步可以評估一響應及立即決定 在該程式中的該前一步驟那裏有錯誤發生。下—步要作的 則是依據該程式設計者的意圖。他或許希望簡化收集(報告 或保留)作為後㈣考量或分㈣基本f訊,像是什麼是該 被使用的位址及其他相關的錯誤發生的刺激資訊(如以一 程式指標器或者一些迴路指標來指示)。另一方面,該程式 =計者或者龍此可能發生及也已域供其_試 段,其執行是想要處理任何情況發生。因此,該程式將分 流,某些地方。此等所需要的動作在此所計劃的範例中的 破是可能,S為“連續地-致”㈣性衫會被該測試程式 的一指令擷取所分離。 二但是要被測試的該DUT並不是那樣地慢,該記憶體測 试為操作者想要以他們將要使用的速度來測試它們。再 者,該測試程式可能不會馬上查詢一先前的刺激是否產生 一相關的錯誤。除了建立超級快的硬體來保留該連續的一 致的特性之外,我們實際上沒有其他的選擇,僅能允許該 官運的延遲變得看得到。但是我們所付的價錢對該基本問 題在%式中那裡發生錯誤…”的答案是一很很難被提供, 如同該等機構被需要來允許在一錯誤上分流的工作一樣。 在任一個例子中,在該管道之頂端的該測試程式將已經前 進超過至其提供該刺激之處。其也可能已經提供許多此等 本紙張尺度適用巾國國家標準⑽s) 規格⑵狀撕公營)
i 0 (請先閲讀背面之注意事項再填寫本頁) •、可I 583680 A7 ________B7 I五、發明説明(14 ) " '' -- ❹m,及其已純料起就已經進行條料分流。即使 錯誤旗標真的最後被設定及其而後被該程式所設 κ是那—個事件是與其相關?及在它的時間點上,該不同 靠址是在那裡?它們似乎與其先前者不同。其將是相當 ⑽地支援所有的事物及發現。我們如何能錢的^理: 雜式巾_醉件㈣行在它們在該DUT所產生的效果 t前?如果此不能被解決,此將是在該記憶體測試器上的 一個相當大的缺點。 怎麼處理呢? 本發明之摘要 t與其相關的-錯誤隨後㈣DUT上發㈣,該問題 m回在-記憶體測制測試程式之㈣—適當位置及 _復它的演繹㈣的狀態。由於在由該程式執行環境至 齡UT連接位址及資_激之—傳送向量管道的延遲及也 纟於在由„彡DUT回到5¾測試程式的執彳環 塑 一 触向«道之進-步㈣,此等㈣允許該程式^地 ㈤進而不用考慮該刺激被給在那裡。此自由的前進使其在 ㈣能錯誤相_該正確環境變得_。基於該錯誤信 唬之分流可以重新開始該程式的一區段,但是其只像是 I樣板,#需要進一步的測試演繹控制資訊,該資訊是隨 t奸式執行作動態變化。此解決方法是安裝不同的歷史 S進先出緩衝器(Hlst〇ry FIF〇)於該記憶體測試器,該歷史 先進先出緩衝器的深度是依據該傳送及接收向量管道相對 ;X歷史先進先出緩衝器之位置的延遲之總合來調整。當 規格⑵。---
-------------#-: (請先閱讀背面之注意事項再填寫本頁) .、可| 583680 A7 一_____B7 _ 五、發明説明(15 ) 该錯誤旗標被產生該所需要的程式狀態及演繹控制資訊是 出現在該正確的歷史先進先出緩衝器的底部,及其在需要 時可以被使用。此技術可以被立即的使用在該測試程式使 用一 ALU來產生它自己的DUT刺激(其是整個自我包含)的 例子中,及被使用仔該測試程式/ALU定址一中間緩衝器記 憶體’它的内容是該測試在進行的該DUT之特性的中心。 在該第一例中有一ALU歷史先進先出緩衝器,而在該第二 例中有一緩衝器記憶體歷史先進先出緩衝器。(該用語“歷 史先進先出緩衝器,,是一概括用語及一類特別的先進先出 緩衝裔的名稱。沒有稱之為“歷史先進先出緩衝器,,的先進 先出緩衝器;只有在該類別中的特定成員。) 再者’測试程式的作者最不想要因為發現該不同的管 道深度而被打擾,該不同的管道深度是因被該程式因應不 同執行的測試所安排的不同的記憶體測試器結構所產生。 據此,有一種機構用來追蹤在其發生時的結構及據此調整 該不同的歷史先進先出緩衝器的深度。也需要在該測試程 式水平上的一些開始’’機構來指示當一刺激被產生以在隨 後檢驗一相關錯誤,至於一歷史先進先出緩衝器被填滿一 需要的使用深度的層度是接著該“開始,,指示被決定。 该測試程式不需要查尋是否有一錯誤在該相關刺激之 後的一些時間。如果一歷史先進先出緩衝器被允許在中間 釦續儲存新的刺激,但在一錯誤發生之後,該所需要的響 應將會遺失,。據此,有一機構用來在一錯誤產生時,凍結 一歷史先進先出緩衝器之内容。 辦本紙張尺度適用巾國國家標準(CNS) Μ規格U10X297公釐) -----V-------¾丨 (請先閱讀背面之注意事項再填寫本頁j 訂· 19 五、發明説明(l6 ) ECR(Em>r Catch RAM ··錯誤擷取隨機存取記憶體)通 常在真正的DUT測試期間被填充,及而後被不是真正操作 該DUT之進一步測試程式活動所檢驗。該等不同的管道延 遲可以使其變得很奇快地來決定被使用在該DUT之位址, 其產生記錄在該ECR的-特別錯誤指標。提供於此的傳統 利用的操作是太慢的。該歷史先進先出緩衝器機構可以使 用在ECR檢驗及-ECR歷史先進先出緩衝器將提供不會有 任何懲罰的答案。 最後地,需要實現一歷史先進先出緩衝器的經常費用 可以被擴充來允許在該測試程式中的—分流指示,而不會 早於該錯誤旗標的數值所需要的管道延遲來過早響應一= 秩旗標,該錯誤旗標值是位在該測試程式内之原因所決定 的。 圖式之簡要描述 第1圖是根據本發明所建構的一擴充可重架構及演繹 地驅動非揮發性測試器之一簡化方塊圖。 第2圖是第1圖之該01;丁測試器6之一簡化方塊圖擴充。 第3圖是第2圖之部份的擴充,顯示一 ALU歷史先進先 出緩衝裔之特性及其相關的控制電路;及 第4圖是第2圖之部份的簡化方塊圖,顯示一最後錯誤 資料暫存器,一緩衝器記憶體歷史先進先出緩衝器及一 1史先進先出緩衝為之特性及其相關的控制電路。 較佳實施例之描述 請參考第1圖,其中顯示根據本發明之原理所建構而成 583680 A7 —----—— _B7 五、發明説明(Π ) 的非揮务5己彳思體測試系統之一簡要方塊圖。特別地,所 不的该系統可以每次64個測試點來同時測試,一次最多至 36個個別DUT,S(待測裝置),提供重新架構來允許一集合的 測試源的元素被結合在一起測試具有超過64個測試點之該 等DUT。此等測試點可以被設置在尚未被切割及封裝之一 積體電路晶圓的-部份。此用語“測試點,,是指一信號可以 被施加(例如,電源供應器,時脈,資料輸入)或一信號可 以被測里(例如,一資料輸出)之一電性位置。我們將遵尋 產業習慣稱該等測試點為“通道(&annels)”。上述該“測試 源集合被結合在一起,,可以被瞭解為36個測試區,其中每一 測試區包括有一測試區控制器(4),一(64通道)DUT測試器 (6)及一(64通道)接腳電子集合(9),其作實際電性連接至一 DUT(14)。备在測试該DUT需要64或較少通道的例子中, 一早一測試區是足以來執行測試該1311丁,及我們可以這麼 况,例如,該測試區#1(其被示於第丨圖中)形成或執行作為 一單區測試基台’’。另一方面,當後述重新架構的一些形 式有效用時,二個(或者多個)測試區被”結合)一起作用為 一較大等效測試區,其具有128個通道。據此,及再一次參 考第1圖所示之一範例,我們該測試區#35及#36形成一“雙 區域測試基台”。 簡要地考慮一相反例子,不應先假設一整個測試區是 需要來測試-單-DUT,或一單一測試區可以測試但不是 一單一 DUT。假設一晶圓具有二,三,或四(可能但不需要, 相鄰)不能工作,它的測試通道需求總數是64個通道或更 q本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) --~一~ (請先閱讀背面之注意事If再填寫本頁) •訂· 583680 五、發明説明(18 ^相UT(! 5a_d)可以藉由_單—測試區被同時測試⑽ :第所示之測試區#2)。使其變為可能是因為每一 ;;一般目的之可程式能力,如以適當方法所描述由 特疋硬體結構所擴充者。主要地,被該測試區所執行的一 測5式程式可以被編寫成使該測試區的來源之-部份可以被 用來測試該DUT之一,而吴 如八、丄 而另一部份被用來測試其他的 :此後,我們將假設如果我們有一第三DUT,該第三 UT疋4刚_個的邏輯單元,而後我們將可以—單一、、則士式 區來測試該第三DUT。因此我們應該可以類似地測試二 、成伤DUT ’如同先珂者。當然,最主要的差別是個別 追蹤該二“成份DUT,,通過或是未通過,相反於對於該‘‘禾 三,,聰的-簡單單一回答。在此也有其他的議題,包括移 除或限制該驅動信號至一壞的DUT,基於DUT指示不通過 來在該測試輯巾分流,而同時避免該測試程試變成毫 希望的多螺紋。在單一測試區上的此“多011丁測試基台,, 力的某些簡單特徵是相當簡單的,而其他則是複雜的。 如果不是因為此測試區域重新架構的觀念,則在一 5式區及一測試基台之間將沒有差別,及我們將省略其中 個用語。然而,當它是基於此理由時,其要瞭解測試基 的數目不需要等於該測試區的數目。在過去,此等數目1 以是不同,是因為測試區有時後是分開來產生簡單多DUT 測減之測試基台(DUT不是複雜到足以使用掉整個測 區)。然而,現在此差別可以是因為具有已經被結合在一% 的測試區來形成多區域測試基台(DUT對於一單一測試區 地 第 無 月& 測 台 可 言式 起 本紙張尺度相中國S家標準(CNS) A4規格(21GX297公釐)
— (請先閲讀背面之注意事項再填窝本頁) .訂- 583680
是太複雜)。 而後再H —測試系統控制器2被-系統匯流排3連 接成36個測試區控制器,其名稱末端被加字尾#1至 #36(4a_4z)。(事實上,下標W只用於1至26,而不是至36。 但此小掩飾似乎是受用於整個標號特性的數字下標,其可 能非常混淆)。該測試系統控制器2是執行-適當測試^統 控制程式之一電腦,其屬於測試非揮發性記憶體之工作。 該㈣系統控制程式代表在階級部門的勞工中的最高精華 (及複雜的)來(成所需要的測試。此測試控制系統決定那 二私式正被不同的測試區所執行,及監視—機器人系統(圖 中未示)°玄機為人測試系統在需要時測試探針及DUT,s。 測試系統控制器2可以工作來支援一些測試系統被作為單 區域測試基台及另一些作為多DUT測試基台的觀念。而 他的被結合在-起形成多區測試基台。很明顯地,在此 i兄中有卉夕不同部份被測試,及其最需要是不同測試可 用在不同的部份。同樣地,其不需要所有的單區測試基 被測試在相同型式的部份,對於多區測試基台也不需要 據此孩’則5式系統控制器2被程式來產生命令來完成該需 的測5式區結合及而後來在使用時對於不同的測試基台要求 該適當的測試程式。該測試系統控制器2也接收關於由該等 /貝J 4所獲得的結果之資訊,使得其可以採取適當的動作來 拋棄該壞的部份及使得其可以維持對於不同分析的行程, 其可以被用來控制如在因素設定的製造程序。 5亥測試系統本身是一相當大且複雜的系統,及其通常 豆 環 以 台 要 ρ本紙張尺度適用中國國家檩準(哪)A#規格⑵〇χ297公楚)
(請先閲讀背面之注意事項再填寫本頁) 、τ. 583680 五、發明説明(20 ) 疋使用 機為人糸統來將晶圓安置在一階層上,盆而後在 與該接腳電子集合9之下連續地置放一個或多個目的模 .子,其中該等目的模子(該晶圓尚未被切割)被測試。該測 試系統也可以被使用來測試已經被載入適當載體之封裝元 件。其將(如後述)有至少有一與每一測試基台一起使用之 測試區控制器被使用,無論是多少個測試區被使用來形成 該測試基台,或多少測試基台在該測試區上。一測試區控 制器是-被整合系統,其可以是由英戴爾(Intel)所製造具 有36至64MB的整合程試及資料記憶體之i96〇微處理器,其 執行一專用的作業系統稱之為v〇s(反測試作業系統, versaTest0/S),其也可以使用在先前用來測試非揮發性 憶體(例如,該AgilentV1300或V33〇〇)。在此時,我們將,、 考慮单區域測試基台的情形。為了一定義範例起見,假設 該測試區#1是作用為測視基台#1,及其是用來測試該 WHIZCO元件編f虎〇013。該測試方法牵涉百種或很多不 的測試(變化及監視電麼位準,脈衝寬度,邊際位置,延遲 及-大劑量之簡易儲存及取出資訊的被選擇模式),及每 型式的測財涉到贿之數百萬種的㈣記憶體週期。 該最高位準,該測試系統之操作指示該測試系統控制哭2 去使用測試基台#1來開始賴細⑽⑻13。在適+ 序中,該測試系統控制器2告訴測試區控制器#1(4^盆: 為一被整合(電腦)系统)去勃〉一—上a 〆、疋 執仃4相關的測試程試, TEST WHIZ 13。如果哕铲今口 z J戈 -纟果。亥私式已經在測試區控制器#1的 兄下可被使用’而後其可以被簡易地執行。如果不是, 記 只 同 在 環 而 本紙張尺度適用中國國家標準(⑽)A4規格 釐) (請先閱讀背面之注意事項再填寫本頁)
583680 五、發明説明(u ) 後其將被該測試系統控制器2所提供。 現在,在原理上,該程式TEST—wmz—13可以是完全 的自我包容。但是如果它是,則其將是幾乎是相當的大, 及其在該測試區域控制器4&之内的被整合系統在所需要的 速度下,或即使在由一而丁記憶體週期至下一個週期是平 均的速度下執彳T快速足以產生該等測試是有困難的。據 此,低階子程式型活動被產生為設置在該DUT測試器之一 可程式演繹法則機構,該等活動產生連續的位址及被寫入 或被期待為讀取操作之相關資料,但其與在該測試區域控 制為中的該被整合系統所執行之程式同步操作。視此為輸 出某種低階類子程式活動,及該起始DUT記憶體週期輸出 至一该DUT 14硬體環境非常接近之一機構(該測試 态)。大體而言,而後,無論何時該測試系統控制器2配備 具一測使試程式之測試區域控制器,其也提供具有適當低 階實施程式(或許特定於被測試的記憶體),該程式需要完 成所描述的所有活動或為該測視區域控制器之程式所需 要。該低階實施程式被稱為“圖樣(pattern)”,及它們被一 般性的命名(就像是在高階程式與言中的功能及變化具有 名稱)。 每一測試區域控制器#n(4)被一測試區域匯流排#n(5) 耦接到其相關DUT測試器#n(6)。該測試區控制器使用該測 試區域匯流排5來控制該DUT測試器之操作以及接收來自 其間與該測試結果有關之資訊。該DUT測試器6可以在高速 下產生與測試程式有闕的不同的DUT記憶體週期,其決定 本紙張尺度適用中國國家標準(0¾) A4規格(21〇χ297公營) 25
(請先閱讀背面之注意事項再填寫本頁) 訂| 五、發明説明(22 ) 一讀取記憶體週期之結果是被預期。基本上,其藉由啟始 有用的連續讀取及寫入DUT記憶體週期來響應由該測試區 域控制裔所送來的命令或操作碼(“被命名圖樣”)。在觀念 上,该DUT測視器6之輸出是被施加在該DUT之刺激資訊, 及其也接收由此之響應資訊。該刺激/響應資訊〜通過該 DUT測試器6a及一接腳電子集合#1組合%。該接腳電子組 合9a支援至64個可以被使用在該DUT 14之探針。 上述刺激資訊只是為根據使用在該DUT測視器中的某 些家族的電壓位準所表示的連續的平行位元圖樣(即,連續 的“傳送向量,,及被期待的“接收向量”)。此為在一刺激/響應 之内的位7G位置之結構化映對及該等探針將要至該模子, 及此映對可以為該DUT測試器6而被瞭解。該等個別位元關 於他們的日守脈及邊際置放是正確的,但除了該映對之外, 在匕們可以使用在該011丁之前,它們或許也需要電壓位準 改變。同樣地,接在一刺激之後源生在該DUT之一響應在 其可以被考慮適於來被回饋至該DUT測試器之前,其可能 需要緩衝及(反向)位準改變。此等位準改變工作是該接腳 電子集合之工作範圍之内。用來測試一 WHIZCO 0013所需 之接腳電子集合結構將不適合用於該aCmE c〇.之一元件 及可能也適合用於另一 WHIZ Co·元件。因此,在此應要瞭 解,該接腳電子集合組合也需要是可以配置的;此配置能 力是該PEConfig線8a的功能。 上述歸納了一個單一測試區域如何被建構來測試一 DUT的簡明整體架構。現在我們將轉移到當有許多測試區 583680 五、發明説明(23 域要一起操作時所產生的議題。在此 爐呈右夕、目丨叫厂 月,J,我們將描述建 構具有夕測武&域之一測試系統之一 千乂1土 μ知例。在許吝 方面,我們正要描述的_些資訊是基於消費者直好及 效!分析的行銷研究之選擇事項。當其可行時:為了建立 之一’其必需作明確的選擇,及-但已經完成, 則“有可以在整個系統被觀察到的特別結果。其似乎是 值得至少是以-般的方式來描述該測試系統的硬體特性的 較廣的概述。即使有效特性是偶發產生的,它們所提供的 瞭解然而將幫助在多方面的例子中用來說明本發明。 器 染 量 面 個 電 (請先閲讀背面之注意事項再填窝本頁) 而後,首先,考慮四個相當的卡昆。除了電源供應 及水冷卻(風扇可以是為在一清潔室内環境中的一污 源,及對於一全載系統,在移除數十千瓦(KW)的發散埶 時,冷水比空調較為便宜),一主機板,一前面板及一背 板。在每一卡匣之内可以被置放至多至九個組件。每一 組件之中包括有一測試區域控制器,而丁測試器及接腳电 子集合。我們將描述測試區域控制器如何被鏈結在一起的 一般性說明,其牽涉到用來產生相互連繫系統的匯流排。 一簡要離題來考慮該用語“相互連繫系統(daisy chain)”或許是按照次序。考慮系統元件a,b,c及D。假 設它們被依該次序相互連繫成為一系統。我們可以這樣 說’其有一資訊或控制通道來離開A而進入b,B可以選擇 地繼續流程而離開B而進入C,及可C以選擇地繼續流程而 離開C而進入D。此等相同形式的安排也可以存在於其他方 像的流量。相互連繫系統通常被用來產生優先計劃;我門
8M 583680 五、發明説明(24 將使用他們來生在不同的測試區域控制器之間的主/樸關 係。我門將以在字尾名詞“DSY”來定義此等相互連繫系 統’而不是用“BUS”。目此’我們可以使用指令/資料 (C〇mmand/Data)“DSY”來作參考而不是“Bus,,。現在資 訊“進入B及被選擇地繼續”的觀念可以用來說明流量在被 繼續之前是可以被複製成一分離組合的導體。其可以是這 樣的方法,但基於效能理由,其更像是為一具有可定址實 體的正常匯流排。藉由-可程式位址映對安排及可以將下 流測試區域控制器置於“睡眠,,的能力,該單一匯流排可以 被製作成邏輯地出現(亦即,作用)為多數個相互連繫系 統。最後地,要瞭解該等相互連繫系統是指令及控資訊的 高效能通道,如果它們不是這樣子的話,則我們不能期待 一主/僕組合(多區域測試基台)來操作像是一單一測試區 域-樣的快。對於相互連繫系統成效的優點,不同的dsy 不會離開它們分別的卡E。此決定的效用是用來加一些限 制於使該等測試區域(及因此有多少個)可以鏈結在一起。 在原理上,對於此限制並沒有基本上的需要,也沒有真的 缺乏相關的技術實務(其可以已經被完成);其簡單地考慮 到,因為在一卡匣中已經有九個測試區域,擴充該等Dsy 相對於幾乎沒有增加利益,只是增加了相當大成本。 而後,重新回到我們在第i圖中的討論,考慮寄居在四 個卡匣的不同的測試區域控制器4a_4z,每--^匣具有九個 測試區域控.制器。讓我們將其等定義為4a_4f,, 4n-4t,4u-4z(不要介意,如先前所解釋,其名義上只有% 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公董) (請先閲讀背面之注意事項再填寫本頁)
583680 A7 ......— B7 五、發明説明(25 ) 個字母,但讀者將要想像在某處有另外十個字母符號)。一 CMD/DATDSY l7a(指令及資料相互連繫系統)交互連接在 一卡匣中的該等測試區域控制器4a-4f,而另一 CMD/DAT 17b父互連接在另一卡匣中的該等測試區域控制等測試區 域控制器4g-4m。此相同的安排分別存在於剩餘的卡匣, 及測4區域控制器4n-4t及4u-4z。先前我們以經提到該DSY 不會離開該等卡匣,因為實際上形成該DSY的一匯流排之 “尾端’’不回離開一卡匣及變成另一卡匣的下一區段的頭 部。取而代之地,來自該測試系統控制器2之系統匯流排3 進入所有的測試系統控制器,及每一個可以變成在不會離 開該卡匣之一DSY區段的頭部之主人。 我們已經討論的該CMD/DAT DSY 17a_d存在於不同 的測忒區域控制态4a-4z之間。有一種對於該sync/err DSY 18a-18s及該DU 丁測試器6卜心之類似的安排。由該 SYNC/ ERR DSY 18所傳送的同部及錯誤資訊允許加丁測 〇式、致性地作用。此二個相互連繫系統(17及18)攜帶不 同型式的資訊,但每一個存在作為用來鏈結一或多個測試 區域在起來至一測试基台之相同一般性機構的一部份。 我們現在回來討論第2圖,其是為在第丨圖中的該DUT 測試器6之一簡要方塊圖擴充,其可以擴充至36的數目。現 在只需要描述它的一個例子。簡覽該第2圖將顯示其是為被 元件相s平均地佔據著;特別是對於_ “簡化,,方塊圖。在 «UT㈣^中及在方塊时被表示者是功能性相當複 雜地,及其在“架外(Off the shelf)”形式中是不可取得的。 戀本紙張尺度適用中國國家標準⑽)A4規格(210X297公釐) ---- (請先閲讀背面之注意事項再填寫本頁)
五、發明説明(26) 其在此適當地產生兩個觀點。首先,包括第2圖的主要目的 是要描述在該整個非揮發性記憶體測試系統ι之内之一重 要操作環境的基本特性。被與該第3圖及後續圖式一起被完 整的描述之本發明將由第2圖中後續的描述所擴充,或者它 們,是新的機構,其激發性的前題可以在第2圖中被找到。 不官以那一種方式,在此被寫入時,其不是很正確地瞭解 讀者所熟悉的是那-種。現在,纟目的找供-種對於不 同的車乂仏貝k例之不同的詳細描述之簡化而資訊性的啟始 點,使得每一個可以簡明及適當(相反的對於一“組合,,說明 曰,、對於每個不同發明揭露每一事項)。第三點是在第 圖中大體上整體同意的擴充或延伸材料可以包含不需要 剛好與該簡要式版本“匹配,,之資訊。此不意味著它有錯誤 或事情有決定性的不-致,·其產生是因為有時後是困難或 不可能簡化有些東西在縮小尺,忖是該正好的影像。此情 況相當類似於地圖。-標準尺寸的科羅拉多州之道路圖將 顯示相東走70號州際道路,你可以在丹彿向北走⑸虎州際 道路。其看起來像是向左轉,但現在事實上不是,及一交 接的詳細的地圖將顯示一系列的路段轉彎及交叉路段。但 疋/又有人會說該標準尺寸的道路圖是錯誤的;其在簡要的 水平上是正確的。類似地,及除了它相當繁忙的外觀,第2 圖事貝上是中間層度簡化的一簡化操作,但有一些似乎是 左轉其實並不是那麼簡單的左轉而已。 如第1圖所示,該主要輸入至該〇11丁測試器6是該測試 區域匯流排5之一例子,其是與所關切的該DUT測試器6之 583680 A7 B7 五、發明説明(27 (請先閱讀背面之注意事項再填寫本頁) 例子相關之-贼區域控制器4所產生的。制試區域匯流 排5被純至-多g流㈣制器88,其轉換在制試區域匯 "U_排上的机里至在-4形(Rlng)匯流排85或—VT匯流排仍 上的流量。環形匯流排流量也可以被轉換成vts流排流 量,反者亦'然。在第2圖中幾乎所有的元件是-些大型積體 電路的-部份;該時脈/格式化及壓縮電路52(將在後述)事 實上是8個這樣的積體電路,雖然我們因為簡明的目的只繪 示出-個實體。除了因為不同的外部動態隨機存取記憶體 (Ext. DRAMK有-些是該内部測試記憶體87之一部份一參 考第3圖)’在第2圖中其餘的大部份元件另一個叫作 APG(自動圖型產生器,Aut〇matic之大 訂— 型積體電路的-部份。該環形匯流排85是絲架構該謝 測試器6之APG部分之内的主要元件之—般性目的内部通 訊通道,及用來設定操作模式等。在該APG的不同元件之 間也有不同專用相當覓及尚速通道。該ντ匯流排89是一個 積體電路至積體電路匯流排,使用在該DUT測試器本身之 内。 該環形匯流排8 5是該測試區域控制器與該D u τ測試器 6之APG部份通訊的機構。該環形匯流排以耦接至一微控制 斋序列态19,其可以是一特殊目的微處理器。使用由一下 一位址計算H1G2所產生的位址,其由儲存在_程式記憶體 中的-程式擷取指示,該程式記憶體可以是内設於該微控 制器序列器19(PGM靜態隨機存取記憶體2〇)或者外接至其 上(外部動態隨機存取記憶體21)。雖然此二記憶體似乎是
583680 五、發明説明(28 (請先閱讀背面之注意事項再填寫本頁) 為基本上作為_程式計數器(或,指示擷取位址)之一邏輯 ’、彳止63予以定址,及其可以是被執行的程式來源,在 此注意(1)在任何時間區間期間,只有一個記憶體執行指令 擷取。己隐體週期,及(2)事實上,它們是被不同的信號予以 電性定址。該靜態隨機存取記憶體是快速的且允許真的隨 機存取,但疋在該微控制器序列器19(其是為該大型Α叫積 體電路的-部份)中暫據了有價值的空間,因此它的尺寸受 到限制w亥外部動恶隨機存取記憶體可以設置在相當大的 數量之可調整總數中,但只有在存取牵涉到線性執行及沒 有分支的連續大數量時才是快速的。在該靜態隨機存取記 M20中的程式最通常是極度地演繹法則的,而該外部動 恶隨機存取記憶體21最適於不會被演繹法則程序立即產生 的材質,向是啟始例行程序及或不規則資料。 該下一位址計算器102可以依照不同程式控制旗標 ()八他旗“(55) ’及特定其他信號來響應無條件跳躍指 令或者條件跳躍或條件流程指示實施分流可以被執行的程 式,上述旗標為了清楚起件是分別以(def〇 : 3⑽及 DPE0 : 3 104)來顯示及其是提供作為多〇1;丁操作。 被該微控制器序列器19所擷取及執行的該指令字元是 相當廣的:208位元。其包含有36位元攔位。此等攔位通常 代表在該微控制器序列器之外的機構之被操取指令資訊。 此等攔位是專用於與他們相關的機構。一組則指令切皮 力至八们16位元ALU 24之集合,而其他的則、經由該⑽丁測 試1§被分配用於其他的機構。後者的情況是由線及文字“不
583680 A7 _B7 __五、發明説明(29 ) 同控制值及指令(VARIOUS CONTROL VALUES & INSTRUCTIONS)”42來表示。 言寒八個16位元ALU’s(24),每一個具有由沿著相關16 位元結果暫存器所建立的一傳統式個別的指令算數指令 (每一 ALU也具有許多其他的暫存器)。其中的三個結果暫 存器及其等相關的ALU是用來產生X,Y,及Z位址成份27, 該等位址成份是變化組合成一完整的位址來提供給該 DUT。該等ALU/暫存器(DH&DL)中的另二個被提供來幫助 32位元資料圖案28的演繹法則產生,其被分配在一最大部 份(DH)與一最小部份(DL)之間。最後的的三個ALU/暫存器 (A,B,C)被用作為計數器及用來作為不同控制旗標25的 產生,該等旗標幫助程式控制及分流一些程視化特定數目 重複或其他數目條件的完成。此等程式控制旗標25被送回 該微控制序列器19,其中它們以類似於我門所暸解的微程 式執行機構的方式來影響到該指令擷取位址的數值。同樣 的不同的其他旗標55也可以被使用來作用程式分流。此等 是由被該擷取指令字元之不同的欄位所控制的DUT測試器 之内的不同的一些其他機構所產生。一個特別額外的旗標 是被表示為一分開的項目:PD_ERR 90。它被提供至該 PGM靜態隨機存取記憶體20,其由該後解碼機構60所產 生,及其指示該後解碼機構60已經發現一錯誤。另一像這 要的額外的旗標是VEC_FIFO_FULL 26。在另一個有一點 不詳細的圖式中,其可以與該其他旗標55聚集在一起。我 們已經將它分開來以幫助解釋該微控制器序列器19之操作 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 33 583680 五、發明説明(3〇 的特徵。 。憤_刪—FULL 26所作的是(暫時地)暫停被該微控 制為序列為19之進-步程式執行。在被該微控制序列器^ 及機構所擷取的指令之間有許多階段 ^ ? 自奴的官逼,其最後被送 出測試向量來被用於該DUT。除此之外,伴隨著一。旦 該包裹的一部份,當它移向被用在該〇1]丁時,它是 終向量應用之比率或每一向量的持續時間的資訊。因此 對於DUT的向量應用比率不需要是常數,及特別地,一 組的向量會較起它們用來產生要花費較長的時間來應 。 該微控制序列器以它的最高速率來簡單地執行程式。'但、青 楚地’在平均上’“向量消耗,,率如同其先前必需等於‘‘: 產生”率’免得該管道必需是彈性地幾乎沒有限制。在後 所討論的該位址映對器29之輪出上有—向量先進先出緩衝 f (Vector FIFO)45,及其充當為在該管道上的彈性階段。 藉由使在該管道的頭部上新的向量產生暫時的中斷,該信 號VEC 一 FIF0—FULL被使用來避免過度執行在該管道中的 有限數目的階段。 接著,該(3乘錢等於48的)χ,γ,及冰址成份㈣ ^至―位址映對器29 ’它的輸出是在—依序的48位元位址 空間中的一事先選出而幾乎是任意重新安排的位址值。當 瞭解此-觀點後’假設該位址映對器29是全被暫滿的师 ^空間的記憶體,及其在每一位址上保持一 48位元數值。 (曰守不要在思此一記憶體就像是今天的大型冰箱的尺寸。] 給與此一記憶體,一對照表可以被實施來映對任何被施加 的最 群 量續 本紙標準(^7
Α4規格(210X297公釐) 五、發明説明(31 ) 的位址至另一任意選擇的48位元數值,其而可以被使用為 一替代的位址。此位址映對是需要的理由是該χ,γ,及2 位址成份大體上具有在一特別DUT的内部架構的内容中有 用的意義,其最不可能以一個大的線性解碼器來實現。列, 行及層,組塊或頁的觀念或許對於測試工程師非常有用, 但對於實質上非常靠進在一起的位置可能會牽涉到在他們 的X,Y,及Z位址之接近程度而沒有功效。無論是在設計 層面上或是重新程式一部份來以備用的區段取代一瑕疵區 段的操作的製造層面來說,在測試結果中的此等圖案對於 暸解它是那裡發生錯誤及試著去解決它是有價值的。兩個 4題由此種觀點所產生。第一個議題是配對該48位元降低 至該實際數目的位元(假設,32,或者或許36)來被用於該 DUT。我們將簡短的提到該配對降低是如何完成,及主要 是由X中取得此許多位元,而再者由γ取得,剩下的由z取 得。但不是全部地,及此為該第二議題,因為有些位址可 能存在於一電路中,及是為電路的另一區段的一由左至右 ^或右至左及上至底)鏡影。這具有重新安排該位元所代表 意義的效果,直到連續的位址數值在該電路中是照著實體 上的次序為止。此晶片佈局特性可能發生許多次,及其可 能就好像是一群組的位元譬如是γ如何被解釋,及其依據 一些其他的譬如Ζ位元的相伴隨數值。該位址映對器29被 用來允許該列X,Υ,及2位址“被重新包裝,,,如先前,來 反應那些測試具有此等内部結構安排的記憶體的那樣子的 優點。至於它是如何真正的達成,該位址映對器“是由相 583680 A7 「五、發:月説明(3; ) ~^ ~ ~ 叾大數目的相互連接的多卫器所建構而成。其不可以達成 %上述用為說明目的暫時所假設的—完全暫滿的記憶體解 碼器元理之完全地任意對照表行為。然而,其可以在需要 時重新安排該X,Y,及2位址成份的次襴位,特別使次是 目為尚有另-機構將配對降低由48位元至真正戶斤需要的數 目。該位址映對器也包含有36位元(位址)對照表,其允許 在局部區域内執行有限制的任意映對。 該位址映對器29之映對位址輸出3〇被用作為一位址於 不同的緩衝器記憶體及/或標籤隨機存取記憶體(Tag ram) 31A-B及於錯誤擷取隨機存取記憶體1/2(32a/b),其中,當 具有個別的功能時,其仍然可以被實施作為在該四個記憶 體組中的被選擇分割部份,該記憶體組是為可收集的内部 測試記憶體87。該映對位址輸出3〇也被使用作為至一位址 位元達擇電路(Add. Bit Select circuit)3 7之一輸入,它的多 工功肖b以適g的方式被予以描述。該内部測試記憶體可被 建構成包含有使用在不同功能中的許多種不同的隨機存取 記憶體基底之記憶體結構。此藉由澄清該等不同的記憶體 組之特定部份被使用在相關目的而被完成。第2圖所顯示的 是一種此等安排··安排可以依據測試程序來改變,及此整 個記憶體組的使用應該是要非常的動態。沒有一個該内部 測5式圮憶體的成份(例如,該錯誤擷取記憶體32A_B)是永久 的硬體设備。所謂的永久是指該四個記憶體阻。但在任意 I 給疋妆間時’記憶體組是一錯誤擷取記憶體的一部份(如果 I 真的‘有一個被定義)是依據什麼樣的結構被建立。 36 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) #36 583680 五、發明説明(33 ) 考慮该緩衝記憶體3 1A及3 1B。它們的功能是保留可以 被用在該DUT的資料圖樣33及位址34。此等事實上是來自 匕們相關的緩衝器記憶體之分開的輸出,雖然此等緩衝記 憶體不是一對偶“埠記憶體,,,但是最好是由二不同記憶體 組之部份所組合而成,而儲存位址34被保持在另一個。再 者,我們尚未顯示如何寫入一緩衝記憶體的詳細機構。一 種可以達成的方法是藉由在執行中的程式指令下,由一測 試區域控制器4所啟動的一位址匯流排操作。就像是有一被 稱為該環形匯流排85之“在地板下,,“效能服務,,匯流排,其 行經第2圖中的幾乎所有的元件(大部份的行徑並未顯示— 否將會將該圖式弄得相當複雜)。另一個較快速的方法來寫 入貧訊至該記憶體組是與第3圖一起來被描述。 該錯誤擷取隨機存取記憶體32A-B被施加在該緩衝 記憶體的相同位址所定址,及它們或是儲存或是取出有 錯為的資Λ,其中操作是與後面將討論的一後解碼電路 起執行。如同來自該緩衝器記憶體3 1Α-Β之該等通道33及 34,(來自該錯誤擷取隨機存取記憶體i 32Α)之通道62八— 根據該環形匯流排(圖中未示)所分配的結構資訊最號是多 工化由一記憶體組(被建構操作為一錯誤擷取隨機存取記 憶體)輸出。 ° 注意,該資料多工器35具有來自該緩衝器記憶體31α 之該被儲存資料輸出33及來自在該ALU之集合24中該暫存 器DH及DL之資料28等之輸入。該資料多工器35根據儲存 在該PGM靜態隨機存取記憶體2〇中的數值%來執行一啟 器 關
D (請先閲讀背面之注意事項再填寫本頁) 訂— 本紙張尺度適用中國國家標準(CNS) Μ規格(21〇χ297公釐) 583680 A7 B7 五、發明説明(34 ) (請先閲讀背面之注意事項再填寫本頁) 始選擇,其中此等輸入(28,32)表示為它的輸出38,除非 如後所描述,其而後被使用作為至一傳送向量映對器/連續 接收向量比較資料電路40之二向量成份中的一個(另一個 成份是該位址位元選擇電路37之輸出39)。 電路40可以執行三個向量相關功能:組合向量成份 (3 8, 39)成為可以被使用在(傳送)至該DUT之一整個向量之 一依序的邏輯代表;在該傳送向量之邏輯代表之依序位元 與該接腳電子集合(即,探針尖端)之真正實際通道數目之 間加入一任一動態對應(映對)將接觸到代表該信號(即,在 該向量上的位元)之該DUT ;及,與一整個邏輯向量之部份 中的編繹器一起使用成為數個片段而被非別地使用及為了 (連續)使該DUT承認此一事物。此等功能的執行是由來自 一靜態隨機存取記憶體41之控制信號所決定,其也根據由 該微控制器序列器19所擷取之208位元指令中的攔位予以 定址。 在電路40中也包含有DUT失能邏輯90之一區段。其目 的是響應不同的條件,一些統計,及一些附帶的測試結果, 但所有的都被程式化定義,其指示在它門四個之中的那一 個或多個將被失能。此等指示是由四個信號DD0 : 3 44b來 攜帶(DUT失能DUT0,DUT1等)。此支援在一測試區域上 的多DTU測試。電路40之輸出是最高至64位元向量44a,其 伴隨著該DUT失能信號44b被加入至一向量先進先出緩衝 器45,其中當完全產生該信號VEC_FIFO_FULL 26時,其 意義及使用已在先前討論過了。在該向量先進先出緩衝器 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) %·Μ 35 來 圖 體 的 §m J^68〇 五、發明説明( 45二端的向量由其被移除而被由-週期產生ϋ(將被簡 要纣娜)所產生的一信號VEC—FIF〇—unl〇ad Ο所收到。 此被私除的向s(46)被使用在透過該接腳電子組合利之相 關例子被連接至該DUT之-時間/格式化及比較電路52。亦 即4接腳電子組合9之每一例子(在不同的測試區域中) 接收來與其相關的時間/袼式化及比較電路%之該被傳送 及接收向量7及該接腳電子組合結構資訊8。 孩柃間/格式化及比較電路52被耦接至該匯流排以 接收結構及控制貢訊。其將被想起該時間/格式化及比較電 路52事實上是8個積體電路,其中為了達到我們的目的,我 們只將它們視為一個單體。 该時間/格式化及比較電路5 2具冑一個被相同的的指 令位址(在一小週期中的“A”)所定址之一内部#態隨機存 取記憶體52,如同該微控制器序制19之該程式靜態隨機 存取Z L體20。(-外部動態隨機存取記憶體53可以使用 取代該内部靜態隨機存取記憶體54,但其是由—未示於 中的的遞增計數H所定址)。該内部靜態隨機存取記憶 54(或外部動態隨機存取記憶體叫幫助驅動及比較週期~ 產生,其具有相關的格式。驅動週期將—傳送向量加至使 用由隨機存取記憶體54或53之其—所提供的_預選格式之 脈。崎週期接收由該而所表示的—向量及檢查該向 里,、亦疋根據一預選動態隨機存取記憶體提供格式來決 定其是否符合先前提供的比較資料。驅動及比較週期二者 皆是可以調整它們的持續時間,及適當地調整於無論或何 本紙張尺度適用中國國家標準(CNS) A4規格(210x297公楚、 (請先閲讀背面之注意事項再填寫本頁)
583680 A7 r—-~~ _i7 _ 五、發明説明(% ) 時一負載被加入,當資料被閃鎖或儲存,是否一信號回到 令’疋否以一補數來環繞一驅動信號等(此等選擇是上述不 同的格式)。 由忒日寸間/格式化及比較電路52所產生的比較包括有 以每通逼為基準的資訊關於一通道是否因為一邏輯數值的 錯為(功忐錯誤)及/或因為他的電子特性是超過可接受 的範圍(一參數錯誤)而失敗。再者,當多個試被執 行犄,其可以被知道是那一通道是與那一個DUT相關。此 允卉忒四個h ^DEFO : 3(DUT#功能錯誤)1〇3及四個信號 DPEO : 3(DUT #參數錯誤)1〇4的產生。 被該時間/格式化及比較電路52所執行的比較有產生 要被使用於一接收向量反向映對器/解連續器57之一64位 几數值56,其功能可以被適為電路4〇的邏輯反向。(電路” 之操作是由一靜態隨機存取記憶體58所控制,其相對於由 靜態隨機存取記憶體41所控制的電路4〇)。相反地,電路57 之輸出59被加至该後解碼電路,及也被加該錯誤快閃記憶 體1 32A。現在,必需要說,該後解碼電路可以透過輸入錯 誤貧訊59及先前存在該錯誤擷取記憶體i 32八中的錯誤資 訊的程式條件來檢驗,以產生濃縮且可解釋錯誤資訊,其 而後可以透過通道61被存入另一錯誤擷取隨機存取記憶體 2 32B。一個範例可以用來產生一在一特定範圍内的位址之 内有錯誤的產生次數之計數,其中資訊可以有效用的用來 決定何時可以使用替代電路來作晶片上修復。 我們現在要談到該週期產生器49及其相關的時間靜態 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂— 40 583680 五、發明説明(37 )
Ik機存取圮憶體5 1。此等響應一 8位元信號T—SEL 43,對 於由該微控制器序列器19所擷取出來的每一個2〇8位元指 令,其決定該時間/格式化及比較電路52之相關操作之持續 時間。至於一 8位元值,其可以代表或編碼成256種不同的 事物。在這例子中,那些“事物,,是儲存在該時間靜態隨機 存取記憶體51中的28位元數值,及其被T-SEL定址。每一 被定址的28位元數值(23)以一 19.5兆分之一秒解析度來指 明所需要的持續時間。被存取的28位元持續時間值之 序列被儲存在一週期先進先出緩衝器5〇中,使得該序列的 個別單元將被擷取及與它們預期相關的向量之取出同步的 使用,該等向量儲存在該向量先進先出緩衝器45。 上述歸納該記憶體測試器的整體特性的介紹性討論。 由此一觀點出發,我們現在將轉移我們的注意至該範圍模 式及其相關主題。 現請苓考第3圖,其是第2圖之方塊圖6之一部份的一擴 充的簡化方塊圖64,其歸納一 ALU歷史先進先出緩衝器69 及相關控制電路。該最佳的啟始位置始由該下一位址計瞀 器102開始,它的責任是用來產生使用在該pGM靜態隨機 存取記憶體之位址63的序列,該PGM靜態隨機存取記憶體 儲存被該硬體所執行的該實際的208個位元指令字元。亦 即,該下一位址計算器102是該程式指示器的保留著,其指 示該下一指令字元將被擷取的位址。該程式作穿 PGM_STEP83在每一次有一新的有效位址時被擁有。 當被執行許可及該相關旗標(55),程式控制變數(25) (請先閲讀背面之注意事項再填寫本頁) 訂· 841 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 41 583680 hi __ _B7 _ __ 五、發明説明(38 ) 等之一機器語言出現時,該下一位址計算器102藉由響應一 序列外位址(63)而實現在一測試程式之内分流。在此容量 中所關切的信號之中是一個稱為PD_ERR〇R 90(後解碼錯 誤)。其產生在第2圖之該後解碼電路60,及其在該共用的 應用稱為“具有強化後解碼之記憶體測試器(MEMORY TESTER WITH ENHANCED POST DECODE)中被完整的解 釋。對於該問題“它是什麼意思?”的簡短回答是該後解碼已 經決定用來偵測一錯誤的一些可結構化(在該後解碼電路 60本身之中)標準已經被達到。現在我們所感興趣的是測試 程試的位址及與PD__ERROR 90之開始相關的相關狀態資 訊。亦即,我們需要知道該ALU暫存器X,Y,及Z(位址) 之内容,或許該DH及DL暫存器之内容(它們組合成32位元 的資料),也或許該A,B,及C暫存器之内容(它們可以被 使用在其他測試程式操作的迴路指標)。為了回復那些暫存 器的内容,那也就是為什麼會有一 ALU歷史先進先出緩衝 器69。在一些實施例中,其只包括有該等位址暫存器就已 經足夠了,然而在其他的實施例中,可能需要一些在此沒 有提到的暫存器,其被包括在該ALU歷史先進先出緩衝器 69中是有用的。 此概念是該測試程式將對該PD_ERR〇R信號進行週期 性測試,及將假設地可以跳躍的需要關聯它的出現至在該 程式中的一特別的位址。但該跳躍的目的比較像是只是用 來處理某些事情的樣板,及對於該跳躍所作的是要讓不同 的暫存器(存在該歷史先進先出緩衝器者)的内作必要的儲 (請先閱讀背面之注意事項再填寫本頁)
本紙張尺度適用中國國家標準(CNS) A4规格(210X297公釐) 42 583680 A7 __B7 _五、發明説明(39 ) 存。然而,該跳躍的目的或許不是該程式指示器的真值, 其指令而後“產生”所要的PD_ERROR。將這些理由結合在 一起,而後,注意到對於該下一次位址計算器102之程式指 標器之數值不是在儲存在該ALU歷史先進先出緩衝器的該 等項目中。不像在一微處理器中的一内容開關,我們依賴 一正常的程式化跳躍來獲得該測試程式位址,以設定它所 需要前往的位置。或許在該程式控制之下,或者自動地, 該ALU歷史先進先出緩衝器回復該DUT位址,DUT資料, 及或許程式迴路控制指標之内容。以一該ALU歷史先進先 出緩衝器事件之結果可以被程式化彈性地指示的方法是有 效用的。 繼續地,為了我們現在的目的,由該PGM靜態隨機存 取記憶體20所擷取的該208位元指令字元包括有一 η位元部 份,其描述ALU指令(22,26)配合ALU操作(試想:“測試程 式的演繹法則控制”)。有一個稱作為BEGIN—HIST的剩餘部 份68,其意義及使用將被我們在後面作簡單的描述。該剩 餘部份67是該208位元所剩下的部份,及變化地前進至任一 它們所需要前往的之處。 該ALU指令(22,26)被加在該ALU指令電路70之集 合,該ALU指令電路70被適當地連接至ALU暫存器(71-78) 之集合。此與第2圖所示者是為相同的,及在此第3圖中所 命名為A—ALU暫存器71 ; B—ALU暫存器72; C—ALU暫存器 73 ; DH_ALU暫存器 74 ; DL_ALU暫存器 75 ; X_ALU暫存 器76 ; Y—ALU暫存器77及Z—ALU暫存器78。在此將可以暸 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 43 五、發明説明(4〇 ) 解,為了簡明起見,我們在第3圖中被並沒有顯示對那些暫 存益的所有連接。然而,注意到,每一暫存器顯示緊鄰該 歷史先進先出緩衝裔69的一區段;的確地,該ALU歷 史先進先出緩衝器69具有8行,攔位或區段,至每一個的輸 入是上面所列舉的8個八1^;暫存器中的一個。因為它們將被 協調地操作,每一此等先進先出區段之深度皆是相互相等 的。八十五左右的深度是最佳地,其中圖形是由兩個管道 之長度總合開始的,其中每一個約具有四十。在底部,該 沬黑線指示該八個先進先出區段之輸出全部被收集在一起 及透過該環形匯流排8 5有效地利用該系統的剩餘部份(在 一未負載操作期間)。 ALU歷史先進先出緩衝器69具有四個我們所感興趣的 控制信號。其有一重置信號79,用來設定一(内部)先進先 出載入指標標器及一(内部)先進先出未負载指標器至一啟 始值,及或許清除所有的㈣。其有_負載㈣81,其使 該八個相關暫存器71_78之内容事先被載人它們分別的先 進先出區段及該先進先出負載指標器。其有一未負載俨 號,其使最先被載入的數值由該先進先出緩衝器被取出^ 該未載人指標ϋ的數值遞增。此取出是至該環形匯流排, 而後至一些中間媒體,或者最後地到目的地。用來由該環 形匯流排直接解除貞載的另—方法將是儲存人_些特= 持暫存H(圖中未示)’它的類容而後將在需要時透果該環 形匯流排傳送,或者或許藉由專料通道正確地快速地^ 回入該ALU暫存器。在程式控制之下,此等安排對所關= 五、發明説明(4】)
交錯的傳輸至該環形匯流排。 的ALU暫存$之數值之檢查(如果需要時)及 許要注意,一個未負載牽涉到的位元多於該 後可以猎由該保持暫存 如果一未負载被嚴格的 執行來找出資料’則其相關的交錯傳送可以被省略。 該剩下的先進先出緩衝器控制信號是飽合(full),直 指示在該先進先出緩衝器中沒有未負载的區域,及任何的 進-步負載將導致流失資料(新的資訊覆蓋過舊資訊)。我 們想要避免此-問題,此相反於該歷史先進先出緩衝器之 目的’及我們已經使該先進先出緩衝器深得足以符合該管 道。據此’該信號滿載(FULL)被反向及與該載人的源極信 號被作邏輯“及(AND),,演繹(其產生的結果是pGM_sTEp 83),使得在無論何時全载(FULL)是“真(true)”時,避免 載入(LOAD)81發生。該“及,,演繹是由及閘82所執行。 在一前題,及我們將要置該ALU歷史先進先出緩衝器 進行操作。如先前所提到,管道深度不是在所有時間下皆 疋不變的,但會根據每一特定需要所產生的記憶體測試器 之操作結構予以變化,其中變化可發生在一測試程式之連 績區段的位準上。其有一由管理軟體所執行的管家功能, 其與載入及執行測試程式連結來處理一測試程式之每一區 段,這就是該有效的通道深度(它知道因為它也是使用來因 该測試程式所需要所作的任何改變之效用的收集的總 部)。此數值將啟始及依據它的任何改變而被該管理軟體所
583680 A7 B7 五、發明説明(42 放置入-管道深度暫存器84a中。由那裏,在當信號 BEGIN—HIST 68產生時,它可以被複製人—下計數器 (請先閲讀背面之注意事項再填窝本頁) (D〇WnC〇unter)100a中,其也重置該先進先出緩衝器69。 BEGIN—HIST 68基本上是一被整合入該測試程式之一 旗標,其顯不其本身為在2〇8位元指令字元中的丨位元攔 位。其意義是一部份或一接續部份的指令字元是在該測試 耘視中的位置,如果一接續的測試用旗標(pD_ERR〇R 9… 疋真日守,在该ALU歷史先進先出緩衝器69而後被辨識。另 種方法來建構此一結果是我們將丟掉任何先前歷史,而 重新開始。因此,在該測試程試BEGIN—腦丁 68之此點已 經被主張及該先進先出緩衝器69被重置及該下計數器 100a ’訂· 被負載來自該暫存器84a之有$文管道深度(另—下計數器 100b也由一官迢深度暫存器#2被負載。後將再作敘述)。 現在,當來自該PGM靜態隨機存取記憶體2〇之進一步 才曰不被取出及執行時,會由對於該信號pGM_ STEP 83之相 # 應開始。其將產生對該先進先出緩衝器之負載之相應例子 G亥先進先出緩衝器沒有一個地方是完全滿的)及該下計數 态100a之遞減的相應例子(注意,ρ〇Μ—STEp 83進入該下計 數抑100a及l〇〇b之計數端)。最後地,在該下計數器1〇〇a 中的计數將到達零,及此將由來自被連至該下計數 器 l〇〇a 之C0UNT#1=ZER0電路i〇la之輸出所指示。此條件表示 孩有效的官迢沬度已經被達到,及我們將停止讓在該先進 先出緩衝器69之人口數目在數目上增加,及開始保持該先 進先出緩衝器使用中深度常數。以那樣的方式,如果一
583680 A7 B7五、發明説明(43 ) PD—ERROR出現,我們有我們所需要的該先進先出緩衝器 之“先出,,端。 如果尚未有一 PD_ERROR 90出現,則其可以安全的在 每一次我們載入一個新值時,解除該先進先出緩衝器的一 個負載(不同集合的數值將暫據該歷史先進先出緩衝器69 之“先出”端)。它的意思是該BEGIN—HIST信號是較其他的 為早,或者或許還沒有PD_ERROR(事實上,其可能從來都 沒有)。該負載/下載操作將保持該先進先出緩衝器在任何 情況下不會滿載。再者,我們尚未提道下載(UNLOAD)107 如何產生,及將需要簡短地岔離主題。 有一個1位元歷史模式閂鎖105可以透過該環形匯流排 85被設定。其意義為我們想要致能我們在文中所提到的所 有的歷史先進先出緩衝器控制元件。與該ALU歷史模式閂 鎖相關是一設定/重置閂鎖98,其也被該信號BEGIN—HIST 83所重置。無論該ALU歷史模式閂鎖105何時被設定,設定 閂鎖98的是該信號PD_ERROR90。此藉由及閘86來達成。 而後,重新開始,先進先出緩衝器69在每一次有新的 指令擷取時被解除負載(出現PGM_STEP 83),而該 COUNT#l二ZERO電路10 la指示現在正跨過該管道的有效 深度(該計數為零),及尚未有PD_ERROR出現。或許根本 就不會出現,但讓我們說其最終會出現。現在,閃鎖98之 Q輸出被設定(它的信號名稱是FREEZE_HIST 99),及它在 該及閘106之輸出上的反向避免該未負載信號107之任何進 一步的產生。我們現在將設定所要的數值該等暫存器 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS) A4规格(210X297公釐) 47 五、發明説明(44) …有一此"出解除負载所獲得的(其將可 … 出現時。再者’我們尚未說明它,, 軟體可以強制-解除負載於該環形匯流排或相似者,^ 到該所需要的數值)。有人或許會懷疑為什麼現在也不建議 解除負載。答鼓你可以,但它實際上是無«要的,ί 要該信號全載(FULL)8G失能,其可以被及⑽所完成/、 有人或許也會懷疑如果PD_ERR0R發生在C0UNT# i= 皿〇···之㈣會發生什麼。這的確是—個好問題。簡單的 回合是它不應該會出現。如過果它發生的話,其表示,相 關於設定該歷史模式閃鎖105及架構該後解碼電路60來產 生(或許設定)PD_ERR0R 90,BEGIN—HIST之出現(在測試 程式產生)已經被使用的方式是有些不小心。此情形有些像 疋編繹益在-系統中尋找一不當匹配的插入語,該系統 不允許有隱藏或重疊插人表示(總之,只有—組歷史先進先 出緩衝器)。 現在,我們有用來更正一先前存在程式之該ALU歷史 進先出,、爰衝為機構及陳述沒有錯誤旗標,我們將試著使 用匕於與先别原先目的不同一額外目的。要瞭解其如何進 行我們將問“為什麼此俏皮的機構受限於一 PD_ERR〇R 破限制在只回復ALU條件?該ALU歷史先進先出緩衝器一 I使用符合該管道的一延遲,及有一種方法可以在其發生 日寸(或者之後),我們可以使用來精確地測試一錯誤訊號或 旗^之數值.,其是為在該相關指令被取出及執行之後的該 吕逼延遲?我們已經知道我們是在那種情形”。在該文中, 本紙張尺度 Α4規格(210 X 297公釐) 48 j«68〇 A7 _B7 —_ 五、發明説明(46 ) 下計數器100b,其而後被在程式中的進一步驟予以計數 (PGM—STEP 83之連續出現)。最終地,c〇UNT#2=ZERO 115 將出現真(TURE),其中要注意,決定該選擇是由二多工器 110及111所完成,多工器109是由該信號C0UNT#1=ZER0 108所控制。 讓我們挑選出FERR 113與多工器111作為一範例;其 他的部份在它們操作中是相同的。只要C〇UNT#2=ZERO 是“不真(FALSE)’’,多工器111選擇它的輸出(三位元集合中 的一位元,被送至該下一位址計算器用以在其上作分流) 一信號<NO一ERROR> 116,該信號是與該測試系統相關而 沒有錯誤之該電子數值。但是,一但該管道延遲已經執行, 則要相當清楚地問該真正的P E R R是什麼。那就是所發生的 事’因為COUNT#2=ZERO出現真(TRUE),使得多工器111 知道選擇PERR去被送至該下一位址計算器1 〇2。 在PERR上分流只適於在PERR上工作,並不能使用多 工器110來取代。在PD—ERROR 90上的分流形式就像其他 二個一樣的工作,除了它自己分擔該ALU歷史先進先出缓 衝器(管道深度暫存器#1 84a)之延遲之外,但是其具有被該 信號COUNT#l=ZERO 108所控制的自己的多工器1〇9,該 信號COUNT#l=ZERO 108是被該“分擔”下計數器#i i〇〇a 所驅動。 為了確定,該測試程式必需以正確地利用此優點方式 被寫入;例如,固定但具有足夠的延遲的一迴路。但是, 至少我們保證該連繫是正確的。我們甚至可以隨後明顯地 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) (請先閱讀背面之注意事項再填寫本頁)
583680 A7 B7 五、發明説明(47 ) 測試該管道延遲的結束,假設我們確認沒有干涉使其發生 及獨立地設定我們的信號。 有其他有用的歷史先進先出緩衝器,及其是第4圖中的 該簡要方塊圖117之主題。大體而言,它們將以類似於該 ALU歷史先進先出緩衝器69操作的方式來進行工作,除了 它們被配置在該記憶體測試器之結構的不同部份,及它們 儲存不同於儲存在該ALU歷史先進先出缓衝器之問題的回 答。記錄大部份最新的比較錯誤資料之一先進先出緩衝器 是處於使得其只需要一位準深,及其因此簡化一暫存器而 不是一完整的先進先出緩衝器。及有一用來回復緩衝器記 憶體歷史的二區段之一先進先出緩衝器,其是與用來回復 ECR歷史之另二個先進先出緩衝器共同被控制。 現請參考第4圖,先前開始的位置是從左手邊。注意, 該後解碼電路60之一部份被顯示,及那是在第2圖中所繪示 者,其接收來自該接收向量反向映對器/解連續器電路(57) 之32位元之比較資料59。我們將加一事項於此:那些32位 元的傳輸被一DATA—VALID信號118所伴隨。 由該後解碼器所送出的是一 32位元的(程序)錯誤資料 及一 DELAY_DATA—VALID 128 {它們是(61)}被送到 ERC2 32B(參考第2圖)。也要注意,PD—ERROR所產生之處的該 後解碼器,及回想到在第3圖中它如何與被使用 BEGIN_HIST 83— 起被使用來產生FREEZE HIST 99。 獲得產生一 PD_ERROR之錯誤資料61的工作是相當的 直接的。一般地,FREEZE_HIST 99是不真(false),及在該 51 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 583680 hi B7 五、發明説明(48 ) 及閘129之輸入上的反向允許DELAY_DATA_VALID 128去 產生耦接至一最後錯誤資料(Last_Error_Data)暫存器之負 載控制輸入端之一信號13 1。輸入至此暫存器之資料是正好 是被送至ECR2之32位元之錯誤資料,及其因此在每一次資 料被送出時被獲得。一 PD—ERROR產生FREEZE—HIST 99, 其反過來避免信號13 1及任何進一步地載入暫存器132。該 最後錯誤資料暫存器132被連接至該環形匯流排85,使得它 的内容可以被檢查。 現在讓我們考慮與該緩衝器記憶體使用相關的該歷史 先進先出緩衝器。回想該緩衝器記憶體31A及3 1B可以被使 用在不同的目的,像是儲存一唯讀記憶體之預期内容,轉 換一被使用序列的位址至另一序列,等等。在任何情況下, 一但負載一緩衝器記憶體該位址映對器29定址,該位址映 對器29是被該ALU所產生的X,Y及Z位址27所驅動。我們 有二個緩衝器記憶體歷史先進先出緩衝器(124及125),每 一個用於每一緩衝器記憶體。提供我們與該PD_ERROR90 之產生相關的正確的通道延遲緩衝器記憶體内容將是它們 的工作。此二先進先出緩衝器是緩衝器記憶體#1歷史先進 先出緩衝器(124),其是與缓衝器記憶體31A相關,及緩衝 器記憶體#2歷史先進先出緩衝器(125),其是與緩衝器記憶 體3 1B相關。此二緩衝器記憶體歷史先進先出緩衝器每一 個具有相同的深度(四是為一好數目),其是被共同控制, 及其被連接至該環形匯流排(或者直接,或者透過中間的暫 存器,如對該ALU歷史先進先出緩衝器被連接該環形匯流 52 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 五、發明説明(49) 排的解釋樣)。其有在一大型歷史先進先出機構丨23之部 伤也包括有該等ECR歷史先進先出緩衝器126及127,其將 在對該等緩衝器記憶體歷史先進先出緩衝器作解釋之後將 予以討論。 但在處理前,我們有一些更重要的前題要說明。注意, 該四個先進先出緩衝器133_136,及它們的輸出是第2圖的 62A-D。此等輸出是被耦接至該後解碼電路6〇以保持與第2 圖一致,但也要注意,對於該緩衝器記憶體先進先出缓衝 裔133及134之輸入也被送作為被儲存資料(ST〇RED data) (33)及被儲存位址(ST〇RED address)(34)至電路(35及 37),其是為該ALU至DUT(傳送向量)管道(見第2圖)之一部 伤用於4緩衝裔記憶體之該先進先出緩衝器133及134是 相當地深,約30:在使用它們的實際深度是可以調整的, 及不是我們的基本考量,除非我們非常重視它們。的確地, 即使沒有緩衝器記憶體歷史先進先出緩衝器,它們也是會 在那裏。此理由的產生是因為該緩衝器記憶體被使用的方 法。它們是除了由該ALU之外的另一種來源,及它們内容 (33 ’ 34)的一份複製將該ALU送“下,,至^dut管道。然而, 另一份副本被送至該後解碼器。不需要將另一副本提早送 出(即,遠在該比較資料59由該DUT “上,,回復),因此該先 進先出緩衝||133及134提供該f要岐遲及使其可在相同 時間到達的事物正確地互相響應。為此目的,它們有上至 約30的深度。 及現在關於該緩衝器記憶體歷史先進先出緩 24 冰368〇 A7 __________B7_ 五、發明説明(5〇 ) ^25。許多它們的操作特徵是與該ALU歷史先進先出緩衝 器者相同。它們被該BEGIN—HIST信號68重置。它們是被 一信號(DATA_VALID 118)之確認而被載入(分別透過該先 進先出緩衝器133及134之輸出),其亦指一新數值出現及需 要被放入該先進先出緩衝器,但不是當作具有該非完全(信 號121)條件(及閘119)之邏輯加法(AND)。它們以一被延遲 版本的 DATA_VALID (DELAYED_DATA—VALID 182)之步 驟被解除負載。在兩個版本的DATA_VALID之間的時間上 的延遲基本上是該後解碼器來決定是否有一錯誤所需要的 時間。如果沒有錯誤,而後我們響要對該先進先出緩衝器 解除負載,但是我們必需要等到發現。 在該先進先出緩衝器特徵中之一不同點是將產生在該 先進先出緩衝器(其是為何我們會有它的原因)中的該資料 之隔離之該事件(PD_ERROR 90之發生)與資料通過該先進 先出緩衝器之資料基本上是同時的(不被該管道延遲所隔 離)。因此,我們不需要一管道深度暫存器之服務,其就好 像是只有PD—ERROR符合了該歷史模式閂鎖105。據此,而 後未負載(UNLOAD) 122被一及閘130所產生,它的輸入是 DELAY_DATA—VALID 182及該FREEZE_HIST 99之反向的 出現。 該ERC歷史先進先出緩衝器126及127只要在該等控制 信號被考慮時是相同的即可。暸解該被規劃的安排是有用 的關鍵是被,發現在瞭姐關於該寺ECR疋如何被使用。注 意,在第2圖上,在該後解碼器60附近有一旁路,其允許真 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 54 (請先閲讀背面之注意事項再填寫本頁) 訂丨 ©54 583680 A7 B7 五、發明説明(SI ) 正的資料由在一 DUT上的一現場測試直接進入一 ECR。在 後面的一段時間,儲存在該ECR之資料可以被讀回及被用 在該後解碼器以執行不同的分析。現在我們問該問題“什麼 信號進入該後解碼器會引起PD—ER.ROR?”那就是該ECR歷 史先進先出緩衝器可以回答的。該先進先出緩衝器135及 136可以簡化此程序,因為它們是“局部”及大部份其餘的大 管道都沒有被牽涉進來。 55 (請先閲讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 583680 A7 B7 五、發明説明(52 元件標號對照 2…測試系統控制器 4a〜4z···測試區控制器 6a〜6z…待測裝置(DUT)測試器 9a〜9z…接腳電子集合 14,15a〜15d,16· · ·待測裝置职jp) 19…微控制器序列器 20—PGM靜態隨機存取記憶體 21…外部動態隨機存取記憶體 29…位址映對器 31A,31B…緩衝器記憶體 32A,32B…錯誤擷取動態隨 機存取記憶體 35…資料多工器 37…位址位元選擇電路 40…傳送向量映對器/連續器 及接收向量比較資料電路 41,58···靜態隨機存取記憶體 45…向量先進先出緩衝器 49…週期產生器 50…週期先進先出緩衝器 51…時間靜態隨機存取記憶體 52…時間/格式化及比較電路 53…動態隨機存取記憶體 54···靜態隨機存取記憶體 57…向量反向映對器/解連續器 60…碼電路 69…ALU歷史先進先出緩衝器 70."ALU指令電路 71-78…ALU暫存器 82,86,106,119,129,130···及問 84a···管道深度暫存器#1 84b···管道深度暫存器#1 85…環形匯流排 88…多匯流排控制器 89…VT匯流排 90-"DUT失能邏輯 98…設定/重置閂鎖 100a…下計數器#1 100b…下計數器#2 101a...COUNT#l=ZERO 電路 101 b …COUNT#2=ZERO 電路 102…下一位址計算器 105…歷史模式閂鎖 109,110,111···多工器 123…大型歷史先進先出機構 124,125···緩衝器記憶體歷史 先進先出緩衝器 126,127…ECR歷史先進先出 緩衝器 132…最後錯誤資料暫存器 133-136···先進先出緩衝器 (請先閲讀背面之注意事項再填寫本頁) 訂丨 §5t> 本紙張尺度適用巾國國家_ (哪)A4麻⑵QX297公楚) -56

Claims (1)

  1. 申請專利範圍 . 一一 L 一種保留與測試待測裝置(DUT)相關之參數值之方法 ’該方法包括有步驟: (a) 在一程式執行環境中執行一測試程式,其使刺 激信號值經由具有一第一數目的階段之一刺激管道傳 送至一DUT ; (b) 由該DUT傳送至該程式執行環境,及經由具有 一第二數目的階段之一響應通道,藉由操作具有該被傳 送的刺激信號值之該DUT獲得響處^言號值; (c) 對於該測試程式之每一執行週期及來自一刺激 及響應通道之一的一被選擇位置,儲存被擷取出該參數 值於一歷史先進先出緩衝器度中; (d) 在一響應通道之内產生一錯誤信號,包括在該 DUT,響應一被選擇錯誤條件; (e) 調整該歷史先進先出緩衝器之深度以應對該管 道階段之數目,分離在步驟(c)中的儲存及在步驟(❼中 該錯誤信號的相關出現的產生;及 (f) 響應步驟(d)的一錯誤信號,保留儲存在步驟(e) 所調整的深度之該參數值於該歷史先進先出緩衝器。 2·如申凊專利範圍第1項所述的保留與測試待測裝置 (DUT)相關之參數值之方法,更包括有步驟: (g) 接續著步驟(f),取出在該歷史先進先出緩衝器 中保留之參數值。 3·如申請專利範圍第1項所述的保留與測試待測裝置 (DUT)相關之參數值之方法,其中步驟(a)包括有一緩衝 本纸張尺度適用中囤丨国家標準(CNS)从規格(2]0\297公釐) SI ----------------------装…… (請先IJ3讀背面之注Φ寧項再場寫本頁) .、1T. :線- 5 Q Α8 Β8 C8 D8 10 15 20 申請專利範圍 I 裔圮憶體位址的產生及進—步其中該步驟(c),⑴及(g) 之參數是該緩衝器記憶體位址。 4·如申請專利範圍第丨項所述的保留與測試待測裝置 (DUT)相關之參數值之方法,其中步驟⑷,⑴及⑷的 參數是錯誤的的響應信號值。 —種在測試待測裝置(DUT)時保留儲存在錯誤擷取隨 機存取§己憶體(ECR)之錯誤指示之方法,該方法包括有 步驟: 二y (a) 在一程式執行環境中執行一測試程式,其使刺 激信號值經由具有一第一數目的階段之一刺激管道傳 送至一DUT ; (b) 由該DUT傳送至該程式執行環境,及經由具有 一第二數目的階段之一響應通道,藉由操作具有該被傳 送的刺激信號值之該DUT獲得響應信號值; (c) 接續著步驟(b),沿著該響應管道配置,儲存響 樣信號值於一中間記憶體; (d) 接續著步驟(a),(b)&(c),由在該中間記憶體中 的位置讀取儲存的響應信號數值及使用它們至一後解 碼電路’其產生被選擇型式錯誤的錯誤指示; (e) 儲存由該後解碼電路所產生的該錯誤指示於— ECR 中; (f) 儲存在步驟(e)中所儲存的該錯誤指示於一Ecr 歷史先進先出緩衝器中; (g) 響應由步驟(d)所讀取的該被儲存的響應信黎值 ----------------------:装…… (請先M讀背面之注意事項再場寫本頁) 本纸乐尺度適用中0@!家標準(CNS)从規格(2]0)<297公犮) i. --------------- V» — — — — — ------------ ο AS B8 C8 D8 經濟部智慧財產局員工消費合作社印製 ο 、申請專利範圍 中的一被選擇錯誤條件,產生在該後解碼電路之内的一 錯誤信號,及使用在該後解碼電路; (h) 調整該ECR歷史先進先出緩衝器之深度來相應 於該管道階段的數目以分離在步驟⑴中的儲存及在步 驟(g)中所產生的該錯誤信號之相關出現之產生;及 (i) 響應由步驟(g)所產生的一錯誤信號,保留儲存 在步驟(h)所調整的該深度之該錯誤指示於該ECR歷史 先進先出緩衝器中。 如申吻專利範圍第5項所述的在測試待測裝置(dut)時 保留儲存在錯誤擷取隨機存取記憶體(ECR)之錯誤指 示之方法,更包括有步驟: ⑴接續著步驟⑴,取出保留在該ECR歷史先進先出 緩衝器中的該錯誤指示之數值。 7· 一種回復關於待測裝置(DUT)之測試之測試程式的演 繹法則控制變數之方法,該方法包括有步驟: (a) 在一程式執行環境中執行一測試程式,其使刺 激信號值經由具有一第一數目的階段之一刺激管道傳 送至一 DUT ; (b) 由該DUT傳送至該程式執行環境,及經由具有 一第二數目的階段之一響應通道,藉由操作具有該被傳 送的刺激信號值之該DUT獲得響應信號值; (0對於該測試程式的每一執行週期,.儲存被回復 的該測試程式的演繹法則控制變數之數值於一歷史先 進先出緩衝器中; 本紙張尺度適用中國國家標準擷格X /入移 59 - 請先閱讀背面S意事m .— 填寫本頁) 17. 如680 年月曰 修J. /油夕’ AS B8 C8 D8 、申請專利範圍 (d) 響應於一被選擇錯誤條件產生在該響應管道之 内的一錯誤信號,其包括在該DUT中; (e) 調整該歷史先進先出緩衝器之深度以應對該管 Ik#又之數目,分離在步驟(c)中的儲存及在步驟(d)中 該錯誤信號的相關出現的產生;及 (f) 響應步驟(d)的一錯誤信號,保留儲存在步驟(e) 所調整的深度之該參數值於該歷史先進先出緩衝器; (g) 接續著步驟(f),回復該演讀法擇控制變數之數 值至保留在該歷史先進先出緩衝器中的數值;及 (h) 執行在該測試程式中的一步驟,響應於在步驟 (d)所產生的該錯誤信號之出現改變測試程式流程。 8· —種在關於待測裝置(DUT)之測試的測試程式内分流 一錯誤指示之方法,該方法包括有步驟: (a) 在一程式執行環境中執行一測試程式,其使刺 激信號值經由具有一第一數目的階段之一刺激管道傳 送至一 DUT ; (b) 由該DUT傳送至該程式執行環境,及經由具有 ^第二數目的階段之一響應通道,藉由操作具有該被傳 送的刺激信號值之該DUT獲得響應信號值; (c) 測試在該響應管道之内的響應信號數值,其包 括在該DUT,以偵測一錯誤條件及產生指示該錯誤條件 的一錯誤指示; (d) 在該測試程式中被步驟(a)所執行的一被選擇位 置,設定一管道延遲計數來響應於該管道階段之數目, 本巩吐尺皮適用中@ @家標準(GO Μ規格(2]0\297公釐) βα (請先Μ讀背面之注意寧項再填寫本頁)
    年月 3 、—…mr申請專利範圍 Λ8 B8 C8 D8 刀離在该挪試程式尹該步 闕錯誤指示之接生;订及在步驟⑷中一相 期及:續著步驟⑷,對於該測試程式之每-執行週 4及對於該管道延遲計教 5 數之數值減- 非零值,對該管道延遵計 ⑺只要是該管道延遲計數之被減少數值是非零, 則產生一替代合格信號,它的數值具有“沒有錯誤,,之音 ,及當該管道延遲計數之被減少數%為零時,它的數= 具有在步驟(c)中所產生的該錯誤指示之真正值;及 (g)執行在該測試程式中的一步驟,響應於在步驟 (f)所產生的該替待合格信號之數值來改變測試程式流 程。
    本纸张尺度適用中國國家標準(CNS) A4規格(2K)X297公焚)
TW091101157A 2001-04-25 2002-01-24 Algorithmically programmable memory tester with history FIFO's that aid in ERROR analysis and recovery TW583680B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/842,433 US6574764B2 (en) 2001-04-25 2001-04-25 Algorithmically programmable memory tester with history FIFO's that aid in error analysis and recovery

Publications (1)

Publication Number Publication Date
TW583680B true TW583680B (en) 2004-04-11

Family

ID=25287279

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091101157A TW583680B (en) 2001-04-25 2002-01-24 Algorithmically programmable memory tester with history FIFO's that aid in ERROR analysis and recovery

Country Status (6)

Country Link
US (1) US6574764B2 (zh)
EP (2) EP1701359A1 (zh)
JP (1) JP4194799B2 (zh)
KR (1) KR100920277B1 (zh)
DE (1) DE60219990T2 (zh)
TW (1) TW583680B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI397079B (zh) * 2007-12-19 2013-05-21 Advantest Corp 測試裝置

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6763490B1 (en) * 2000-09-25 2004-07-13 Agilent Technologies, Inc. Method and apparatus for coordinating program execution in a site controller with pattern execution in a tester
US20030088810A1 (en) * 2001-11-02 2003-05-08 Sun Microsystems, Inc. Methods and apparatus for determining software component sizes associated with errors
US7117410B2 (en) * 2002-12-20 2006-10-03 Teradyne, Inc. Distributed failure analysis memory for automatic test equipment
WO2004079428A1 (ja) * 2003-03-03 2004-09-16 Nikon Corporation 顕微鏡デジタル画像取得システム
US7039545B2 (en) * 2004-04-19 2006-05-02 Agilent Technologies, Inc. Apparatus, system and/or method for converting a serial test to a parallel test
US20050285612A1 (en) * 2004-06-23 2005-12-29 From Thirty Incorporated Apparatus for measuring DC parameters in a wafer burn-in system
JP2006275986A (ja) * 2005-03-30 2006-10-12 Advantest Corp 診断プログラム、切替プログラム、試験装置、および診断方法
US7528622B2 (en) 2005-07-06 2009-05-05 Optimal Test Ltd. Methods for slow test time detection of an integrated circuit during parallel testing
DE102005048872A1 (de) * 2005-10-12 2007-04-26 Mühlbauer Ag Testkopfeinrichtung
US7536662B2 (en) * 2006-06-27 2009-05-19 Atrenta, Inc. Method for recognizing and verifying FIFO structures in integrated circuit designs
US8099583B2 (en) * 2006-08-23 2012-01-17 Axis Semiconductor, Inc. Method of and apparatus and architecture for real time signal processing by switch-controlled programmable processor configuring and flexible pipeline and parallel processing
US20090112548A1 (en) * 2007-10-30 2009-04-30 Conner George W A method for testing in a reconfigurable tester
US20090113245A1 (en) * 2007-10-30 2009-04-30 Teradyne, Inc. Protocol aware digital channel apparatus
US8181003B2 (en) * 2008-05-29 2012-05-15 Axis Semiconductor, Inc. Instruction set design, control and communication in programmable microprocessor cores and the like
US8078833B2 (en) * 2008-05-29 2011-12-13 Axis Semiconductor, Inc. Microprocessor with highly configurable pipeline and executional unit internal hierarchal structures, optimizable for different types of computational functions
US8458536B2 (en) * 2008-07-17 2013-06-04 Marvell World Trade Ltd. Data recovery in solid state memory devices
US8527677B1 (en) 2010-06-25 2013-09-03 Altera Corporation Serial communications links with bonded first-in-first-out buffer circuitry
CN103163448B (zh) * 2011-12-16 2016-01-27 中国科学院微电子研究所 对现场可编程门阵列中查找表延迟故障进行检测的方法
US10268572B2 (en) * 2017-08-03 2019-04-23 Fujitsu Limited Interactive software program repair
US10565036B1 (en) 2019-02-14 2020-02-18 Axis Semiconductor, Inc. Method of synchronizing host and coprocessor operations via FIFO communication
CN116705137B (zh) * 2023-05-08 2024-04-02 深圳市晶存科技有限公司 固态硬盘的测试模式切换方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5062109A (en) * 1988-09-02 1991-10-29 Advantest Corporation Memory tester
US5067129A (en) * 1989-08-16 1991-11-19 International Business Machines Corp. Service processor tester
JP3700797B2 (ja) * 1996-08-09 2005-09-28 株式会社アドバンテスト メモリ試験装置
US5930735A (en) * 1997-04-30 1999-07-27 Credence Systems Corporation Integrated circuit tester including at least one quasi-autonomous test instrument
US6067648A (en) * 1998-03-02 2000-05-23 Tanisys Technology, Inc. Programmable pulse generator
EP0992907B1 (en) * 1998-10-06 2005-09-28 Texas Instruments Inc. Trace fifo management
US6233678B1 (en) * 1998-11-05 2001-05-15 Hewlett-Packard Company Method and apparatus for profiling of non-instrumented programs and dynamic processing of profile data
KR100308621B1 (ko) * 1998-11-19 2001-12-17 윤종용 반도체 메모리 장치를 위한 프로그램 가능한 내장 자기 테스트 시스템
US6320812B1 (en) * 2000-09-20 2001-11-20 Agilent Technologies, Inc. Error catch RAM for memory tester has SDRAM memory sets configurable for size and speed

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI397079B (zh) * 2007-12-19 2013-05-21 Advantest Corp 測試裝置

Also Published As

Publication number Publication date
KR20020082791A (ko) 2002-10-31
DE60219990D1 (de) 2007-06-21
US20020162046A1 (en) 2002-10-31
EP1701359A1 (en) 2006-09-13
EP1253600A2 (en) 2002-10-30
KR100920277B1 (ko) 2009-10-08
DE60219990T2 (de) 2008-01-17
JP4194799B2 (ja) 2008-12-10
EP1253600B1 (en) 2007-05-09
EP1253600A3 (en) 2004-04-21
JP2003007089A (ja) 2003-01-10
US6574764B2 (en) 2003-06-03

Similar Documents

Publication Publication Date Title
TW583680B (en) Algorithmically programmable memory tester with history FIFO's that aid in ERROR analysis and recovery
TW559821B (en) Error catch RAM for memory tester has SDRAM memory sets configurable for size and speed
US6779140B2 (en) Algorithmically programmable memory tester with test sites operating in a slave mode
US5173906A (en) Built-in self test for integrated circuits
US8140925B2 (en) Method and apparatus to debug an integrated circuit chip via synchronous clock stop and scan
JPH0287400A (ja) メモリ装置
KR100896585B1 (ko) 메모리 테스트 방법
JPS60108937A (ja) 超大規模集積回路
TW200839780A (en) Register read mechanism
CN102436407A (zh) 模拟错误产生设备
US20040078740A1 (en) Memory tester uses arbitrary dynamic mappings to serialize vectors into transmitted sub-vectors and de-serialize received sub-vectors into vectors
JPH02234242A (ja) 部分書込み制御装置
JPS58205870A (ja) 論理回路シミユレ−シヨン装置
US7251186B1 (en) Multi-port memory utilizing an array of single-port memory cells
TW200418048A (en) Reconfigurable electronic device having interconnected data storage devices
van de Goor et al. Functional tests for arbitration SRAM-type FIFOs
TW425567B (en) Built-in self-test circuit for RAMBUS DRAM
KR100786414B1 (ko) Dut 테스터 데이터 채널 반전 특성 관리 장치
JPS58189750A (ja) メモリ装置
TW514931B (en) Apparatus and method for performing conditional calculations
TW556204B (en) Method for test-by-test writing to the cell array of a semiconductor memory
US20240006012A1 (en) Virtualized scan chain testing in a random access memory (ram) array
JP2924968B2 (ja) 時間双方向シミュレーション装置
Theis Utilization of a Bubble Memory System as a microcomputer disk resource.
JP2730109B2 (ja) タイムスロット入替え装置におけるメモリ監視方式

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees