TW531820B - Method of adjusting the thickness of an electrode in a plasma processing system - Google Patents

Method of adjusting the thickness of an electrode in a plasma processing system Download PDF

Info

Publication number
TW531820B
TW531820B TW090110973A TW90110973A TW531820B TW 531820 B TW531820 B TW 531820B TW 090110973 A TW090110973 A TW 090110973A TW 90110973 A TW90110973 A TW 90110973A TW 531820 B TW531820 B TW 531820B
Authority
TW
Taiwan
Prior art keywords
electrode
patent application
thickness
scope
plasma
Prior art date
Application number
TW090110973A
Other languages
English (en)
Inventor
Eric J Strang
Wayne Lee Johnson
Thomas F A Bibby
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Application granted granted Critical
Publication of TW531820B publication Critical patent/TW531820B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Description

531820 A7 B7 五、發明説明(彳) 本申請案主張2 0 0 0年5月1 2日申請之美國臨時 專利申請案第6 0 / 2 0 3,9 0 9號之權利。 (請先閱讀背面之注意事項再填寫本頁) ㈣月域 .本發明有關電漿處理系統中使用之電極,且尤其有關 具有复挂保護層之電極。 發_明之背景 電漿處理系統係使用於半導體製造中而在積體電路( I C )裝置之製作過程中去除材料自或沈積材料於一工件 (例如半導體基板)之上,在獲得I C s之最高產能及全 面品質中之關鍵因素在於電漿蝕刻及沈積過程中之均勻性 〇 經濟部智慧財產局員工消費合作社印製 一直困擾習知技術電漿處理系統之問題在於獲得均勻 飩刻及沈積之電漿的控制。在電漿處理系統中,影響蝕刻 或沈積均勻性之程度的一因素係工件上方之電漿密度的空 間均勻性。後者係由整個系統之設計所指揮,且尤其是使 用於產生電漿之電極(或電漿源)之設計。 已使用一種分節電極之方式來改善蝕刻及沈積均勻性 ’該分節電極包含複數個金屬分節,藉絕緣體.所分開,或 更普遍地,包含多重電極(亦即,一個或更多個1電極), 各電極分節電性連接於提供功率在各電極分節之k F (射 頻)電源供應器,傳送至各電.極分節之R F功率之頻率, 振幅及相位的控制可控制電漿之電漿密度分佈,且藉以控 本紙張尺度適用中.國國家標準(CNS ) A4規格(21GX297公釐)~ ^ 531820 A7 B7 五、發明説明(2 ) 制系統之蝕刻及沈積特徴。 若干形式之分節電極具有固定於面向電漿之該等電極 分節之側的矽之板片,該等矽板片大致地係典型之矽晶圓 厚度的許多倍,例如約5至1 0毫米左右,該等矽板片係 使用以防止其所固定之金屬電極分節之腐蝕。在缺少矽板 片中,電漿中之高能量離子可蝕刻電極且沈積電極材料於 工件上而可影響由該工件所完成之裝置的性能;換言之, 該電極可隨著該工件沈積有電極材料而成爲一非故意之濃 鍍靶標。當工件亦由矽所製成時,保護性板片之使用將排 除由(高能量)蝕刻該電極所造成的污染。 一直困擾電漿處理系統之另一問題係電漿化學物之空 間分佈的控制以及其在蝕刻及沈積參數之均勻性上之隨後 效應,諸如在蝕刻過程之情況中,蝕刻-材料相射於另一 材料之選擇性及該選擇性之空間均勻性。當在氧化物( S i〇2 )蝕刻中使用氟化碳化學物(c F 4,c 4 F 8,等 )時,需要聚合物種(C F X,亦即,C F 3,C F 2,等 )與活性基種(亦即,F )之適當平衡以獲得S i 〇 2對 Si (或S i N )之最大選擇性,而維持高蝕刻率及防止 蝕刻停止。 因此,利用保護性矽板片於電極上之另一優點係,所 蝕刻之矽可作用爲淸除電漿中之物種的來源,例如在若+ 涉及氟化碳化學物之氧化物蝕刻應用中,導入砂^基板上 之電漿可造成氟基之淸除,此依序地產生改良之蝕刻選擇 性於二氧化矽與矽(與氮化矽)之間,此效應尤其企望於 本紙張尺度通用中國國家標準(CNS ) A4規格(210X297公釐) (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工涓費合作社印製 531820 A7 ____ B7 ___ 五、發明説明(3 ) 當蝕刻該工件上形成的二氧化矽膜之時。 (請先閲讀背面之注意事項再填寫本頁) 大致地,在電漿反應器中之\'保護性〃,"淸除〃或 ''吸氣〃組件係分類爲可消耗物,因爲在蝕刻過程之中, 該等組件會腐蝕而視爲可置換之、、處理套件〃。 .在電漿處理中使用分節電極或更廣泛地使用多重電極 (亦即,一個或更多個電極)之目的在於允許局部地調整 電漿密度之空間分佈於工件上而獲得電漿蝕刻及沈積之空 間均勻性。如上述地,各電極分節係由頻率,振幅,及相 位分別地控制之R F功率源來加以驅動,因爲在各電極下 方之電場可改變,所以腐蝕自各矽板片之材料量可相異於 諸電極分節之間,例如當處理約5 0 0 0至1. 0 0 0 0片 晶圓(相對應於大約3 0 0小時之R F時間)時,此相異 .性之腐蝕可導致若干毫米的厚度變化於毗鄰之矽板片之間 ,由於電極與晶圓間之窄的間距(亦即,約2 0毫米), 一般對於高縱橫比,窄間隙電容性耦合之電漿(C C P ) 源而言,僅數毫米之厚度變化將相對應於約5至1 0 %之 電極-工件間距,此變化可大大地影響處理條件,例如局 經濟部智慧財產局員工消費合作社印製 。 電 鐘矽 分如 \諸 埃中 ο 統 ο 系 ο 理 1 處 至漿 ο 電 ο 合 5 0 達·性 多感 變電 改及 可 P 率 C 刻 C 蝕多 片許 晶在 之於 部由 統告 系及 上υ ο 言 另 擾έ 困適 故之 ,.化 触劣 腐物 之耗 物消 耗可 消之 可修. 之維 中性 件防 套預 理係 處題 之問 片一 板另 極之 應功 反 F 的 R. \ 積合 .沈耦 之地 型性 )容 D 電 V 而 C 部 C 頂 積之 沈區 相漿 氣電 學於 化置 行配 執可 於極 用電 在.,. 〇 中 口 口¾ 矢 d^m 準 標 家 國 |國_ 中 一用. 一適‘ 尺 一張 紙 I本 |釐 公 531820 A7 B7 五、發明説明(4 ) (請先閱讀背面之注意事項再填寫本頁) 率於電漿之內,產生於電漿中之若干數量的沈積材料可以 以一方式沈積於電極之上,即,將給予電極不同厚度之方 式,尤其若該電極爲分節電極且供應至各分節之r F功率 係分別地控制時,所生成之電極厚度的變化可不利地影響 電漿內之R F功率之分佈而影響該電漿之空間均勻性。 發明槪述 , 本發明有關電漿處理系統中使用的電極,且尤其有關 可在電漿處理操作期間經歷厚度上之不均勻改變的電極, 該等電極可具有犠牲保護層或板片,該等電極可進一步地 稱爲可消耗物而需要定期置換以用於預防性維修。 經濟部智慧財產局員工消費合作社印製 本發明’第一觀點係一種在電漿處理系統中能保持電 漿於反應室中之電極總成的相對厚度之調整方法·,該電極 總成係設置於該反應室之中且具有一厚度,該厚度係初始 地均勻’但由於設計蝕刻第二材料膜於第一工件上的第一 電漿之第一材料所製成之犠牲保護層的腐蝕,其中該工件 可由第一材料所製成,或由於電漿係使用爲用於沈積操作 之濺鍍靶標,或由於在諸如C V D之沈積操作期間其上之 材料層的形成,而呈不均勻。該方法包含下列步騾:形成 一設計來選擇性地蝕刻該電極表面或保護層之第二電漿; 以及接著以該第二電漿來蝕刻電極表面或保護層j而減少厚 度上的變化。 \ 該方法可包含首先移開第一工件自反應器室,及接著 以一犠牲之工件來置換該第一工作。在本發明中,該電極 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐 531820 A7 B7 五、發明説明(5 ) 總成可包含一分節電極或單一主電極,或該等電極之組合 〇 本發明之第二觀點係如上述之方法’進一步地包含下. 一步驟:在該第一步驟之前’進行實驗以獲得有關該至少 一保護性板片之腐飩率及腐蝕之空間分佈’以及該至少一 保護性板片之蝕刻率及蝕刻之空間蝕刻分佈。此資訊較佳 地係儲存在控制該等工件之電漿處理及該等保護性板片之 電漿蝕刻的控制系統中。 本發明之第三觀點係如上述之方法,進一步地包含利 用發出聲頻信號穿過該等板片之聲頻換能器在原處測量該 等保護性板片之厚度。所折返之信號將提供關.於該等板片 之厚度的資訊,此資訊轉遞至一控制系統,該控制系統會 評估何時應中斷工件之電漿處理以修復該等電極板片,或 告知使用者置換該犠牲電極板片,此外,在板片蝕刻期間 (請先閱讀背面之注意事項再填寫本頁) 訂 板 等 該 時. 何 估 評 以 度 厚\ 之 片度 板厚 等的 該圍 量範 測受 來.接 量可 測於 頻異 聲相 用有 使 具 可片. 經濟部智慧財產局員工消費合作社印製 操‘預 該之 知程 告排 及..蝕 鈾腐 腐物 之耗 物消 耗定 消預 可 一 視每 監作 係 當 點來 觀件 四套 第理 之處 明該的 發換修 本置維 員性 作防 法 方 知維 告性 及防 長丨f' 成π 膜程 之排 上洗 物淸 耗定 消預 可..一 視每 監作 係當 點來 觀統 五系 第該 之洗 明淸。 發員法 本作方 操的 該修 明一 說 單 簡 式 圖 適 度 尺 一張 紙 I準 !標 U豕 國 一國· 一釐 公 7 9 2 ^1. 531820 A7 B7 五、發明説明(6 ) (請先閱讀背面之注意事項再填寫本頁) 第1圖係執行本發明方法之電漿處理系統的示意橫剖 面圖’顯示一電極總成,該電極總成包含分節電極及在處 理工件前之電極分節上之保護性板片; 丨 第2圖顯示第1圖之系統,但在已處理若干工件之後 ’使得在該等電極分節上之保護性板片由於當處理該等工 件時來自電漿之不同腐蝕的板片而具有不同的厚度; 第3圖顯示第1圖之系統,但現具有一犠牲工件及一 設計選擇性地蝕刻該等保護性板片之新形成的電漿以便減 少該等板片間的厚度差異; .第4圖係一工件及設置於其上方之電極分節的平面視 圖’描繪當加能於一單一電極分節時的蝕刻率分佈當作輪 廓’而具有表示高蝕刻率區域之地區Η,表示低蝕刻率區 域之地區L,及表示中間鈾刻率之地區Μ ; 第5圖顯示第2圖之電極總成,但具有一聲頻換能器 控制單元,用以在原處及實時間地測量該等保護性板片之 厚度,使得可監視該板片腐蝕及板片腐蝕過程; 經濟部智慧財產局員工消費合作社印製 第6圖係具有保護性板片,氧化物層及聲頻換能器之 電極分節的橫剖面視圖,顯示各厚度及穿過各_度之聲頻 能量(聲波)的傳播; 第7圖係用於該聲頻換能器所發射及所接收之信號的 信號振幅對時間之圖; ^ 第8圖係具有複數個電極分節及單一保護性te片之替 換性電極總成的示意橫剖面圖; 第9圖係另一替換性電極總成的示意橫剖面圖.,該電 本紙張尺度適用中國國象標準(CNS ) A4規格(210 X 297公釐) _ ^ 531820 A7 B7 五、發明説明(7 ) (請先閲讀背面之注意事項再填寫本頁) 極總成具有一上方電極與毗鄰該電漿之配裝一單一保護板 片之下方表面,以及一上方表面,相對於該下方表面,毗 鄰於其係設置複數個電極分節而電容地耦合於該止方電極 經濟部智慧財產局員工消費合作社印製 主要元件對照 6 電漿處理系統 10 電極總成 16 電獎反應器室 18 內部區 20 電漿 ~ 12 分節電極 30 電極分節 30U,100U 上方表面 30L,100L 下方表面 24 絕緣體 \ 40 R F (射頻)電源供應器 44 傳輸線 46 主控制系統 50 工件支撑構件(夾盤) 5 6 工件支撑物R F電源供應器i 60 氣體供應系統 62 氣體供應管線 70 真空系統 本紙張尺度適用中國國家標準(CNS ) A4規格(210X29*7公釐) 531820 Α7 Β7 五、發明説明(8 ) 7 4 ' 真空管線 10〇,l〇〇A,100B,100C 保護板片 200 絕緣層 210 聲頻換能器 220 換能器控制單元 180 上方電極 F 膜 T 厚度 MN 匹配網路 MU . 記憶體單元 HD 硬碟 W 工件 WS 犠牲基板 (請先閱讀背面之注意事項再填寫本頁) ,1Τ 經濟部智慧財產局員工消費合作社印製 發明之詳細說明 本發明有關電漿處理系統中使用之電極,且將引用具 有一犠牲保護層及使用於一反應器中執行蝕刻操作於工件 上之電極。 參考第1圖,顯示有一種具有一電極總成1 0之電漿 處理系統6 ,該電極總成1 〇設置於一電漿反應器室1 6 之內,後者有一能保持電漿2 0具有一電漿密度命廓之內 部區1 8。電極總成1 〇可爲許多熟知電極總成k任一, 諸如分節電極,單一電極,或由一大的單元電極與一配置 在該單元電極上方且電容性地耦合於該處之分節電極所組 本紙張尺度適用中國國家標準(CNS ) A4規格(21〇Χ;297公釐) 531820 A7 B7 五、發明説明(9 ) (請先閲讀背面之注意事項再填寫本頁) 成之多部件電極總成。分節電極之實例揭示於2 0 0 0年 2月2 5日申請之美國臨時專利申請案第60/1 85,069號, 命名爲 '、MULTI-ZONE RF ELECTRODE FOR FIELD/PLASMA UNIFORMITY CONTROL IN CAPACITIVE PLASMA SOURCES 〃中;多部件電極總成之實例揭示於2 0 0 0年1月1 0日 申請之美國專利申請案第6 0 / 1 7 5, 2 8 4號,命名 爲 、、 SEGMENTED ELECTRODE APPARATUS AND METHOD FOR PLASMA PROCESSING 中,該等專利申 請均將以引用方式倂入本文中。進一步地如下文所述,本 發明可應用於除了分節電極外之電極總成,然而,爲易於 描繪,本發明係相對於包含分節電極之電極總成予以描述 〇 因此,在本發明一實施例中,電極總成1 0包含一分 節電極1 2 ,該分節電極1 2具有複數個實質平面之金屬 電極分節3 0,各具有一上方表面3 0U及一下方表面 3 〇 L,後者表面最接近於電漿2 0,電極分節3 0可由 諸如鋁之若干金屬之一所製成,電極分節3 0藉絕緣體 經濟部智慧財產局員工消費合作社印製 2 4予以分開而防止電性連繫(例如,電性放電)於該等 分節之間,絕緣體2 4可爲一由諸如石英或陶質物之介電 材料所製成的剛性結構,各電極分節3 0經由連接於上方 表面3 0 U之傳輸線4 4而電性連接於一 RF電麵供應器 , ) 4 0 ’ R F電源供應器4 0依序地連接於一控制_送至電 極分節3 0之R F功率之頻率.,振幅及相位之主控制系統 4 6 ,例如如揭示於2 0 0 0年3月2 8日申請之美國臨 -12- 本紙張尺度通用中國國家標準(CNS ) A4規格(210 X 297公釐) 531820 A7 B7 五、發明説明(11 ) 與其相對應之電極分節3 0間之各電力線4 4中以協助阻 抗匹配。 系統6亦包含一工件支撑構件(例如,夾盤)5 0, 用以支撑工件W,例如工件係已在半導體之製造過程中製 作圖案之矽晶圓,工件W包含一上方表面S,該上方表面 S具有膜F及/或包含諸如形成於該處之上之溝渠,接點 等(未圖示)之不同幾何形狀之製作圖案的表面形態,形 成不同膜層於工件表面S上及半導體處理中所使用之不同 的表面形態中之該等材料包含矽,二氧化矽(S i〇2 ), 氮化矽(S i N ),鋁,銅,氮化鈦(T 1 N )等。 電性連接於工件支撑構件5 0及控制系統.4 6爲一用 以偏壓該工件支撑構件5 0之工件支撑物R F電源供應器 5 6。相似地,例如當具有R F電源供應器4 0時,匹配 網路Μ N係插置於R F電源供應器5 6與夾盤5 0間之傳 輸線中而使功率轉移最大化,所以,後者作用爲分節電極 1 2電容性地耦合於其之下方電極。'、 系統6進一步地包含一氣體供應系統6 0,以經由氣 體供應管線6 2而氣動連通於室2 0之內部區1 8之方式 用以供應氣體於該室內部區而純化該室以產生電漿及提供 適當之化學物供既定之過程用。包含於氣體供應系統6 ◦ 之特定氣體將依據應用而定,然而,用於電漿蝕應用, 氣體供應系統6 0包含諸如氯氣,溴化氫,八氟k 丁烷, 及種種其他的氟碳混合物,以及諸如氬及氦等之惰性氣體 ;以及用於化學氣相沈積應用,包含矽院,氨,四氯化鎢 本紙張尺度適用中.國國家標準(CNS ) A4規格(210X 297公釐) (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消費合作社印製 531820 A7 五、發明説明(13 ) (請先閲讀背面之注意事項再填寫本頁) 之氟碳氣體所組成,且大致地,相較於F ’ c F及其他種 ’企望於具有高濃度之C F 2基。當工件W係由砷化鎵或鍺 (非矽)所製成之半導體基板時,該等化學物常相似於該 等以處理矽者。尤其,用於砷化鎵基板’一般使用二氧化 矽.(S i〇2 )爲氧化物而大致地使用氮化矽(S i N )爲 氮化物。事實上,半導體基板蝕刻一般受限於D R A Μ中 用於電容器之溝渠蝕刻以及用於源極/汲極接點之接點通 孔及用於3 D V L S I堆疊之基板通孔。 經濟,部智慧財產局員工消費合作社印製 然而,當蝕刻工作W之特定特徴時,電漿2 0亦腐蝕 材料自板片1 0 0之下方表面1 0 0 L以及在處理室中其 他可消耗的表面,縱然在比膜F之蝕刻更緩慢的蝕刻率之 時。不幸地,板片1 〇 〇之腐蝕率在X及Ύ方向中典型地 .並非空間均勻的,此係因爲不同的R F功率準位典型地供 應於不同的電極分節來調整蝕刻過程,例如中心電極分節 1 0〇Β可配置有較周圍電極分節1〇〇Α及1 〇〇C更 小的R F功率,所以,中心板片1 0 0 Β並不會像邊緣板 片1 0 0 Α或1 0 0 C腐蝕得一樣多,因此,各電極分節 1〇0之厚度T (亦即,T a,Τ β,T c )會在時間上改 變不同量而留下厚度差異ΔΤ (例如Ta — Tb,Τα —丁 c 及Τ Β - T c )於該等板片之間。 雖然該厚度差異ΛΤ在處理一單一工件W之&並非重 要,但此差異在數百個工件之後可呈數毫米,此數毫米有 如上述地係分節電極1 2與工件w間之分開距離D的實質 分數,當電極分節2 0與工件W間的電容爲分開距離D的 - 16- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 531820 A7 B7 五、發明説明(14 ) (請先閲讀背面之注意事項再填寫本頁) 函數時,D中之改變會造成電極分節與工作間之電場中的 改變’依序地改變電漿2 0之電漿密度分佈,當處理工件 W時在電漿密度分佈中之此改變將造成處理中(例如膜ρ 之蝕刻率及蝕刻均勻性)所不企望之改變。 板厚度調整渦程 現參閱第3圖,將描述板片1 0 0厚度之調整方法, 此包含以設計來選擇性地蝕刻該等板片之材料的第二電漿 而蝕刻板片1 0 0以減少其間之厚度差異,完成此之蝕刻 板片1 0 0之方法在本文中稱爲 ''板片蝕刻〃。 第3圖顯示第2圖之系統6 ,除了現在放置一犠牲工 件W S於工件支撑構件5 0之上以代替將處理的工作之外 。較佳地,該犠牲工件W S係由相同於板片1 〇 〇之材料 (或諸如用於矽處理之石英的可相容性材料)所製成且係 用於保護工件支撑構件5 0免於蝕刻之目的,因此,用於 其中板片1 0 0係由矽所製成之矽半導體過程,較佳地, 犠牲工件W S亦由矽所製成,例如該犠牲工件將有如欲處 經濟部智慧財產局員工消費合作社印製 理爲具有膜F之已製作圖案之裝置工件的工件W。 一旦置放犠牲工件W S於工件支撑構件5 0之上時, 控制系統4 6將傳送一電性信號至真空系統7 0而抽氣該 室1 6至適當的壓力,諸如氮氣之純化氣體亦可_應自氣
I 體供應系統6 0以純化該室1 6。 ' 接著,控制系統4 6傳送一電性信號到氣體供應系統 6 0而導入一蝕刻劑氣體於適用於蝕刻板片1 0 0之室內 本紙張尺度適用中.國國家標隼(CNS ) A4規格(210X297公釐) ~17 531820 A7 __ B7 五、發明説明(15 ) (請先閲讀背面之注意事項再填寫本頁) ’用於蝕刻矽板片1 0 〇之合適氣體包含C F 4,S F 6, C 1 2 ’及Η B r ,較佳地使用目前商售於特定過程之氣體 或氣體混合物以避免附加氣體管路,氣體等之額外成本, 例如若主要過程係利用C F 4或C 4 F 8 (例如常使用之氧 化物蝕刻法要求C 4 F 8,A r ,0 2 )之氧化物蝕刻劑時 ’則較佳地將使用該等、、商售〃氣體之子集來蝕刻矽板片 1〇0。然而,若有人將改變過程方法,亦即,壓力,氣 體混合物,R F功率等來增加矽蝕刻率時(該過程可要求 存在於淸洗/平坦化電漿中之氟基的增加),則特定過程 方法之一實例係如下:以5 0 0 s c c m之流率導入S F 6 氣體於該室之內而施加吸氣以維持2 5 0毫托之室壓力, 及供應2至3 K W之功率以形成電漿。 經濟部智慧財產局員工消費合作社印製 一旦導入適當的蝕刻氣體於內部區1 8之時,控制系 統4 6將啓動R F電源供應器4 0以提供R F功率於分節 之電極分節3 0而加能該氣體以形成新的設計來選擇性地 蝕刻板片1 0 0之電漿1 2 0以便減少該等板片間之厚度 差異,產生第3圖中所示之狀態。總言之,電漿1 2 0係 設計來蝕刻構成板片1 〇 〇之材料。在其中此材料爲矽或 鍺之例中,電漿1 2 0具有高濃度的氟(相對於電漿中所 存在之其他基)。 在形成電漿1 2 0之’優先地蝕刻板片1 〇 f成比例 於其厚度以便降低該等板片間之板片厚度T中的異,此 係藉適當地調整R F功率振幅’頻率’波形及/或相位來 造成板片1 0 0之不同的鈾刻率而達成’例如若中心板片 本紙張尺度適用中.國國家標準(CNS ) A4規格(210><297公釐)_ 18 - 531820 A7 B7 五、發明説明(ie ) 1〇0 B需蝕刻得比板片1 0 0 A及1 〇 〇 C更多時,則 提供於該中心板片之R F功率會比提供於邊緣板片之R F 功率更大。事實上’控制系統4 6可僅導通R F功率於將 執行蝕刻之電極分節,本發明人已觀察到加能於單一之電 極分節3 0可造成電漿2 0之密度局部地成峰値於個別電 極分節下方,此係描繪於第4圖圖中,該圖顯示當加能一 單一電極分節時之蝕刻率分佈。在此例中,加能於週邊電 極分節3 0 P而工件蝕刻率在分節3 0 P下方最高,如地 區Η中之分佈所不。事實上,可有利地使R F功率成順序 地到個別電極分節以用於 ''平坦化〃各電極分節3 0,該 R F功率之成順序可予以重疊以維持電漿2 0 (亦即,當 關閉功率於一分節及開啓功率於下一分節時,不會失去電 漿)。 . 可執行該板片蝕刻過程直到所有板片1 0 〇具有實質 相同的厚度Τ,亦即,直到板片1 0 0平坦化。替換性地 ’可執行該過程直到板片1 0 0間之厚度Τ中之差異在一 可.接受的範圍內(例如一預定之臨限値),一旦板片 1 0 0間之厚度中的差異係在可接受之範圍內時,則可評 估工件表面w S與電極板片1 0 〇 L之底部表面間之間距 ’然後可藉調整夾盤(或工件)之値來調整該間隔於標稱 特定値(以用於預定之最佳過程)。 ^ \ gJiA定板片厚度及蝕刻之實驗模型 本發明之關鍵性觀點係確定要多長時間來執行該板片 本紙張尺度^中國國家標準(〇奶)八4規格(210'乂297公釐) ~ ~一·一"' (請先閲讀背面之注意事項再填寫本頁) 訂 IP-· 經濟部智慧財產局員工消費合作社印製 531820 Α7 Β7 五、發明説明(17 ) 蝕刻使達成相關板片厚度中之合適調整。執行上述板片蝕 刻之一方法係根據實驗資料,獲得此等資料包含一第一組 之實驗,含蝕刻工件以用於不同的過程及記錄該等過程參 數而評估板片1 0 0隨著時間之腐蝕有多快以及評估該板 片腐蝕之空間分佈;接著一第二組之實驗係以犠牲工件來 運作以用於不同的過程而確定該板片蝕刻過程之鈾刻率及 空間分佈,該第二組之實驗將包含不同組合之電極分節功 率準位,該第二組之實驗將需要以使電極板片再平坦化蝕 刻)步驟最適化,該最適化之過程方法將考慮到總電極 R F功率,功率之次電極分佈,氣體流率,氣體種,壓力 ,等之效應,然後,儲存來自該第一及第二組實驗之資料 於控制系統4 6之記憶體單元M U中以當作資料庫或對照 表,該等資料可用來確定不同處理參數之設定(例如室壓 力,R F功率,R F頻率,R F振幅,氣體混合物,等) 以及應執行該板片蝕刻多長時間,該板片蝕刻可執行直到 厚度差異△ Τ降低至一預定値。 '、 聲頻板片厚度測量技術 用於測量執行該板片蝕刻多長時間之替換性技術包含 利用聲頻信號來測量板片1 0 〇的厚度,該替換性之技術 可進一步地監視板片之腐蝕及確定何時必須告知4桑作員置 換可消耗物之時間。 ' 參閱第5圖,在本發明之此實施例中’各電極分極 3 0包含一絕緣層200 ’在上方表面30U之頂部’及 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X 297公釐) (請先閲讀背面之注意事項再填寫本頁) 訂 經濟部智慧財產局員工消賢合作社印製 531820 Α7 Β7 五、發明説明(18 ) (請先閲讀背面之注意事項再填寫本頁) 聲頻換能器2 1 0,在該絕緣層之頂部,較佳地該聲頻換 能器2 1 〇爲壓電的,而該絕緣層2 〇 0可爲氧化物或青 玉’各聲頻換能器2 1 〇電性地連接於一驅動該等換能器 之換能器控制單元2 2 0,該換能器控制單元2 2 0依序 地電性連接於控制系統4 6 ,如下文所述地,該控制系統 4 6控制換能器控制單元之啓動及操作,以及處理換能器 2 1 0所接收之信號。 現參閱第6及7圖,聲頻換能器2 1 0透過絕緣體 2〇0 ,電極30及板片1〇〇發出聲頻能量250之信 號S,信號S分別以V 2。。,V 3 〇及V i 〇 Q之速率行進穿 過絕緣體2 0 0,電極3 0及板片1 0 0,信號S會反射 自電極3 0之上方表面3 0 U以及板片10 〇之上方表面 10 0U及下方表面l〇〇L,.此產生聲頻換能器210 所接收之折返信號S i,S 2及S 3,相結合於信號S i, 5 2及S 3之行進時間爲t i,t 2及t 3,其係藉下列而獲 得·· 、' tl=2dl/V200, 經濟部智慧財產局員工消費合作社印製 t2==2d2///V30, t3==2d3/Vl〇〇, 因此,信號S之總行進時間爲t T = t 1 + t 2 + t 3。 所以,較佳地,換能器2 1 0所發出之信號js之頻率 f s係比1 /t τ大而提供足夠的時間供各信號在v —個信 號發出之前完成一完整的往返行程自換能器2 1 〇至板片 1〇〇的下方表面1 0 0 L及折返。信號間之週期爲 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) -21 - 531820 A7 B7 經濟部智慧財產局員工消費合作社印製 五、發明説明(19 ) 1 / f s = t S,同時,信號S之脈波寬度爲△ t S,例如 該△ t s可爲大約t P的5 %。 依據上述,板片1 0 0之厚度T相對應於d 3,,該d 3 可從分析信號S i — S 3而予以演繹且從上述關係確定d 3 。較佳地用於確定板片1 0 0之厚度T的計算係執行於控 制系統4 6之中。 因此,藉由在工件W之電漿處理期間在原處測量該板 片1 0 0厚度,可立即評估何時須修復該等板片之時間點 。進一步地,藉由在板片蝕刻期間測量厚度,可立即評估 其中板片之厚度差異係在可接受範圍內之時間點。此外, 藉由在工件W之電漿處理期間在原處測量該板片1 〇 〇厚 度,可立即確定何時須置換該等電極板片以及其他可消耗 物的時間點。 回顧第1圖,一旦執行板片之蝕刻過程一足夠的時間 週期時,控制系統1 0 0會傳送電子信號於R F電源供應 器4 0 (在板片平坦化之期間,無需功率於工件支撑構件 1 5 0 )以停止傳送R F功率至電極分節3 0及工件支撑 構件5 0 ;接著,控制系統4 6傳送電子信號到氣體供應 系統6 0而流出一純化氣體至該室1 6之內部區1 8之內 以純化該蝕刻劑氣體之室。 一旦純化該室1 6時,移出犠牲基板W S且―著電漿 處理欲處理之額外(亦即,一個或更多個)工件W,只要 需要時可持續電漿處理若干工件W之循環且接著執行板片 蝕刻以修復板片1 0 0之厚度分佈。 (請先閱讀背面之注意事項再填寫本頁) 訂 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 531820 A7 B7 五、發明説明(20 ) 可消耗物腐鈾之監視及置換之告__知 . (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 如上述地,藉由在工作W之電漿處理期間在原處測量 該板片1 0 0厚度’可立即確定何時需要可消耗物之置換 的時間點且可告知操作員以用於預防性維修排程。參閱第 5圖,可使用控制器2 2 0之命令下的聲頻換能器2 1〇 來監視板片1 0 0之厚度,其中如第6及7圖中之所述, 所傳輸及接收之信號可藉電腦處理器4 6記錄及分析以確 定瞬時之板片1 0 0厚度,當諸如板片1 0 0之任一可消 耗物之厚度在電漿腐蝕期間減退至一臨限準位之下,亦即 ,該板片1 0 0厚度腐蝕至其標稱厚度之5 0 %之內時, 則警示該操作員執行可消耗物之置換當作預防性維修程式 之部分;同樣地,當諸如板片1 0 0之任一可消耗物之厚 度在膜形成期間增至一臨限準位之上,亦即,該板片 1 0 0厚度已增至大於其標稱厚度1 %時,則警示該操作 員執行室之.淸洗當作預防性維修程式之部分。事實上,可 採用操作員告知之階層,例如在電漿腐蝕之例子的期間, 可消耗物之2 5 %腐蝕會產生一操作員警告,可消耗物之 5〇%腐蝕會產生用於預防性維修排程之操作員請求,以 及7 5 %腐蝕會產生用於立即可消耗物置換之緊急告知。 電腦處理器4 6可經由積體電路(I C )製造:廠之網內 網路連接於一中央化之工廠伺服器以用於告知製1造操作員 及/或可透過位於工廠中之設備供應商伺服器經由網際網 路傳送告知至廠外之設備供應商。 -23- 成1〇 531820 A7 _ B7 _^_ 五、發明説明) 曼1奐性之雷極總成 如上述地,爲描繪之緣故,本發明之方法係利用具有 分節電極1 2之電極總成1 0予以解說。事實上,本發明 可應用於其他形式之電極總成,例如參閱第8圖及電極總 單一之保護性板片1 0配裝於電極分節3 0之 下方表面3 0 L,而非使用定尺寸於各電極分節之個別板 片1 0 0。大致地,本發明之方法可應用於一個或更多個 板片1 0 0,其中由於來自電漿2 0之腐蝕,在該一個或 更多個板片中之厚度T上的變化係變化爲X及Z之函數, 亦即,丁( X,Z ),在此例中,該厚度差異可測量爲厚 (請先閲讀背面之注意事項再填寫本頁)
度中之最大差異,例如,△ T
T
T 參閱第9圖,另一可行之電極總成1 0包含一大的上 方表面1 8 0 ,該 上方電極1 8 0 U 1 8 0之電極分節 17 5,2 8 4 號 是,本發明可以以 極類似於該處之方 成,因爲不同的R 部分。 由於電極係使 積操作期間形成材 除在沈積操作期間 經濟部智慧財產局員工消費合作社印製 上方電極1 8 0具有相反於電漿2 0之 ,以及毗鄰於電容性地耦合於上方電極 ,如上述美國臨時專利申請案第6 0 / 中所述。將呈明顯於熟習本項技術者的 上述連接於分節電極1 2之方式,或以 式應用於該等電極總成以及其他類似總 F功率準位可施加於板片1 〇 〇的不同 t \ 用爲濺鍍靶標或由於在諸如C D之沈 料層於該處.之上,本發明亦可使用以排 所發生之厚度變化。在前者例子中,該 表紙張尺度適用中.國國家標準(CNS ) A4規格(210X297公釐) -24 - 531820 A7 B7 五、發明説明(22 ) 電極將由欲沈積之材料所製成,而在後者例子中,將沈積 之材料係衍生自分離及游離於電漿中之氣體且該電極之主 要目的在於電容性地耦合R F功率於該電漿之內或作用爲 氣體注入電極。 事實上’本發明之許多特性及優點係呈明顯於說明書 之細節,因而打算藉附錄之申請專利範圍來涵蓋緊隨著本 發明之真正精神及範疇之上述方法的所有該等特性及優點 。進一步地,因爲許多修正及改變將立即發生於該等熟習 於本項技術之一般人士,故並不企望限制本發明於所描繪 及所描述之確實架構及操作。此外,本發明之方法及裝置 ,類似於在本質複雜,之半導體技術中所使用之相關裝置及 方法,常最佳地藉實驗地確定操作參數之適當値或藉執行 電腦模擬予以實施而取得既定應用之最佳設計。因此,所 有適用之修正例及等效例應視爲包含在本發明之精神及範 疇之內。 (請先閱讀背面之注意事項再填寫本頁)
、1T 經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中.國國家標準(CNS ) A4規格(2丨〇><297公釐)

Claims (1)

  1. 531820 A8 B8 C8 D8 秦 六、申請專利範園 附件二: 第901 1 0973號專利申請案 中文申請專利範圍修正本 民國91年8月14日修正 1 · 一種在電漿處理系統中調整能保持電漿於反應器室 中之電極總成之相對厚度的方法,該電極總成設置於該反應 器中且包含至少一具有由工件上所執行之電漿處理操作所產 生之不均勻厚度,該方法包含: 形成一電漿,該電漿設計來選擇性地鈾刻第一材料 ;以及 b )以電漿來蝕刻該至少一電極而修復不均勻的厚度於 該至少一電極。 經濟部智慧財產局員工消費合作社印製 2 .如申請專利範圍第1項之方法, 含至少一具有下方表面曝射於各電漿之第 板片,該電漿處理操作係使至少一犠牲保 蝕刻操作,以及各工件含第二材料之膜, 由該第一材料所製成之支撑物所承載,且 藉該鈾刻操作予以蝕刻。 3 .如申請專利範圍第2項之方法, 入至少一鈾刻氣體於該反應器室之.內。 4 .如申請專利範圍第3項之方法, 氣體係選擇自含有:HBr,Cl2,S F 8,C 5 F 8,A r及〇2之氣體的組群< 5 ·如申請專利範圍第2項之方法, 其中該電極總成包 一材料的犠牲保護 護板片遭受腐鈾之 該第二材料之膜係 該第二材料之膜係 其中該蝕刻包含導 其中該至少一蝕刻 F 6,C F 4,C 4 進一步地包含:在 (請先閱讀背面之注意事項再填寫本頁)
    531820 A8 B8 C8 D8 六、申請專利範圍 該形成之前,移開一第一工件自該反應器以及以一犠牲工件 來代替該第一工件。 (請先閱讀背面之注意事項再填寫本頁) 6 .如申請專利範圍第5項之方法,其中該犠牲工件係 由該第一材料所製成。 7 ·如申請專利範圍第2項之方法,其中該至少一電極 包含複數個電極分節。 8 ·如申請專利範圍第2項之方法,其中該至少一電極 具有一相反於該下方表面之上方表面;以及該電極總成進一 步地包含複數個電極分節,設置毗鄰該至少一電極之該上方 表面。 9 ·如申請專利範圍第2項之方法,其中該第一材料爲 石夕。 1 〇 ·如申請專利範圍第2項之方法,進一步地包含: 在該形成之前,進行實驗以取得有關該至少一保護性板片之 腐蝕率及腐蝕之空間分佈,以及該至少一保護板片之鈾刻率 及蝕刻之空間蝕刻分佈。 1 1 ·如申請專利範圍第1 0項之方法,進一步地包含 經濟部智慧財產局員工消費合作社印製 在該形成之前及在該等實驗之進行之後,儲存該實驗資 料於一資料庫之中;以及 根據該資料庫中所儲存之該實驗資料來執行該蝕刻一時 間量。 1 2 ·如申請專利範圍第2項之方法,其中該鈾刻係執 行直到該至少一保護板片之厚度中的變化降低至一預定値爲 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -2 - 531820 A8 B8 C8 D8 經濟部智慧財產局員工消費合作社印製 六、申請專利範圍 止。 1 3 ·如申請專利範圍第1 2項之方法’其中該厚度變 化係降低至其中至少一保護板片具有一實質恒常的厚度之點 〇 1 4 ·如申請專利範圍第2項之方法,其中該蝕刻包含 測量該至少一保護板片之厚度。 1 5 ·如申請專利範圍第1 4項之方法’進一步地包含 提供板片厚度之測量於一控制系統以用於控制該蝕刻中之該 蝕刻的操作。 1 6 ·如申請專利範圍第1 4項之方法’其中測量該至 少一保護板片之厚度係利用聲頻信號來執行。 1 7 ·如申請專利範圍第1 6項之方法’進一步地包含 提供膜厚度之測量於一控制系統以用於控制該蝕刻中之蝕刻 的操作。 1 8 ·如申請專利範圍第2項之方法,其中該至少一電 極係由複數個電極分節所構成;以及該蝕刻包含使R F功率 依序到連續的電極分節。 1 9 .如申請專利範圍第2項之方法,其中該至少一電 極係由複數個電極分節所構成;以及該至少一犠牲保護板片 係由各配裝於一個別電極分節之下方表面之複數個保護板片 所構成。 20 . —種電漿處理室之監視方法,包含: 在電漿處理期間在原處測量該電漿處理室之可消耗部分 的厚度;以及 本^張尺度適用中國國家標準(匚奶)八4規格(210父297公嫠) (請先閲讀背面之注意事項再填寫本頁) •裝· 、1T 綉 531820 A8 B8 C8 D8 六、申請專利範圍 當所測量之厚度降低至一臨限値之下時產生一指示。 2 1 ·如申請專利範圍第2 U項之方法,其.中該臨限値 係標稱厚度之百分之五十。 2 2 ·如申請專利範圍第2 0項之方法,其中該產生包 含產生一警告於一第一臨限値處,產生一請求於該第一臨限 値之下的一第二臨限値處,以及產生一緊急告知於該第二臨 限値之下的第三臨限値處。 2 3 ·如申請專利範圍第2 0項之方法,其中該產生包 含告知一操作員。 2 4 .如申請專利範圍第2 0項之方法,其中該產生包 含告知-裝備製造者。 2 5 · —種電漿處理室之監視方法,包含: 在電漿處理期間在原處測量該電漿處理室之可消耗部分 的厚度;以及 當所測量之厚度增至一臨限値之上時產生一指示。 2 6 ·如申請專利範圍第2 5項之方法,其中該臨限値 係標稱厚度之百分之一。 2 7 ·如申請專利範圍第2 5項之方法.,其中該產生包 含告知一操作員。 2 8 .如申請專利範圍第2 5項之方法,其中該產生包 含告知一裝備製造者。 2 9 · —種電漿處理室之維修方法,包含·· 在電漿處理期間在原處測量該電漿處理室之可消耗部分 的厚度; (請先閲讀背面之注意事項再填寫本頁) 11111 n ^ 11 ϋ 11 n
    經濟部智慧財產局員工消費合作社印製 本紙張尺度適用中國國家摞準(CNS ) A4規格(210X297公釐) 4 531820 A8 B8 C8 D8 六、申請專利範圍 當所測量之厚度降低至一臨限値之下時產生一指示;以 及 因應該產生而置換該可消耗部分。 3 0 . —種電漿處理室之維修方法,包含: 在電漿處理期間在原處測量該電漿處理室之一部分之厚 度; 當所測量之厚度增至一臨限値之上時產生一指示;以及 因應該產生而淸洗該室。 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 -5- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)
TW090110973A 2000-05-12 2001-05-08 Method of adjusting the thickness of an electrode in a plasma processing system TW531820B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US20390900P 2000-05-12 2000-05-12

Publications (1)

Publication Number Publication Date
TW531820B true TW531820B (en) 2003-05-11

Family

ID=22755796

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090110973A TW531820B (en) 2000-05-12 2001-05-08 Method of adjusting the thickness of an electrode in a plasma processing system

Country Status (7)

Country Link
US (1) US6913703B2 (zh)
JP (1) JP2003533879A (zh)
KR (1) KR100554426B1 (zh)
CN (1) CN1233019C (zh)
AU (1) AU2001259557A1 (zh)
TW (1) TW531820B (zh)
WO (1) WO2001088966A2 (zh)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2002242025A1 (en) * 2001-01-29 2002-08-12 Olga Kachurina Advanced composite ormosil coatings
US6852242B2 (en) * 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
US20030042227A1 (en) * 2001-08-29 2003-03-06 Tokyo Electron Limited Apparatus and method for tailoring an etch profile
WO2003100817A1 (en) * 2002-05-23 2003-12-04 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a mutli-part electrode
US6838012B2 (en) * 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7307013B2 (en) * 2004-06-30 2007-12-11 Sandisk 3D Llc Nonselective unpatterned etchback to expose buried patterned features
CN101098724B (zh) * 2004-11-30 2011-04-06 株式会社根本杏林堂 机械系统
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US20080000497A1 (en) * 2006-06-30 2008-01-03 Applied Materials, Inc. Removal of organic-containing layers from large surface areas
US7829815B2 (en) * 2006-09-22 2010-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable electrodes and coils for plasma density distribution control
US7902018B2 (en) 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US8101052B2 (en) * 2006-11-27 2012-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable anode assembly for a substrate wet processing apparatus
US20090236214A1 (en) * 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
KR100977622B1 (ko) * 2008-06-11 2010-08-23 (주)이루자 금속촉매도핑장비 및 도핑방법과 이를 이용한평판표시장치제조방법
KR101007665B1 (ko) * 2008-07-04 2011-01-13 (주)이루자 금속촉매도핑장비 및 도핑방법과 이를 이용한평판표시장치제조방법
KR101382607B1 (ko) * 2012-10-26 2014-04-07 성균관대학교산학협력단 대면적 나노소자용 식각 장비 및 나노소자 식각 방법
US10790121B2 (en) 2017-04-07 2020-09-29 Applied Materials, Inc. Plasma density control on substrate edge
SG11201912567RA (en) 2017-06-27 2020-01-30 Canon Anelva Corp Plasma processing apparatus
KR102257134B1 (ko) 2017-06-27 2021-05-26 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
PL3648550T3 (pl) 2017-06-27 2021-11-22 Canon Anelva Corporation Urządzenie do przetwarzania plazmowego
JP2019033236A (ja) * 2017-08-10 2019-02-28 株式会社日本製鋼所 原子層成長装置並びに原子層成長装置を使用した成膜方法および原子層成長装置のクリーニング方法
EP3817517A4 (en) * 2018-06-26 2022-03-16 Canon Anelva Corporation PLASMA PROCESSING DEVICE, PLASMA PROCESSING METHOD, PROGRAM, AND MEMORY MEDIA
JP2021038452A (ja) * 2019-09-05 2021-03-11 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
CN113013006B (zh) * 2021-03-03 2022-01-21 长江存储科技有限责任公司 一种上电极及反应腔室
CN115050644B (zh) * 2022-08-17 2022-11-15 合肥晶合集成电路股份有限公司 一种晶圆的刻蚀方法及系统

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4342901A (en) * 1980-08-11 1982-08-03 Eaton Corporation Plasma etching electrode
US4581118A (en) * 1983-01-26 1986-04-08 Materials Research Corporation Shaped field magnetron electrode
KR910000273B1 (ko) * 1985-05-09 1991-01-23 마쯔시다덴기산교 가부시기가이샤 플라즈마 처리장치
JPH0741153Y2 (ja) * 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
EP0680072B1 (en) * 1994-04-28 2003-10-08 Applied Materials, Inc. A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling
US5628869A (en) * 1994-05-09 1997-05-13 Lsi Logic Corporation Plasma enhanced chemical vapor reactor with shaped electrodes
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
TW449820B (en) * 1996-02-15 2001-08-11 Tokai Carbon Kk Plasma-etching electrode plate
JP3454333B2 (ja) * 1996-04-22 2003-10-06 日清紡績株式会社 プラズマエッチング電極
JP3598717B2 (ja) * 1997-03-19 2004-12-08 株式会社日立製作所 プラズマ処理装置
JPH1126435A (ja) * 1997-07-03 1999-01-29 Hitachi Chem Co Ltd プラズマエッチング用電極

Also Published As

Publication number Publication date
CN1233019C (zh) 2005-12-21
WO2001088966A2 (en) 2001-11-22
WO2001088966A3 (en) 2002-03-28
CN1429399A (zh) 2003-07-09
US6913703B2 (en) 2005-07-05
KR20020094039A (ko) 2002-12-16
US20030121886A1 (en) 2003-07-03
KR100554426B1 (ko) 2006-02-22
JP2003533879A (ja) 2003-11-11
AU2001259557A1 (en) 2001-11-26

Similar Documents

Publication Publication Date Title
TW531820B (en) Method of adjusting the thickness of an electrode in a plasma processing system
CN100576475C (zh) 光刻胶和刻蚀残留物的低压去除
EP1993745B1 (en) Plasma processing reactor
TWI428974B (zh) 電漿處理系統
TWI460786B (zh) A plasma processing apparatus, a plasma processing method, and a memory medium
CN101095379B (zh) 光刻胶和刻蚀残留物的低压去除
CN106057666B (zh) 蚀刻方法
JP4554037B2 (ja) 消耗品の消耗度予測方法及び堆積膜厚の予測方法
US20070199658A1 (en) Integrated capacitive and inductive power sources for a plasma etching chamber
JPH1096082A (ja) 基板処理システム構成部材の寿命を延ばす炭素ベース膜の使用
TW380285B (en) Methods for reducing plasma-induced charging damage
JP2011018894A (ja) プラズマ処理装置用の消耗部品の再利用方法
TW201820507A (zh) 具有降低之腐蝕敏感度的製程套件
JP2007324154A (ja) プラズマ処理装置
US6863926B2 (en) Corrosive-resistant coating over aluminum substrates for use in plasma deposition and etch environments
JP2010278362A (ja) プラズマエッチング装置
JPH10251849A (ja) スパッタリング装置
JP4666740B2 (ja) 半導体製造装置、被処理基板表面の処理方法およびプラズマ生成物の付着状態の観察方法
TWI632591B (zh) 間隔件側壁遮罩之雕塑方法及系統
JP2016143803A (ja) プラズマ処理方法及びプラズマ処理装置
JP2006032759A (ja) プラズマ処理装置
JP2011029514A (ja) 堆積物対策用カバー及びプラズマ処理装置
TW202013426A (zh) 具保護性塗層之處理腔室的處理套組
JP2022146092A (ja) 基板処理方法及び基板処理装置
JP4243615B2 (ja) 反応性イオンエッチング装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees