TW488025B - Self-aligned dual damascene etch using a polymer - Google Patents

Self-aligned dual damascene etch using a polymer Download PDF

Info

Publication number
TW488025B
TW488025B TW090102745A TW90102745A TW488025B TW 488025 B TW488025 B TW 488025B TW 090102745 A TW090102745 A TW 090102745A TW 90102745 A TW90102745 A TW 90102745A TW 488025 B TW488025 B TW 488025B
Authority
TW
Taiwan
Prior art keywords
layer
dielectric layer
trench
etch stop
intermediate horizontal
Prior art date
Application number
TW090102745A
Other languages
English (en)
Inventor
Gabriela Brase
Original Assignee
Infineon Technologies Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies Corp filed Critical Infineon Technologies Corp
Application granted granted Critical
Publication of TW488025B publication Critical patent/TW488025B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

經濟部智慧財產局員工消費合作社印製 488025 A7 B7 五、發明說明() 背景 1 .技術領域 本發明關於半導體製造,更特別地有關蝕刻停止層及具 有低介電常數特性以便改良電路性能之方法。 2 .相關技藝之說明 在單一沈積程序中,採用雙金屬鑲嵌結構來同時形成接 觸點至較低金屬結構及金屬線上。於形成通孔和溝渠在介 電層(其將金屬結構與欲被形成之金屬線分隔)中時發生困 難。將通路孔設置在所需要之位置上,於此情況,欲造成 連接通過介電層,同時將溝渠形成歷較大距離以便形成金 屬線。因爲通孔和溝渠具有不同之幾何形狀,所以通孔和 溝渠之形成係由不同之圖案化步驟形成。 爲了適應不同結構即:通孔和溝渠將一個蝕刻停止層插 置在兩介電層間。蝕刻停止層係由氮化矽材料所形成。採 用氮化矽可容許選擇性蝕刻第一介電層(其可包括一種氧 化物材料)而形成金屬線。然後,蝕刻氮化物並使用來造 成通孔圖案通過第二介電層,其典型亦是一種氧化物材 料。 氮化矽蝕刻停止層包括大約4或5之介電常數。關於具 有較大密度之金屬線,就0 . 25微米或更小之接地法則而 言,氮化矽遇到高介電常數其可產生電流漏電或串音在毗 鄰金屬線間或在不同層上之金屬線間。 因此,(存在著)需要一種改良之蝕刻停止層其可提供所 必須之對於毗鄰層之選擇性,提供硬遮罩能力並具有降低 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) J--·------------------i 訂· H------線 (請先閱讀背面之注意事項再填寫本頁) 488025 A7 B7 五、發明說明() 之介電常數以便改良雙金屬鑲嵌結構之成形。 發明之槪沭 丰艮»本發明’用以形成半導體裝置之雙金屬鑲嵌結構之 方法包括提供導電之區域在第一層上,形成一個中間水平 面介電層在第一層上及形成一個蝕刻停止層在中間水平面 介電層上。蝕刻停止層包括具有小於大約3 . 0之介電常數 的聚合物。將蝕刻停止層圖案化而形成通孔圖案,並將溝 渠介電層沈積在蝕刻停止層上及在通孔圖案的孔中。溝渠 係經由根據蝕刻圖案,蝕刻溝渠層予以形成在溝渠介電層 中’而通孔係經使用触刻停止層蝕刻通過溝渠予以形成在 中間水平面介電層中,使溝渠與通孔自行對齊並曝露導電 區域在第一層上。 在其他方法中,聚合物宜包括至少一種的聚伸芳基醚和 聚苯並噚唑介電體。提供導電之區域在第一層上的步驟可 包括提供金屬線和擴散區域之一。可包括形成一個帽層在 導電區域上以保護導電區域免於氧化的步驟。 在更有其他方法中,中間水平面介電層和溝渠介電層可 能由相同材料所構成。相同材料可包括氮化物和氧化物之 一。中間水平面介電層和溝渠介電層宜選擇性可蝕刻(相 對於蝕刻停止層)。中間水平面介電層和溝渠介電層亦可 由不同材料所構成。將蝕刻停止層圖案化而形成通孔圖案 步驟可包括採用一個硬遮罩層而形成通孔圖案。可包括沈 積導電材料以便同時形成接觸點在通孔中及在溝渠中之導 電線中的步驟。形成蝕刻停止層在中間水平面介電層上之 本紙張尺度適用中國國家標準(CNS)A4規格(210 x 297公叙) ------------裝--- (請先閱讀背面之注意事項再填寫本頁) _ 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 488025 A7 五、發明說明() 步驟可包括旋轉塗覆聚合物並將它固化。蝕刻停止層可包 括大約lOOnm(奈米)至大約250nm間之厚度。 形成半導體裝置之雙金屬鑲嵌結構之另種方法包括下列 步驟:提供導電區域在第一層上,形成一中間水平面介電 層在第一層上其中該中間水平面介電層包括具有小於大約 3.0之介電常數之聚合物材料,及形成一蝕刻停止層在中 間水平面介電層上。該方法另外包括將蝕刻停止層圖案化 而形成通孔圖案,沈積一溝渠介電層在蝕刻停止層上及在 通孔圖案之孔中其中該溝渠介電層包括具有小於大約3 . 0 介電常數之聚合物材料,及經由根據溝渠圖案,蝕刻溝渠 層而形成在溝渠介電層中。將通孔係經由使用鈾刻停止層 蝕刻通過各溝渠而形成在中間水平面介電層中,使溝渠與 通孔自行對齊並曝露導電區域在第一層上。 在其他方法中,聚合物宜包括聚伸芳基醚和聚苯並噚唑 介電體之少一種。提供導電區域在第一層上之步驟包括提 供金屬線之一 ’並可包括擴散區域。該方法可包括形成一 個帽層在導電區域上來保護導電區域免於氧化之步驟。蝕 刻停止層可包括氧化物和氮化物之一。中間水平面介電層 和溝渠介電層宜相對於蝕刻停止層選擇性可蝕刻。中間水 平面介電層和溝渠介電層可由不同之聚合物材料所構成。 在更有其他方法中,經由根據溝渠圖案蝕刻溝渠層而形 成溝渠在溝渠介電層中的步驟可包括使用一個氧化物層作 爲硬遮罩而將溝渠介電層圖案化的步驟。該方法可另外包 括沈積導電材料來同時形成接觸點在通孔中及溝渠中之導 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) J--·------------------"訂 -----"—線 (請先閱讀背面之注意事項再填寫本頁) 488025 A7 B7 五、發明說明() 線線上的步驟。形成中間水平面介電層的步驟可包括旋轉 塗覆聚合物並將它固化。沉積溝渠介電層之步驟亦可包括 旋轉塗覆聚合物並將它固化。 根據本發明,雙金屬鑲嵌結構包括第一層上之導電區域 ’經形成在該第一層上並具有通孔通經其中之中間水平面 介電層’ 一個溝渠介電層具有溝渠形成在其中與各通孔相 連通及經形成在中間水平面介電層與溝渠介電層間之一個 蝕刻停止層。將各溝渠和各通孔用一種導電之材料塡充, 而該導電之材料形成導電線在各溝渠中將彼等經由經形成 在通孔中之接觸點予以連接至第一層之導電區域。中間水 平面介電層、溝渠介電層和蝕刻停止層的至少一者包括具 有小於或等於3 . 0介電常數之聚合物材料。 在另外具體實施例中,聚合物可包括聚伸芳基醚和聚苯 並曙哩介電體之至少一種。中間水平面介電層和溝渠介電 層兩者可包括聚合物材料,或蝕刻停止層可包括聚合物材 料。該蝕刻停止層宜包括大約l〇0nm至大約25〇nm間之厚 度。毗鄰之導電線可具有小於或等於〇 . 25微米的間隔在 其間。 本發明的此等和其他目的、特徵及優點自其舉例說明之 具體實施例的下列詳細敘述將變得顯然可見,此等具體實 施例係參照附隨之圖式予以閱讀。 圖式之簡單說明 本文內容將參照下列各圖式詳細呈現較佳具體實施例的 下列敘述,其中: —6— 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) -ϋ I I ϋ J0 tTii----線- 經濟部智慧財產局員工消費合作社印製 488025 A7
5 經濟部智慧財產局員工消費合作社印製 五、發明說明() 第1圖是半導體裝置的截面圖,顯示根據本發明,用以 使硬遮罩層圖案化之阻體層; 第2圖是第1圖中半導體裝置的截面圖,顯示根據本發 明,採用硬遮罩層將蝕刻停止層圖案化; 第3圖是第2圖中半導體裝置的截面圖,顯示根據本發 明,將溝渠介電層圖案化而使各溝渠與各通孔自行對齊並 在導電區域之下面; 第4圖是第3圖中半導體裝置的截面圖,顯示根據本發 明,將溝渠和通孔敞開而形成雙金屬鑲嵌構造; 第5圖是第4圖中半導體裝置的截面圖,顯示根據本發 明,使用導電之材料塡充各溝渠和各通孔而形成雙金屬鑲 嵌構造;及 第6圖是根據本發明,具有聚合物中間水平面介電層和 溝渠等介電層之半導體裝置的另外具體實施例之截面圖。 較佳具體實施例的詳細說明 本發明提供優於先前技藝蝕刻停止層之新穎蝕刻停止層 以便形成雙金屬鑲嵌結構。特別,該新穎蝕刻停止層提供 對於毗鄰層之選擇性、改良之介電特性和能提供改良之處 理特性,舉例而言例如,可沈積達到較大之厚度。本發明 提供一聚合物介電層其能防止毗鄰導電結構間之電流漏電 及減少毗鄰導電結構間之串音。 現在特別詳細述及各圖式,其中相同參考數字指示遍歷 圖中相似或相同單元,首先述及第1圖,顯示經部份製成 之半導體裝置100。半導體裝置100可包括一記憶體晶片 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) ¥衣 tT丨· 經濟部智慧財產局員工消費合作社印製 488025 A7 B7 五、發明說明(6 ) 例如’靜態隨機存取(SRAM )記憶體晶片,動態隨機存取記 憶體(DRAM)晶片、嵌入式記憶體晶片等。半導體裝置ι〇〇 亦可包括一個邏輯或處理器晶片,一應用特定積體電路 (AS 1C)晶片或類似者。可將本發明採用於任何雙金屬鑲嵌 結構或具有經由介電層所分隔之導電線或電極之其他多層 結構。爲了舉例說明之目的,本發明將以雙金屬鑲嵌結構 計而敘述,將它對於下面之導電或半導體區域1 02自行對 齊。 可將區域102形成在基體104上或在其中。基體104可 包括許多介電層、導電層、有源裝置例如電晶體、無源裝 置例如電容器或其他組件。基體1 04可包括一種半導體材 料例如單晶矽其可包括溝渠和擴散區域。區域1 02可包括 導電之金屬線或擴散區域。現在將區域1 02以導電之線計 舉例說明方式來敘述。 可將一個帽層106沈積在區域102上以防止在隨後沈積 程序中,氧化物形成在其上。帽層1 06宜包括一薄層(數 奈米)的氮化矽。將中間水平面介電層1 08形成在帽層1 06 上。中間水平面介電層形成一個通孔層或經使用以形成通 孔至下面之區域106之一個層。中間水平面介電層108可 包括一種氧化物例如二氧化矽或一種玻璃例如硼-磷矽酸 玻璃(BPSG),硼矽酸玻璃(BSG)、磷矽酸玻璃(PSG)、砷矽 酸玻璃(ASG)或相當之材料。有利者,根據本發明,可採 用一種氮化物層作爲中間水平面介電層1 08。其原因將在 下文中較詳細解釋。 -8- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公愛) (請先閱讀背面之注意事項再填寫本頁) |裝---
---II 488025 A7 B7 五、發明說明() Γ靖先閱讀背面之注意事項再填寫本頁) 根據本發明,現在將一個鈾刻停止層1 1 0形成在中間水 平面介電層1 08上。蝕刻停止層1 1 〇係自一種聚合物材料 有利地形成,此聚合物材料,相對於氧化物或在某些具體 實施例中,相對於氮化物而提供選擇性蝕刻。在較佳具體 實施例中,蝕刻停止層1 1 0係相對於氧化物及氮化物兩種 材料選擇性可蝕刻。每一停止層可包括聚伸芳基醚例如自 道康寧公司可供應之SILK或聚苯並噚唑介電體例如CRA_ X9111,自日本Sumi tono Bakelite有限公司可供應。亦 可使用其他聚合物。 宜將蝕刻停止層Π0旋轉塗覆在中間水平面介電層108 上並予以固化。每一停止層1 1 0有利地包括大約2.0至大 約3 . 0間之介電常數。在一具體實施例中,達到大約2 . 6 之介電常數唯以具有較低介電常數之材料較佳。 經濟部智慧財產局員工消費合作社印製 每一停止層1 1 0較先前技藝提供尙有另外之優點。先前 技藝蝕刻停止層,典型包括氮化矽,必須將它保持儘可能 的薄才可獲得溝渠或通孔的所必須之縱橫比,即:保持縱 橫比小。因此,將先前技藝氮化矽鈾刻停止層維持在或低 於1 0 0奈米。根據本發明,蝕刻停止層11 0可包括大約7 0 奈米至大約25 0奈米間之厚度,宜係200奈米至大約250 奈米。出人意料以外,較厚之蝕刻停止層1 1 0仍能提供溝 渠和通孔之所必須之縱橫比。增加厚度的另外優點下文中 將予闡明。 將一硬遮罩層1 1 2形成在蝕刻停止層1 1 0上。硬遮罩層 112宜包括與中間水平面介電層108相同之材料,唯可使 mmm CJ mmm &紙張尺度_中關家群(CNS)A4規格(21〇 X 297公釐) 488025 A7 B7 8 五、發明說明() 用不同之材料。硬遮罩層1 1 2,相對於蝕刻停止層1 1 0選 擇性可蝕刻。硬遮罩層包括一種氧化物例如玻璃或一種氮 化物。將阻體層1 1 4旋轉塗覆在硬遮罩層1 1 2上並使用習 用之方法予以圖案化,例如照相微影法而產生通孔圖案 1 1 8。可採用該通孔圖案來蝕刻通孔下至區域1 02而提供 中間水平面連接。 述及第2圖,採用阻體層114中之通孔圖案118來將硬 遮罩層112圖案化。然後將阻體層114自硬遮罩層112的 頂表面上剝離。順序,採用硬遮罩層1 1 2來鈾刻蝕刻停止 層1 1 0。宜採用一種各向異性蝕刻方法,例如反應性離子 蝕刻方法來飩刻通過硬遮罩層1 1 2及蝕刻停止層1 1 0兩者 而轉移通孔圖案118至其上。 述及第3圖,沈積介電層30而塡充入經轉移至硬遮罩 層112和蝕刻停止層110之通孔圖案中。介電層120宜包 括硬遮罩層1 1 2之相同材料,舉例而言一種氧化物例如矽 酸鹽玻璃。亦可採用其他材料,舉例而言,可沈積氧化物 層作爲層120。將阻體層122形成在介電層120上並使用 習用之方法予以圖案化。阻體層122係根據溝渠圖案124 予以圖案化,它包括溝渠的位置,可採用它用以形成雙金 屬鑲嵌結構之導電線。 述及第4圖,現在將介電層1 20和中間水平面介電層 1 08根據溝渠圖案1 24和導電區域1 1 8蝕刻。將通孔圖案 1 1 8形成在飩刻停止層1 1 〇中而溝渠圖案1 24係由阻體 1 22所形成(見第1 - 3圖)。實施一種各向異性蝕刻方法, -10- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) (請先閱讀背面之注意事項再填寫本頁) 訂ί_-------線一 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印制衣 488025 A7 B7 五、發明說明() 例如,反應性離子蝕刻方法而形成通孔126和溝渠128兩 者各自在中間水平面介電層108和介電層120中。於不需 要通孔1 26之情況,不將蝕刻停止層1 1 0圖案化而形成各 孔在其中。因爲蝕刻停止層1 1 0包括一種聚合物材料,所 以蝕刻方法是選擇性而不會如鈾刻層1 08與1 20那樣快速 來蝕刻蝕刻停止層1 1 0。以此種方式,溝渠1 28和通孔 1 2 6兩者係由相同餓刻方法予以形成。有利地,使溝渠 128與通孔126和區域102自行對齊。 蝕刻停止層110在反應性離子蝕刻(RIE)方法中對於氧 化物或氮化物提供選擇性而使使用具體實施例蝕刻停止層 之自行對齊的雙金屬鑲嵌蝕刻可實行。另外,可使蝕刻停 止 層較厚而提供較高之過度飩刻邊緣。 由於蝕刻之結果,將鈾刻停止層11 0浸飩。然而,明確 之角落130依然而蝕刻停止層110現在包含溝渠128之側 壁。飩刻停止層1 1 0的低介電常數數値現在有助於改良毗 鄰溝渠1 28間之介電強度,藉以減少串音和減少電流漏電 。出人意料以外,經由本發明,顯著減少電流漏電並減少 串音自大約1 0%至大約1 5%之間。在具有較小之接地法則 之裝置中,此現象特別有用,舉例而言,小於或等於大約 0 . 25微米接地法則(例如最小之特徵大小)。經由提供具有 較大厚度之蝕刻停止層11 〇,角落Π0較有可能予以明確 界定且較有可能消除將角落圓形化。另外,較長之通孔深 度使用較厚之蝕刻停止層可達到(較大之縱橫比)。 -11- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) -—.---------裝--------訂 (請先閱讀背面之注意事項再填寫本頁) 488025 Α7 Β7 10 五、發明說明() 述及第5圖,將帽層1 06開敞而達到接近區域102。將 導電材料1 32沈積在溝渠1 28和通孔1 26中以便在相同沈 積過程中形成導電線134和接觸點136。導電材料可包括 鋁、銅、聚矽或其他導電材料。 述及第6圖,本發明的另外具體實施例包括形成中間水 平面介電層1 08作爲聚合物材料。在此具體實施例中,形 成區域1 02並將帽層1 06沈積在其上。沈積中間水平面介 電層10^且係自一種聚合物材料所形成,舉例而言,聚伸 芳基醚和聚苯並噚唑介電體。亦可使用其他聚合物。 蝕刻停止層1 1 0 '相對於介電層1 08 '的聚合物材料選擇 性可蝕刻’一個介電層120'其係在形成介電層120'之前 ,在使用阻體形成圖案之方法將蝕刻停止層1 1 〇 1圖案化後 予以形成。蝕刻停止層1 1 0 ’的結構化不需要硬遮罩;代之 者’採用光阻體方法。然而,硬遮罩層150係使用阻體形 成圖案之方法予以圖案化,然後使用來將層1 08 '圖案化。 每一停止層1 1 (T可包括氧化物或氮化物材料。宜將介電層 108'和12(Γ旋轉塗覆在帽層1〇6上和鈾刻停止層110·上 並予以固化。介電層108'和12(Τ有利地包括大約2.0至 大約3 . 0間之介電常數。在一個具體實施例中,達到大約 2 · 6之介電常數唯以較低之介電常數材料較佳且可根據本 發明而獲得。將硬遮罩層1 5 0自頂表面上剝離。應了解 者:108'和12(Τ等兩層可自相同或不同聚合物所形成以及 在某些具體實施例中,根據本發明各層108’和120 '之僅 一者可包括一種聚合物材料。 第6圖中所示之結構提供較大之介電強度在毗鄰結構間 而除去中間水平面介電層108和介電層120係自一種聚合 -12- 本紙張尺度適用中國國家標準(CNS)A4規格(210 χ 297公釐) 1·---------- (請先閱讀背面之注意事項再填寫本頁) • ϋ ·1 n I —.1 n ^iv 訂-------線- 經濟部智慧財產局員工消費合作社印製 經濟部智慧財產局員工消費合作社印製 488025 A7 B7 五、發明說明(1 ) 物材料予以形成外,導致第5圖之相同結構。蝕刻停止層 1 1 0係自一種材料所形成,此材料相對於聚合物材料選擇 性可鈾刻。第6圖之結構提供較大部份的溝渠側壁(及通 孔側壁)彼等具有低介電常數。此方式對於減少串音(例如 ’大於1 5 %減少)及對於減少贩鄰導電結構(將彼等形成在 溝渠1 2 8和通孔1 2 6中)間之電流漏電提供甚至更大改進。 已敘述使用一種聚合物之自行對齊的雙金屬鑲嵌蝕刻之 較佳具體貫施例(彼等意欲是舉例說明並非限制),應特別 提及:按照上述教旨,變型和變更可由精於該項技藝之人 士作成。因此,應了解:可對所揭示之本發明的特別具體 實施例作成改變,其係包括在本發明的範圍和要旨以內如 所附隨之申請專利範圍所槪述。如此詳細敘述了本發明及 專利法規所特別需要者,將申請專利範圍及專利證書所需 要保護之項目特舉出於附隨之申請專利範圍中。 符號之說明 100 半導體裝置 102 下面之導電區域 104 基體 106 帽層 108 中間水平面介電層 110 蝕刻停止層 112 硬遮罩層 114,122 阻體層 118 通孔圖案 120 介電層 -13- 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ------------裝--------訂 -------- (請先閱讀背面之注意事項再填寫本頁)

Claims (1)

  1. 488025 經濟部智慧財產局員工消費合作社印制农 A8 B8 C8 D8 六、申請專利範圍 1 · 一種用以形成半導體裝置之雙金屬鑲嵌結構之方法,包 括下列步驟: 提供導電區域在第一層上; 形成一中間水平面介電層在第一層上; 形成一蝕刻停止層在中間水平面介電層上,該飩刻停 止層包括具有小於大約3 . 0的介電常數之聚合物材料; 將蝕刻停止層圖案化而形成通孔圖案; 沉積溝渠介電層在蝕刻停止層上及在通孔圖案之孔 中; 經由根據溝渠圖案,鈾刻溝渠層而形成溝渠在溝渠介 電層中;及 經由使用蝕刻停止層蝕刻通過溝渠而形成通孔在中間 水平面介電層中而使溝渠與通孔自行對齊及曝露導電 區域在第~1層上。 2 .如申請專利範圍第1項之方法,其中聚合物包括聚伸芳 基醚和聚苯並噚唑介電體之至少一種。 3 ·如申請專利範圍第1項之方法,其中提供導電區域在第 一層上之步驟包括提供金屬線和擴散區域之一。 4 .如申請專利範圍第1項之方法,另外包含形成一個帽層 在導電區域上的步驟以保護導電區域免受氧化。 5 ·如申請專利範圍第1項之方法,其中中間水平面介電層 和溝渠介電層係由相同材料所構成。 6 .如申請專利範圍第5項之方法,其中相同材料包括氮化 物和氧化物之一。 -14 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) I --------—K-------^ ^_wi (請先閱讀背面之注意事項再填寫本頁) 488025 A8 B8 C8 D8 #、申請專利範圍 7.如申請專利範圍第1項之方法,其中中間水平面介電層 和溝渠介電層係相對於蝕刻停止層選擇性可蝕刻。 (請先閱讀背面之注意事項再填寫本頁) 8 ·如申請專利範圍第1項之方法,其中中間水平面介電層 和溝渠介電層係由不同材料所構成。 9 ·如申請專利範圍第1項之方法,其中將蝕刻停止層圖案 化而形成通孔圖案的歩驟包括採用硬遮罩而形成通孔 圖案。 I 0 ·如申請專利範圍第1項之方法,另外包括沈積導電材料 以便同時形成接觸點在通孔中及在溝渠中之導電線上 的步驟。 II .如申請專利範圍第1項之方法,其中形成蝕刻停止層在 中間水平面介電層上的步驟包括旋轉塗覆及固化聚合 物。 1 2 .如申請專利範圍第1項之方法,其中蝕刻停止層包括大 約1 0 0 n m (奈米)至大約2 5 0 n m間之厚度。 1 3 . —種用以形成半導體裝置之雙金屬鑲嵌結構之方法,包 括下列步驟: 提供導電區域在第一層上; 經濟部智慧財產局員工消費合作社印製 形成一中間水平面介電層在第一層上,該中間水平面 介電層包括具有小於大約3 . 0的介電常數之聚合物材 料; 形成一蝕刻停止層在中間水平面介電層上; 將飩刻停止層圖案化而形成通孔圖案; 沈積溝渠介電層在蝕刻停止層上及在通孔圖案之孔 -15 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公爱) 488025 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 六、申請專利範圍 中’該溝渠介電層包括具有小於大約3 . 0的介電常數之 聚合物材料; 經由根據溝渠圖案,蝕刻溝渠層而形成溝渠在溝渠介 電層中;及 經由使用蝕刻停止層蝕刻通過溝渠而形成通孔在中間 水平面介電層中而使溝渠與通孔自行對齊並曝露導電 區域在第一層上。 1 4 .如申請專利範圍第1 3項之方法,其中聚合物包括聚伸 芳基醚和聚苯並噚唑介電體之至少一種。 1 5 ·如申請專利範圍第1 3項之方法,其中提供導電區域在 第一層上之步驟包括提供金屬線和擴散區域之一。 1 6 ·如申請專利範圍第1 3項之方法,另外包含形成一個帽 層在導電區域上的步驟以保護導電區‘域免受氧化。 1 7 ·如申請專利範圍第1 3項之方法,其中飩刻停止層包括 氧化物和氮化物之一。 1 8 .如申請專利範圍第1 3項之方法,其中中間水平面介電 層和溝渠介電層係相對於鈾刻停止層選擇性可飩刻。 1 9 ·如申請專利範圍第1 3項之方法,其中中間水平面介電 層和溝渠介電層係由不同材料所構成。 20 ·如申請專利範圍第1 3項之方法,其中經由將溝渠圖 案,蝕刻溝渠層而形成溝渠在溝渠介電層的步驟包括使 用氧化物層作爲硬遮罩將溝渠介電層圖案化的步驟。 21 .如申請專利範圍第1 3項之方法,另外包括沈積導電材 料以便同時形成接觸點在通孔中及在溝渠中之導電線 -16 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐) ί-------------------.tT·—^-------線 (請先閱讀背面之注意事項再填寫本頁) 488025 經濟部智慧財產局員工消費合作社印製 A8 B8 C8 D8 、申請專利範圍 上之步驟。 22 .如申請專利範圍第1 3項之方法,其中形成中間水平面 介電層之步驟包括旋轉塗覆並固化聚合物。 23·如申請專利範圍第13項之方法,其中沈積溝渠介電層 之步驟巳括旋轉塗覆並固化聚合物。 24.—種雙金屬鑲嵌結構,包括: 第一層上之導電區域; 經形成在第一層上之中間水平面介電層並具有通孔通 經其中; 具有經形成在其中並與通孔相連通之溝渠的溝渠介電 層; 經形成在中間水平面介電層與溝渠介電層間之触刻 停止層; 將各溝渠和各通孔用導電材料塡充,導電材料形成導 電線在各溝渠中,其係經由經形成在通孔中之接觸點予 以連接至第一層的導電區域;及 中間水平面介電層、溝渠介電層和飩刻停止層之至少 一者包括具有小於或等於3 . 0之介電常數之聚合物材料。 25 .如申請專利範圍第24項之結構,其中聚合物包括聚伸 芳醚和聚苯並噚唑介電體之至少-者。 26 .如申請專利範圍第24項之結構,其中中間水平面介電 層和溝渠介電層包括聚合物材料。 27 .如申請專利範圍第24項之結構,其中蝕刻停止層包括 聚合物材料。 -17 - L-------------------•訂--^-------線 ^1^· (請先閱讀背面之注意事項再填寫本頁) - / IV ί > > -* u Λ 4 1 一 4 > 【 488025 A8 B8 C8 5 D8 f、申請專利範圍 28 .如申請專利範圍第27項之結構,其中蝕刻停止層包括 大約100nm至大約250nm間之厚度。 29 .如申請專利範圍第27項之結構,其中毗鄰導電線具有 小於或等於0.25微米的間隔在其中間。 L.-------------------*------—線 (請先閱讀背面之注意事項再填寫本頁) 經濟部智慧財產局員工消費合作社印製 -18 - 本紙張尺度適用中國國家標準(CNS)A4規格(210 X 297公釐)
TW090102745A 2000-02-09 2001-02-08 Self-aligned dual damascene etch using a polymer TW488025B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/501,124 US6812130B1 (en) 2000-02-09 2000-02-09 Self-aligned dual damascene etch using a polymer

Publications (1)

Publication Number Publication Date
TW488025B true TW488025B (en) 2002-05-21

Family

ID=23992232

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090102745A TW488025B (en) 2000-02-09 2001-02-08 Self-aligned dual damascene etch using a polymer

Country Status (5)

Country Link
US (1) US6812130B1 (zh)
EP (1) EP1254480A1 (zh)
KR (1) KR20020076293A (zh)
TW (1) TW488025B (zh)
WO (1) WO2001059834A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105092104A (zh) * 2014-05-14 2015-11-25 中芯国际集成电路制造(上海)有限公司 一种压力传感器及其制备方法、电子装置
CN105203235A (zh) * 2014-06-19 2015-12-30 中芯国际集成电路制造(上海)有限公司 一种mems压力传感器的制造方法和电子装置

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005064226A (ja) * 2003-08-12 2005-03-10 Renesas Technology Corp 配線構造
US7105456B2 (en) 2004-10-29 2006-09-12 Hewlett-Packard Development Company, Lp. Methods for controlling feature dimensions in crystalline substrates
JP2007035955A (ja) * 2005-07-27 2007-02-08 Toshiba Corp 半導体装置およびその製造方法
US8003479B2 (en) 2006-03-27 2011-08-23 Intel Corporation Low temperature deposition and ultra fast annealing of integrated circuit thin film capacitor
US20080145622A1 (en) * 2006-12-14 2008-06-19 Roy Mihir K Polymer-based integrated thin film capacitors, packages containing same and methods related thereto

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE59308407D1 (de) 1993-01-19 1998-05-20 Siemens Ag Verfahren zur Herstellung einer Kontakte und diese verbindende Leiterbahnen umfassenden Metallisierungsebene
TW290731B (zh) 1995-03-30 1996-11-11 Siemens Ag
US5960318A (en) 1995-10-27 1999-09-28 Siemens Aktiengesellschaft Borderless contact etch process with sidewall spacer and selective isotropic etch process
US5854140A (en) 1996-12-13 1998-12-29 Siemens Aktiengesellschaft Method of making an aluminum contact
US5854126A (en) 1997-03-31 1998-12-29 Siemens Aktiengesellschaft Method for forming metallization in semiconductor devices with a self-planarizing material
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
FR2777697B1 (fr) * 1998-04-16 2000-06-09 St Microelectronics Sa Circuit integre avec couche d'arret et procede de fabrication associe
KR100265771B1 (ko) * 1998-07-09 2000-10-02 윤종용 감광성 폴리머를 사용하는 듀얼 다마신 공정에 의한 금속 배선형성방법
US6326300B1 (en) * 1998-09-21 2001-12-04 Taiwan Semiconductor Manufacturing Company Dual damascene patterned conductor layer formation method
US6271127B1 (en) * 1999-06-10 2001-08-07 Conexant Systems, Inc. Method for dual damascene process using electron beam and ion implantation cure methods for low dielectric constant materials
US6265319B1 (en) * 1999-09-01 2001-07-24 Taiwan Semiconductor Manufacturing Company Dual damascene method employing spin-on polymer (SOP) etch stop layer
US6677679B1 (en) * 2001-02-06 2004-01-13 Advanced Micro Devices, Inc. Use of SiO2/Sin for preventing copper contamination of low-k dielectric layers
US6713402B2 (en) * 2002-05-31 2004-03-30 Texas Instruments Incorporated Methods for polymer removal following etch-stop layer etch
US6660630B1 (en) * 2002-10-10 2003-12-09 Taiwan Semiconductor Manufacturing Co. Ltd. Method for forming a tapered dual damascene via portion with improved performance
US7214609B2 (en) * 2002-12-05 2007-05-08 Texas Instruments Incorporated Methods for forming single damascene via or trench cavities and for forming dual damascene via cavities

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105092104A (zh) * 2014-05-14 2015-11-25 中芯国际集成电路制造(上海)有限公司 一种压力传感器及其制备方法、电子装置
CN105092104B (zh) * 2014-05-14 2018-09-21 中芯国际集成电路制造(上海)有限公司 一种压力传感器及其制备方法、电子装置
CN105203235A (zh) * 2014-06-19 2015-12-30 中芯国际集成电路制造(上海)有限公司 一种mems压力传感器的制造方法和电子装置
CN105203235B (zh) * 2014-06-19 2018-04-13 中芯国际集成电路制造(上海)有限公司 一种mems压力传感器的制造方法和电子装置

Also Published As

Publication number Publication date
KR20020076293A (ko) 2002-10-09
EP1254480A1 (en) 2002-11-06
WO2001059834A1 (en) 2001-08-16
US6812130B1 (en) 2004-11-02

Similar Documents

Publication Publication Date Title
JP4001863B2 (ja) 半導体デバイスの製造方法
TW531883B (en) A self-aligned cross-point MRAM device with aluminum metallization layers
US6030901A (en) Photoresist stripping without degrading low dielectric constant materials
TW507293B (en) Undoped silicon dioxide as etch stop for selective etch of doped silicon dioxide
TW486801B (en) Method of fabricating dual damascene structure
TW523905B (en) Semiconductor device and its manufacturing method
TW439202B (en) Method for forming a self aligned contact in a semiconductor device
TWI282591B (en) Semiconductor device including porous insulating material and manufacturing method therefor
KR20050022469A (ko) 반도체 장치 및 이의 제조 방법
TW512516B (en) Method for fabricating a semiconductor memory device and the structure thereof
TW451405B (en) Manufacturing method of dual damascene structure
TW488025B (en) Self-aligned dual damascene etch using a polymer
KR100442867B1 (ko) 반도체 소자의 듀얼 다마신 구조 형성방법
US5924006A (en) Trench surrounded metal pattern
TW200536041A (en) Method of manufacturing a semiconductor device
KR100285702B1 (ko) 반도체 디램용 콘택 및 그 제조 방법
KR100256057B1 (ko) 초미세 선폭의 비트라인 캡핑을 위한 반도체 소자 제조방법
KR100338111B1 (ko) 반도체 소자의 캐패시터 제조방법
TW510020B (en) Manufacture method of dual damascene structure
KR100385462B1 (ko) 반도체소자의 캐패시터 형성방법
KR20000061305A (ko) 반도체 장치의 제조 방법
TW425666B (en) Manufacturing method for borderless via on semiconductor device
JP2000286336A (ja) 集積回路の製造方法
KR20030054308A (ko) 캐패시터의 하부전극 제조 방법
TW314655B (en) Multi-layer interconnection technology of integrated circuit

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees