TW483069B - Laser curing of spin-on dielectric thin films - Google Patents

Laser curing of spin-on dielectric thin films Download PDF

Info

Publication number
TW483069B
TW483069B TW89118659A TW89118659A TW483069B TW 483069 B TW483069 B TW 483069B TW 89118659 A TW89118659 A TW 89118659A TW 89118659 A TW89118659 A TW 89118659A TW 483069 B TW483069 B TW 483069B
Authority
TW
Taiwan
Prior art keywords
scope
layer
patent application
item
laser beam
Prior art date
Application number
TW89118659A
Other languages
English (en)
Inventor
Chee Tee Chua
Yuan Ping Lee
Mei-Sheng Zhou
Lap Chan
Original Assignee
Chartered Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Chartered Semiconductor Mfg filed Critical Chartered Semiconductor Mfg
Priority to TW89118659A priority Critical patent/TW483069B/zh
Application granted granted Critical
Publication of TW483069B publication Critical patent/TW483069B/zh

Links

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Description

五、發明說明(1) 【發明之背景】 c 1)發明之領域 特別地是有關於用於半導體元件製造的製程,並且更 ,以在半導辦j旋塗有機物及矽聚合物材料的沈積的製程 ⑴習知Λ 圓上形成介電層。 知技藝之說明 積體電路(τ Γt 石夕晶圓的表面 s ’、百先藉由形成分立式半導體元件於 後被形成内而被製造出來,一個多層冶金連線網路然 ,以製造預Γ件上,接觸其活動元件且將它們打線在一起 式元件卜A ^的電路,配線層係藉由沈積一絕緣層於分立 然後沈穑值道/成,圖案化且蝕刻接觸窗開口至此層,且 絕緣層上,材料進這些開口内,一傳導層然後被塗抹於 ,產I出一且,圖案化以在元件之間形成配線導線’因此 外66 Μ & 層次的基本電路,基本電路然後尚藉由利用額 \層被連接,設計在額外絕緣層上且有導孔穿過。 、旋塗被使用於積體電路製造已好多年了,這些材料可 ,液態,式,且接著會變堅固或變硬,以形成固態或半固 態層,最熟習常見的旋塗材料係為光阻及聚亞醯胺,係自 早期西元1 9 7 0年已被使用,光阻,當然,通常一般只被使 用於圖案化且例如,係為瞬間膜且不停留於完成產品中, 聚亞醯胺膜有時已被使用作為介電或填充層,但常常容許 製程不相容性,如嚴重除氣、熱不穩定性、及由收縮而造 成的變形。近來,旋塗玻璃(SOGs)已被接受廣泛地使用, 且成功用於晶圓表面平坦化,但藉由除氣已嚴重造成極度
^3069 五、發明說明(2) 及腐蝕問題。 故*每一次,金屬層係被形成於一積體電路晶圓上,且電 路徑係被蝕刻於其内,金屬圖案造成一非平坦表面,當 I —個絕緣層係被沈積時,藉由適當方法如CVD(化學氣相 =積),不規則表面處係摺疊於絕緣層表面處,為了要防 在下面金屬圖案處的漸增摺疊遍及各金屬層,此在多層 積f電路製造中變得常用的技藝,包括在一金屬層的沈積 之刖而平坦每個絕緣層之方法。 用於完成這類平坦化之方法必須用液態形式的s〇G沈 積,例如一液怨單體,當旋塗於晶圓表面時,流進圖案處 。在沈積之後,材料係被乾燥且變硬,以形成一具有平滑 的聚合絕緣膜,特別是平面#,用於一金屬層的沈積之表 :二?當夺,㈣可溶性矽酸鹽類及矽氧烷所構 SOGs、,I獲得無機玻璃的特性,s〇G&發現可廣泛地 :::ί ί次微米半導體製程技術,係由於其低缺陷密 二&二二μ 2低熱預算。然而,S0G層通常限制至於 、^換日车門、a,較厚的層易於破裂且需要較長及更小 心烘烤時間’ 一般方法的田、l S0G於其上,SGG填滿窄特:f案化金屬上’且然後放置 蝕至PECV陳化表面,此/ ’广後藉由各向異性蝕刻而回 初始PECVD層。 、、、。果係具有填充S0G的小間隙壁之 於一快速旋轉晶圓的中央, ’過多的液體自晶圓的邊緣被 S0G係藉由一噴嘴被沈積 離心力將液體分散於晶圓上
483069 五、發明說明(3) 拋出,使用於進行此工作的裝置係為與習用使用於沈積光 阻的相同形式,晶圓然後係容許被乾燥,且然後係被 烘烤,典型地係藉由一連串的熱板步驟,要求在溶劑與聚 合作用副產品移除的速率、及聚合物形成的速率之間的〜 個平衡,對烘烤製程係為困難的,無法提供適當平衡係會 造成破裂及包含有雜質,係會造成金屬腐餘。 近來’為了更進一步改進元件的性能,研究員已在尋 找應用具有較習用CVD沈積矽玻璃低的介電常數的絕緣層 ,諸如氧化矽、磷矽玻璃(pSG)、及硼磷矽玻璃(BpsG)。 各種有機絕緣層如二甲苯塑膠、氟代聚亞醯胺、及亞芳香 基醚聚合物’已成功地被用於低介電常數(1〇w — k)代替氧 化石夕。多孔碎基材料諸如矽氧烷、矽倍半氧烷 (silsequioxanes)、氣凝膠、及干凝膠亦被作為ILD層(内 "私層)及I MD層(金屬層間介電質),這些材料,像用於平 坦ΐ,ί期S0Gs ’係藉由旋轉技術、乾燥及烘乾而被塗抹 坦化^ $些材料藉由它們低介電常數的優點而提供用於平 …文良Ϊ件們也提供在相鄰導體之間的低電容,
旋轉介I ( S 0 D 後其被_批 ’付料,像SOGs及聚亞醯胺係在應用之 方法會對八@ 法及條件非常敏感,不只乾燥及烘烤 .. 4 7丨電層的么Α ^ 機械力及私 力電特性產生影響’而且包括應力、 露於空痛成 予耐久性的物理特性一樣會影響,當暴 说附4 附水氣,+ # 其他周遭氣體時,S0DSA S0Gs快速吸 〃 二阿度多孔結構。美國專利第5, 457, 0 73
483069 五、發明說明(4) ' ' 號(Quel let)描述一種用於吸附水氣同次放電之方法,從 多孔無機或半無機SOGs暴露於導孔開口中,藉由真空或惰 性氣體退火於溫度低於50(TC,接著藉由沈積9導線材料至 開口内而封鎖暴露的表面。 美國專利第5, 548, 1 5 9號(jeng)描述沈積多孔介電材 料於金屬圖案,且然後回蝕,以只留下多孔材料於窄線間 隙壁内’且鄰接但未覆蓋於金屬線段上,一稠密介電材料 然後沈積覆蓋於晶圓上,係密封多孔介電材料,導孔然後 ,开^成至金屬線段,且完全地在稠密介電材料内,以便於 多孔介電不暴露於導孔開口内,多孔卯“係藉由烘烤而被 乾燥及烘烤。 用於烘烤SOD s的熱製程已包括在垂直爐管中的長烘烤 ,於約5到6個小時的時間,更新方法具有電子束烘烤,然 而 由於爐管烘烤的慢產能及電子束製程的真空規定,這 些方法對積體電路製造並不具有吸引性。 美國專利第4, 983, 419號(Henkel)舉出一種線性及分 單連鎖的交鍵聚合及交互連接之方法,藉由具有脈沖雷射 輕射的光供烤處理的非機能有機石夕氧烧,形成薄介電層, 有機矽氧烷可包含有烷基及芳香基組群,且可為環狀,但 需無非機能組群或光引發劑或光增感劑,光硬化發生於一 無氧的環境,層揭露具有好的介電質特性,但一個也未被 舉證出來。 美國專利第5,124,23 8號((:1131^3¥〇1^7)舉出一種烘烤 光敏感聚亞醯胺膜之方法,在紫外線(uv)輻射的光圖案化
483069 五、發明說明(5) 〜------— 一 膜=後係具有減少收縮,在輻射暴露之前’由於釋放溶,削 —低溫烘烤引起較大膜收縮,造成聚合物交互連接 的UV輪射,然後給予小小額 【發明之概要】 收縮。 ϋ Μ本發明的一主要目的,係提供一種用於烘烤旋塗介電 材料之改良方法。 ,發明的另一個目的,係為提供〆種用於烘烤雙鏈有 ,:乳烷旋塗介電材料如聚矽倍半氧烷之改良方法,係晝 在光2學及光熱交鍵聚合及交互連接雨者上。 發明的另一個目的,係為提供一種用於烘烤於基底 上的聚亞醯胺塗佈之改良方法。 、t發明的另一個目的,係為提供一種用於烘烤旋塗所 積η電材料之方法,係可被包含有一存在旋轉沈積工具 ,因此,各許沈積、烘烤、及烘烤熱處理可於一單一工具 完成。 本f明的另一個目的,係為提供一種減少晶圓移動及 控制一旋轉材料沈積步驟之方法,因此,減少微粒污染且 改進產品良率。 本發明的另一個目的,係為提供一種改進殘留溶劑的 效率及副產品除去之方法,在沈積S0D及S0G膜的交鍵聚人 及/或交互連接期間。 ° 依據本發明之目的,已經獲致一種形成烷基或芳香義 矽倍半氧烷的S0D及S0G層及以脈沖雷射束烘烤這些層,二 脈沖雷射束具有在約2 0 0到400nm·之間的頻率及在約〇 w
483069
五、發明說明(6) 1.0 Joule/cm -的雷射能量。聚矽仵 有機矽氧烷,係為雙鏈,及使聚合°千^虱烷包括一等級的 雷射能量中形成一三維網狀系統,=^互連接,以在較低 雙鏈矽倍半氧烷亦吸收大量的雷射能f鍵有機矽氧烷低, 2 0 0到4 0 Onm·之間的雷射能量,較單%里’其波長範圍在 此,聚矽倍半氧烷的雷射烘烤係藉由埶石夕&氧烷多,因 更進一步幫助聚合及交互連接,及殘留溶^物s而發,,係 出,烘烤製程係為光化學及光熱兩者。*及田彳產扣的排
如沈積S 0 D層言先係受一低溫供烤而控制,因此,、容 劑從薄膜中排出,一脈沖雷射束然後被光柵掃描於晶圓上 ,造成兩者光熱及光化學所沈積層的聚合及交互連接,且 殘留溶劑及副產品的排出藉由聚合製程的熱成分而被增加 一用於旋塗及烘烤聚合物膜的裝置係被提供,在旋塗 聚石夕倍半氧烧單體於晶圓之後’晶圓係被烘烤於熱板上, 且然後被轉換至一固定平台,適合於光拇掃描一雷射光束 於晶圓表面上的方法,換言之’晶圓可被轉換於一不增減 雷射光束之下,藉由設置一晶圓於一程序可控的平台上, 在雷射處理之後,晶圓表面的冷卻,係藉由指向一冷卻劑 氣體的噴口於晶圓表面上,例如氮,氮喷口不只冷卻輻照 區,並且在雷射烘烤熱處理期間提供一無氧環境覆蓋於塗 佈上,且帶走由烘烤聚合物膜產生的水氣,全部塗佈、烘 烤及烘烤操作係由一單一製程工具而被完成,晶圓的轉換 至於工具内的工作站,係藉由習用機械手臂技術而被完成
第10頁 483069 五、發明說明(7) 【圖號之簡單說明】 10 晶圓 12 絕緣層 1 4 金屬圖案 16 氧化矽層 18 SOD層 19 暴露區 2 0 絕緣層 22 IMD層 2 4 導孔開口 3 0 雷射光束 3 2 氮流動 3 4 方向 4 0 外伸部 4 2 部份 【詳細說明及較佳實施例】 在本發明的第一個實施例中,一 —k介電吝π紅 層係形成於一 low 學物,參氣化矽,係使用甲基矽倍半氧烷作為一先導化 上,該纟 第1 A圖’一金屬圖案1 4係被形成於一絕緣層1 2 作為一、塗緣層1 2係於晶圓1 〇上,此實施例的絕緣層1 2係被 成於怨& ~絕緣層於石夕晶圓1 0上,接觸窗(未顯示)係被形 内的半導日 ’且於位於晶圓1 0上’係可連接在晶圓表面 體裝置元件至金屬圖案i 4,此實施例的金屬圖案 48JU〇y 五、發明說明(8) 1 4假言5:為一接舰你 一種用於,電路的第一金屬導線層次。 體)、及於石Hit導體裝置如M0SFETS(金氧半場效電晶 中眾所周知的,接觸=雙極電晶體之方法,係為在此技藝 由蝕刻在絕緣層丨2φ _係被形成至於這些裝置的元件,藉 ,係可連接至^ Α卩=開口且形成傳導拴塞,例如鎢拴塞 建立且於半導二ίί體元件,接觸窗的形成亦為一井區 或濺鍍而沈積一叙=中眾所周知的,配線圖案係藉由蒸發 被形成,或者,合ί鉬合金的彼覆金屬層於絕緣層12上而 可藉由CVD而被沈積層1 4可形成於任何傳導材料如鎢,且 8, 〇〇〇埃之間的戸许,金屬層14係被沈積於一介於5,0 0 0及 化,該鹆^ ^ 且藉由習用微影成像步驟而被圖案 L後i: ΐ!驟包括有形成-光罩圖案於披覆層上, 層中的^案。水钱刻或r 1 ε (活性離子敍刻)而触刻在金屬 而在此實施你丨φ ^ ^ 屬仆厗,,中’金屬層14係為一積體電路的第一金 雖然在現階段的此以製程ί可應用於其他金屬化層, 面 *中’此為第一金屬化層,係為較重 ,=因為,low-k材料對裝置性能而言提供較大的優點, 係由於緊密地隔開配線元件。 參閱第1 B圖’一等厚氧化矽層丨6係被形成覆蓋於晶圓 1 0上,氧化矽層1 6係藉由1^(;^1)而被沈積,係於一溫度介 於3 9 5及4 0 5°C之間’以達到厚度介於3,〇 〇 〇及6,5 〇 〇埃之間 而使用一矽甲烷先導化學物。氧化矽層在金屬線丨4及隨後 沈積的low-k有機層之間提供一保護阻障,氧化矽層丨6防
第12頁 483069 五、發明說明(9) 止從有機層散發的水氣造成金屬線1 4的腐蝕,此時,稍後 將變得明顯地,在隨後發生平坦化步驟之後,層1 6亦允許 1 〇 w - k可被限制於間隙壁内’係在未覆蓋金屬線1 4之間。 換言之’等厚層1 6可被形成於其他絕緣材料,例如,氣化 矽或氮氧化矽。 一層1 8的烧基石夕倍半氧烧早體’例如甲基石夕倍半氧烧 ,係以一晶圓旋塗機而被塗抹覆蓋於氧化矽層丨6上,液態 甲基矽倍半氧烷先導化學物係為一商業上有用的且被帶入 含酒精的溶劑,如Allied Signal Abvanced Microelectronic Materials的 SOP 418,係位於美國加州 9 4 0 8 9森尼維耳市摩份公園道1 3 4 9號,甲基石夕倍半氧烧係 一等級的雙鍵有機矽聚合物材料的代表,係顯示非無規則 的交互連接,當完全地被烘烤時,而形成一三維網狀系統 ch3 ch3 ch3 ch3
HO
HO
I I I I
•Si —0—Si—~0—Si—〇—si--OH 0 〇 O 〇
Si-〇—Si—〇~si—〇—Si--〇H
I I I I ch3 ch3 ch3 ch3 曱基矽倍半氧烧 (SOP 418) 個適當的、商業上有用的、用於s〇D層i 8應用的旋
第13頁 4δ3〇69 五、發明說明(ίο) 身機’係為由Tokyo Electron Limited戶斤製造的Μ〇de 1 MK-9,網址為http://www· tel· ci. jp/,用於液態材料應 用的步驟在此技藝中係為眾所皆知的,適當的旋轉速度及 液態應用速率係容易決定的,在應用之後,液態可被容許 短暫地乾燥。晶圓1 0然後被連續烘烤於熱板上,約為一分 錢間隔,於開始於180°C到25(Tt的溫度,以帶走殘留溶劑 ’連縯烘烤熱板上於一增高溫度,係為用於從旋塗膜帶走 殘留溶劑的一般實施,烘烤甲基矽倍半氧烷3〇1)層丨8係介 於4,0 0 0及5,6 0 0微米厚,且延伸氧化石夕層1 6上,且覆蓋於
金屬線1 4。換言之,沈積層1 8可由雷射輻射而被烘烤,約 為1 k Η z及1 J 〇 u 1 e /cm 2,約在5到1 5分鐘之間。 S 0 D層1 8接著進一步被烘烤,以確保適當的交鍵聚合 、父互連接,、及合量多孔氧化石夕矩陣的穩定,一脈沖UV雷 射,例如一 Nd/YAG雷射,具有約為100職的一光點尺寸, 係用於SOD層的最終烘烤,雷射波長係被選擇於2 〇 〇及4 〇 〇 =η·之間,且具有一脈沖頻率在約1〇112及UkHz之間,雷射
能量係在約0 · 1及1 · 0 Jou 1 e/cm之間,換言之,一連續UV 雷射或一脈沖深UV雷射,例如,可使用一 KrF準分子雷射 器。
雷射係適合於光學裝置至自動地掃描雷射光束穿過在 光柵圖案中的晶圓,參閱第1 C圖,雷射光束3 〇係以一速 率光柵掃描晶圓1 〇上,該速度必須取決於沈積層的厚度, 雷射能量hv的吸收,藉由矽倍半氧烷膜,而造成晶圓二輕 照區成為SOD層1 8的熱效應光熱烘烤處理,藉由UV雷射輕
第14頁 483069 五、發明說明(11) ' 射的S0D層烘烤裝置,係被視為如同光化學的光熱,一氣 的流量係被提供於移動雷射光束的波長,在光束已通過之 後’以冷卻輪照區。氮流量32係顯示於雷射光束3〇的範圍 ,如同光束以方向3 4穿過晶圓1 〇,氮3 2係由一喷嘴而被產 稱出來的’該噴嘴物理上地同時至雷射光束的過程,以便 流量3 2連續地在雷射光束内沖至晶圓1 〇。獲得此同步化之 方法係容易藉由那些相似技藝而被進行,額外的氮喷嘴( 未顯示)可隨意地被提供覆蓋於晶圓的其他區,在烘烤期 間為了要維持一非氧化環境。 或者,晶圓1 0可被設置於程控的Χ-y狀態上及設置於 晶圓上固定位置上的雷射光束,光柵掃描然後藉由造成台 移動於一光柵圖案中的固定雷射光束的路徑而被獲致,拖 曳氮噴嘴3 2因此亦在一固定位置中且於雷射光束的範圍内 ’清除供在雷射及拖良氮喷嘴3 2之間的機械同步化的需 要。 接著,參閱第1D圖,聚合物SOD層18係被平坦化,最 好由RIE或各向異性電漿回蝕,至覆蓋於金屬線14上的層 1 6的暴露區1 9,此平坦化不僅移除S0D層1 8的球狀表面不 規則,而且移除暴露區1 9的聚合物金屬,係在導孔連接隨 後被形成於金屬線1 4,減少膜厚度的R I E的及電衆回姓之 方法在此技藝中已眾所皆知的,蝕刻劑氣體,包含有碳氟 化合物,通常使用於蝕刻氧化矽層,亦合適於完成硬化聚 矽倍半氧烷層18的回蝕,或者,一 CMP製程可用於減少S0D 層1 8的厚度。
第15頁 483069 五、發明說明(12) 一·~ -- 务閱第1 E圖,一絕緣層2 〇係沈積於晶圓丨〇上,以形成 一複合IMD層22,係包括有等厚氧化矽層16、在金屬線14 之間的區域S0G層18、及絕緣層2〇,絕緣層2〇最好為來自 於TE0S(四氯乙基矽)的氧化矽且藉由pECV]^沈積的,絕 緣層20然後藉由CMP而被平坦,準備於下一個金屬化層的 沈積,絕緣層2 0的最終研磨厚度係介於約6,〇 〇 〇及9,5 〇 〇埃 之間,絕緣層20可為另一個絕緣材料,例如pECV])氮化矽 或習彳貝用於形成一 I M D層的任何適當絕緣材料。 一導孔開口 24接著被形成於IMD層22中,且暴露出金 屬線14,在導孔開口形成製程期間,已從金屬區上而被移 除的SOD層未被導孔開口所暴露,且因此未暴露於環境污 染物,藉由平坦化SOD層到達等厚氧化矽層J 6,s〇D區固定 地密封對著任何深一層外材料的吸收,例如水。此外,隨 後被沈積進導孔導孔開口 24内的傳導導孔材料(未顯示)不 會接觸到SOD層。 ^ 第2圖係顯示氧化石夕層1 6結構的差異,其中出現一辰 狀或外伸部40,氧化石夕外伸部40係可由雷射光束的波長°穿 透’且因此在外伸部40下的SOD材料的部份42適當地被暴 露及烘烤,猶如沒有外伸部似的’顯示說明本發明方法的 外伸部40可以適當地烘烤SOD層18,儘管有不規則垂直牆 存在。 此實施例使用一石夕晶圓基底,熟習本技藝之人士應瞭 解地是其他基底亦可使用’藉由應用本發明所教導的製程 ’可獲得相同目的,雖然本發明已參考其較佳實施例而被
第16頁 483069 五、發明說明(13) 特別地表示並說明,惟熟習本技藝之人士應瞭解地是各種 在形式上及細節上的改變可在不背離本發明之精神與範疇 下為之。 雖然本發明的實施例係被應用於形成以曱基矽倍半氧 烷為基底的S0D層,以形成S0D層其他烷基及芳香基矽倍半 ^ 氧烷或雙鍵聚合物,係可表示非無規則的交互連接,及其 他碳基聚合物材料如聚亞醯胺可由本發明而考慮的。 ❿
第17頁 483069
第18頁

Claims (1)

  1. 483069 六、申請專利範圍 一〜^^_ L ·一種用於形成一聚合物介電層於一基 包括有: _ 之方法,係 (a)提供一基底; (b )藉由旋塗而沈積一聚合物層,·及 (c )藉由光柵掃描一雷射光束於該聚合物屉, 聚合物層,同時冷卻該聚合物層,^而烘烤该 2 過之後,係藉由投射一冷卻氣體的流量 層的表面上於該雷射光束的範圍。 n β物 其' 中該聚合物層 ¥香基矽倍半氧 其中该基底係為 如申請專利範圍第1項所述之方法 係選擇自含有一烷基矽倍半氧烷、 烷、及一聚亞醯胺的組群。 如申請專利範圍第1項所述之方法 一石夕晶圓。 4 ·如申請專利範圍帛項所述之方法,#中該聚合物層 係介於4,ο 〇 〇及5,6 0 0埃厚。 5 ·如申租專利範圍第1項所述之方法,其中該雷射光束 係由一脈沖Nd/YAG雷射所提供,係具有一在丨〇112及 1 0kHz之間的脈沖頻率、一在2〇〇及4〇〇nm•之間的波長 、及一在〇· 1及1 J〇ule/cm的能量。 6 ·如申請專利範圍第1項所述之方法,其中該雷射光束 係由一脈沖準分子雷射器所提供。 7 ·如申請專利範圍第1項所述之方法,其中該雷射光束 係具有一為1 〇 〇刪的光點尺寸或上下。 8 ·如申請專利範圍第1項所述之方法,其中該冷卻氣體
    第19頁 483069 六、申請專利範圍 係為一氮。 9·一種用於形成一具有聚合物介電質於傳導元件之間的 積體電路金屬層間介電質之方法,係包括有: (a)提供一基底,該基底係具有積體電路元件及傳導元 件的一圖案於一第一絕緣層上; (b )沈積一等厚的第二絕緣層於該圖案上; (c )藉由旋塗而沈積一聚合物層於該第二絕緣層上; (d )烘烤該聚合物層; (e )藉由光柵掃描一雷射光束於該聚合物層上而烘烤該 聚合物層,同時冷卻該聚合物層,在該雷射光束通 過之後,係藉由投射一冷卻氣體的流量於該聚合物 層的表面上於該雷射光束的範圍; (f )直到該第二絕緣層的部份係被暴露於該傳導元件時 ,回#該聚合物層; (g )形成一第三絕緣層於該聚合物層上;及 (h )平坦該第三絕緣層。 I 0 ·如申請專利範圍第9項所述之方法,其中該傳導元件 係為銘。 II ·如申請專利範圍第9項所述之方法,其中該第二絕緣 層係選擇自含有氧化矽、氮化矽、及氮氧化矽的組群 〇 1 2 ·如申請專利範圍第9項所述之方法,其中該第二絕緣 層係在3,0 0 0及6,5 0 0埃之間厚。 1 3 ·如申請專利範圍第1項所述之方法,其中係為該聚合
    第20頁 483069 六、申請專利範圍 物層係選自含有一烷基矽倍半氧烷、一芳香基矽倍半 氧烷、及一聚亞醯胺的組群。 1 4 ·如申請專利範圍第9項所述之方法,其中該聚合物層 係介於4,0 0 0及5,6 0 0埃厚。 1 5 ·如申請專利範圍第9項所述之方法,其中該雷射光束 係由一脈沖Nd/YAG雷射所提供,係具有一在10Hz及 1 0kHz之間的脈沖頻率、一在2 0 0及4 0 0nm.之間的波長 、及一在0· 1及1 Joule/cm的能量。 1 6 ·如申請專利範圍第9項所述之方法,其中該雷射光束 係由一脈沖準分子雷射器所提供。 1 7 ·如申請專利範圍第9項所述之方法,其中該雷射光束 係具有一為1 0 0mm的光點尺寸或上下。 1 8 ·如申請專利範圍第9項所述之方法,其中該冷卻氣體 係為一氮。 1 9 ·如申請專利範圍第9項所述之方法,其中該平坦化係 為一化學機械平坦化。 2 0 ·如申請專利範圍第9項所述之方法,其中在該平坦化 之後,該第三絕緣層係在6,0 0 0及9,5 0 0埃之間厚。
    第21頁
TW89118659A 2000-09-13 2000-09-13 Laser curing of spin-on dielectric thin films TW483069B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW89118659A TW483069B (en) 2000-09-13 2000-09-13 Laser curing of spin-on dielectric thin films

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW89118659A TW483069B (en) 2000-09-13 2000-09-13 Laser curing of spin-on dielectric thin films

Publications (1)

Publication Number Publication Date
TW483069B true TW483069B (en) 2002-04-11

Family

ID=21661119

Family Applications (1)

Application Number Title Priority Date Filing Date
TW89118659A TW483069B (en) 2000-09-13 2000-09-13 Laser curing of spin-on dielectric thin films

Country Status (1)

Country Link
TW (1) TW483069B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI562317B (en) * 2013-09-27 2016-12-11 Intel Corp Subtractive self-aligned via and plug patterning for back end of line (beol) interconnects

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI562317B (en) * 2013-09-27 2016-12-11 Intel Corp Subtractive self-aligned via and plug patterning for back end of line (beol) interconnects
US9793163B2 (en) 2013-09-27 2017-10-17 Intel Corporation Subtractive self-aligned via and plug patterning for back end of line (BEOL) interconnects

Similar Documents

Publication Publication Date Title
US6121130A (en) Laser curing of spin-on dielectric thin films
JP3276963B2 (ja) 誘電体フィルムを基盤上に形成する方法
JP3418458B2 (ja) 半導体装置の製造方法
JP4546094B2 (ja) デュアルダマシン配線をパターン形成する三層マスキングアーキテクチャ
US6207555B1 (en) Electron beam process during dual damascene processing
JP4166576B2 (ja) 多層スピンオン多孔性誘電体からなるlow−k配線構造
JP5485953B2 (ja) 制御された気孔を形成するための材料及び方法
US7666754B2 (en) Method and system for forming an air gap structure
US8241992B2 (en) Method for air gap interconnect integration using photo-patternable low k material
US7358597B2 (en) UV-activated dielectric layer
US20150270219A1 (en) Interconnect structure and method for fabricating on-chip interconnect structures by image reversal
JPH08255834A (ja) 0.5および0.5以下のulsi回路用の中間レベル誘電体内要素としての水素シルシクイオクサンをベースとした流動性酸化物
JP2003518767A (ja) 電子ビーム放射を利用してスピンオン誘電体被膜を硬化する方法
JP2008520100A (ja) 多孔性低k誘電体フィルムの紫外線に補助された細孔シーリング
CA2433153A1 (en) Method for eliminating reaction between photoresist and organosilicate glass
JP2008502142A (ja) 相互接続構造の製造方法
KR20020013913A (ko) 전자 디바이스에서의 유기 유전체 피막 집적화에 실록산유전체 피막 사용방법
US7829268B2 (en) Method for air gap formation using UV-decomposable materials
JP2005175479A (ja) ライン・レベル・エア・ギャップ
JPH06208993A (ja) 半導体装置の製造方法
US7226873B2 (en) Method of improving via filling uniformity in isolated and dense via-pattern regions
JPH1140554A (ja) 絶縁膜形成材料、並びにこれを用いた絶縁膜形成方法及び半導体装置
JPH09260384A (ja) 平坦な誘電体層の形成方法および多層配線パターン
TW483069B (en) Laser curing of spin-on dielectric thin films
TW201545175A (zh) 由硬化多孔介電質移除回填的孔洞填充劑之方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees