TW388099B - Integrated circuit dielectrics - Google Patents

Integrated circuit dielectrics Download PDF

Info

Publication number
TW388099B
TW388099B TW86119030A TW86119030A TW388099B TW 388099 B TW388099 B TW 388099B TW 86119030 A TW86119030 A TW 86119030A TW 86119030 A TW86119030 A TW 86119030A TW 388099 B TW388099 B TW 388099B
Authority
TW
Taiwan
Prior art keywords
xerogel
dielectric
metal
integrated circuit
ministry
Prior art date
Application number
TW86119030A
Other languages
English (en)
Inventor
Changming Jin
Stacey Yamanaka
R Scott List
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Application granted granted Critical
Publication of TW388099B publication Critical patent/TW388099B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02343Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a liquid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/914Polysilicon containing oxygen, nitrogen, or carbon, e.g. sipos
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12528Semiconductor component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Silicon Polymers (AREA)
  • Local Oxidation Of Silicon (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)

Description

五、發明説明( A7 B7 發明之背景 本發明係關於電子裝置’尤指積體電路絕緣材料及製造方 法。 南密度積體電路之性能,由於金屬線之電阻率及相鄰線間之電 容耦合,而受金屬互相連接層次RC延遲所支配。電容耦合可藉 減少相鄰線間之介電質(絕緣趙)之相對介電係數(介電質常數)予以 減少。 人們曾建議各種介電質材料’供使用於發積體電路;亦即, 二氧化矽(目前之主要介電質材料’具有相對介電係數約為4〇), 無機材料諸如氟化二氧化矽(相對介電係數約為3 〇_4 〇),有機材 料諸如聚醢亞胺,聚對苯二甲基,無定形鐵氟龍(相對介電係數約 為1.9-3.9),及多孔介電質諸如二氧化矽乾凝膠(相對介電係數依 孔大小及密度而定,一般為1.3-3.0)。的確,二氧化矽乾凝膠中 之孔大小通常遠小於積體電路特色大小;請見Smith等人, preparation of Low-Density Xerogel at Ambient Pressure f〇r k Dielectrics, 381 Mat.Res.Soc.Symp.Proc.261 (1995) 〇 孑匕隙度可高達 99%。 冋 供積體電路介電質之薄膜二氧化矽乾凝膠可藉⑴先質製備, (2)旋塗,(3)老化,(4)溶劑交換,及⑶乾燥等普通步驟製造。酸 驗溶膠凝膠反應可如下: 在溶劑中水解醇鹽: 經濟部中央樣準局貝工消费合作社印製
,0' o V -ν ο ο ----------裝------訂------線-------- (請先閱讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297兮釐) TT 86642 五、發明说明() 溶劑可方便為乙醇 然後冷凝: Kr 、,^ C SI + ,0 0、H H,(
CxHr ο Ηχ〇 Ό,
Cj.H^ 〔此 經濟部中央標準局貝工消費合作社印製 冷凝將予以控制,以便在部份冷凝至適當粘性後發生旋塗。 溶劑交換步驟藉低表面張力溶劑替代存在於冷凝網絡之細孔 内之原始溶劑,以在乾燥時減少毛細管壓力,並使最少細孔之皺 縮。美國專利USP5,561,318號揭示該方法之種種變化。 然而’目前尚未能製造多孔二氧化矽。 Shea 等人之 arylsilseSqui〇xane Gd 咖 reiate(i 恤㈤也, New Hybrids of Organic and Inorganic Network, 114 LAmfhem.S〇C.67〇〇(i992) ’說明自單體諸如有二乙氧基甲矽烷 基之苯水缺練卿紅郷;郷f予健,並且大孔隙聚 合物網絡敏縮,產生一種大孔隙聚石夕倍半環氧乙烧乾凝膠。 發明之概述 本發明提供乡減合體有機二氧化·㈣路介電質。吾人 發現’纽二氧切有包料雜級裂之問題;並且這限 =現有之處理倾。而且m氫,及純結合,較之二 ί切之界驗合,—麵較不可極化,目衫獄合體介電質 之可相比多孔二氧切具有更低之介電健^ ' (請先閲讀背面之注意事項再填寫本頁) -裝. » i m · -4 - 冰織適用中' X 297公釐) 經濟部中央梯準局貝工消费合作社印製 A7 B7 五、發明説明() 多孔混合體有機二氧化矽具有優於多孔二氧化矽之優點,包 括既定乾凝膠密度之更低介電係數及增加之材料可撓性,以限制 積體電路製造時之易碎性問題。 附圖之簡要說明 為求明晰,圖式為略圖。 圖1以剖面正視圖示第一較佳實施例金屬間層次介電質。 囷2a-c以剖面正視圖例示一較佳實施例製造方法。 囷3a-f示替代性較佳實施例介電質結構。 較佳實施例之說明
-A 多孔混合體有機二氧化>6夕介電質係得自一舨形式(R〇)3Si(〇R)3 之單體,其中R為任何合宜之烷基及A表示一有機橋連基;此 與先前技藝單體Si(OR)4明顯不同。例如,a可為甲撐,苯撐, 聯本撐’苯撐二曱基等等如較佳實施例中所例示。單體之水解及 冷凝提供與先前技藝類似之凝膠:
(RO>3Si-A-Si(OR㈣0^〇)3Si-A-Si(OR)2-aSi(OR)2-A-Si(O 在A缺少可極化結合,有助更低之介電係數,並且氟取代提供 另外之較佳實施例,由於碳-氟結合之穩定性而具有較高溫度容限 之另外優點。 圖1以剖面圖較佳實施例示乾凝膠110加二氧化矽120之金 屬間層次介電質(IMDS)及一反應障壁(純化層)ί06。金屬線104具 有約0.25-0.5微米之寬度,約0.7微米之高度,以及也具有約0.25 微米之最小間距。預金屬層次介電質(premetal level dielectric,簡 本紙張纽適用中困®家標準(CNS)八4胁(別x297公羡) 赛------IT-------^ (請先聞讀背面之注意事項再填寫本頁)
A7 B7 五、發明説明() 稱PMD)覆蓋電晶體。 胂基橋連基hybrid xerogel介電質 第一較佳實施例介電質有單體1,4_雙(三乙氧基甲矽烷基)-笨’其在70°C藉下列反應回流1-2小時(單體,乙二醇,乙醇, 水’及硝酸之克分子比為1 : 3 : I.5 : ι·5 : 〇·〇42),藉以在乙二醇, 乙醇’水,及1ΜΗΝ03之溶液中水解,諸如: 〇 卜⑤ 5/、〇\收 +H2〇3 认丨 〇 t 0 \
+ C^HcrOH 溫和酸性狀況催化水解,並且較高溫度(例如70°c)增加反應 速率’但乙醇在約78充沸騰。可發生每單體替代二或更多乙氧基; 然而,羥基之對抗性冷凝以形成Si-0-Si結合二聚物,三聚物, 藉下列反應消除羥基,諸如: -6- 本紙張从賴中目目轉準(CNS ) A4· ( 2lQx297公楚) 參------tT------^ (請先閲讀背面之注意事項再填寫本頁) 經濟部中央梯準局貝工消費合作社印裝 五、發明説明() ί V Η站 2-\ , c/ol^ /^
A7 B7 •0—5;·
CL / Η ί ·( - 0 6 Η^,2
CZ ο .'3Τ ο / P \
5- ο一 吟5,/o\cz
S ο ^ ^ 經濟部中央標準局貝工消費合作杜印製 水解加冷凝反應使單體緩慢轉換為不同大小之齊聚物,並且 溶液之粘性增加。達到適當聚合度時,溶液予以冷卻至室溫,並 以乙醇稀釋(咼達300%)’其產生數月或更長之儲存期限。一般為, 平均齊聚物將以3-10單體作成,並有大多數其乙氧基由羥基或矽 氧炫結合所替代。在冷凝時,齊聚物由於在每一齊聚物上之大量 經基地點而可能交聯。 一種鹼諸如氩氧化銨(ΝΗ40Η)催化齊聚物之進一步冷凝;可 恰好在旋塗前將0·25 MNH4OH(以容積計10%)加至齊聚物溶液。 要不然,可使用齊聚物溶液而不添加鹼。8吋直徑晶圓約需3毫 升溶液,以提供約1克平均厚度之塗層。1000-5000轉/分旋轉速 度約10-15秒,一般便足夠。各種旋轉速度可在旋塗過程予以設 定程式,以控制薄膜斑紋,並且背面清洗及邊緣卷緣去除也可予 ----------#------,tx------0 (請先聞讀背面之注意事項再填寫本頁) 本紙張尺度適用中國國家標準(CNS〉A4規格(210X297公釐) A7 B7 經濟部中央梯率扃貝工消费合作社印製 五、發明説明() 以合併’以除去邊緣及背面沉積物。也可使用嘴塗或浸塗。冷凝 反應使齊聚物進一步聚合,並藉以與一種矽倍半環氧乙烷聚合物 網絡形成溶劑飽和薄膜凝膠約如: 0^
在塗布後,使薄膜凝膠老化以繼續冷凝及網絡形成。藉乙二 醇之飽和大氣使凝膠中之溶劑保持在晶圓上。12(rc之老化溫度 2-4分鐘便完成冷凝;可將一種鹼催化劑導入大氣。更低之溫度 老化將需要較長之老化時間。 在老化時之溶劑蒸發,可能導致凝膠之過早乾燥及實際收 縮,其增加薄膜密度及介電係數,並引起薄膜龜裂。因此,控制 晶圓上之大氣,或使用較少揮發性溶劑,諸如甘油代替乙醇,藉 以限制溶劑蒸發。 - --. 總趙水解/冷凝將原始乙氧基梦R-0-Si結合之大約70%轉換 成矽氧燒Si_G_Si齡,並將魏&氧基雜合轉触主要為石夕 烧醇Si-O-H結合。表面Si_〇-H基具有作用性及親水性,但在網 Ί — ~Μ 11^I—1Τ-------.^ (請先閲讀背面之注項再填寫本X)
A7 B7 經濟部中央揉準局貞工消费合作社印裝 - 五、發明説明( 絡予以隔離,並且不另外冷凝。 網絡有微孔,直徑少於ίο毫微米^請察知,圖1中之相鄰 金屬線間之250毫微米最小間距大為超過孔大小,並且金屬線幾 何結構很少影響凝膠形成。 在老化後’在一旋轉器以乙醇清洗晶圓,以替代細孔中之高表 面張力溶劑乙二醇’在隨後乾燥時減少毛細管壓力。由於小孔大 小(小曲率半徑)’在溶劑蒸發時(亦即細孔表面部份沾濕因而液體 表面張力非對稱時),存在高毛細管虔力,並可能導致聚合物網絡 皺縮’產生較濃密之薄膜。 而且,細孔表面Si-O-H基呈現一親水性表面,其可能吸附 水分’运將會增加細介電係數,並脑相鄰金屬表面^在乾燥 時所可能之SiOH紅進-步精反應也可能導致驅之永久收 縮。 ®此, 以六甲基二矽氮烷 (HMDS)(CH3)3Si-NH-Si(CH3)3清洗晶,以疏水性並且也無法進一 步冷凝之Si-0-Si(CH3)3基替代Si姻基,藉以修改細孔表面。 其次,以庚烧或己烧清洗晶圓,以在凝膝乾燥時使毛細管 壓力更為降低。最後’將晶圓置於—在3〇〇 35〇<>c之熱板上約 秒’藉以使錢寒。這留下-有雜之細 物網絡)。 如果聚合物網絡足夠堅固,經得起在乾燥時之毛細管壓力, 也可在乾燥後進行HMDS或其他表面修改。 2下-節綱在製造圖丨之咖層之—時,使用上述乾凝 膠方法。 -9- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ----------^------1T------^ (請先閲讀背面之注意事項再填寫本頁) 經濟部中央揉準局員工消費合作社印裝 A7 B7 五、發明説明() IMD製造 圖2a-c例示較佳實施例乾凝膠imd結構之製造步驟,其也 包含一供乾凝膠之鈍化(反應障壁)層。特別是,圖2a示寬度0.25-0.6 微米,高度0.7微米’及線間最小間距0.25微米之包覆鋁線204。 在金屬線204上及在介電質下面之共形鈍化層206提供一供金属 線204之化學反應障壁’保護其以防腐蝕。鈍化層2〇6可為二氧 化矽,有10-50毫微米之厚度,並可藉(等離子增強)CVD予以敷 著。 圖2b示旋著形成薄膜凝膠208之齊聚物溶液。這使用先前 所說明之齊聚物溶液’並藉冷凝催化劑之旋著。儘管其〇.7微米 之深度,厚度0.3-0.5微米之平均薄膜208填滿在最小間距;在金 屬線204上之薄膜厚度僅約為0.1微米。 如先前所說明處理凝膠(老化,替代溶劑,修改細孔表面,及 乾燥),以形成乾凝膠210。然後敷著上面之氧化矽220,並藉諸 如化學機械拋光(CMP)平面化,以產生一如圖2c中所示之平面表 面。通路形成及填滿產生如在圖1中所之下IMD層。 旋著以形成凝膠208,提供一合理平面之表面,上面妙氧化 物之CMP因而可予省略。 單體製備 首先在300毫升四氫。夬喃(THF)添加一少量碘結晶體至15克 鎂旋屑及450毫升TEOS之混合物,並在氮大氣下使混合物回 流,可藉以製備第一較佳實施例之單體。其次,在2小時時間將 100毫升THF中之48克1,4-二漠苯之溶液一滴一滴添加至回流 之混合物。在起始添加之30分鐘以内,反應變成溫和放熱。在 -10- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -----------裂-- C請先閲讀背面之注意Ϋ項再填寫本頁} -訂 線 經濟部中央標準局貝工消費合作社印製 A7 B7 五、發明説明() 完成添加二溴化物後,保持反應混合物回流1小時。冷卻灰綠色 混合物至室溫’然後在真空除去THF。添加己烷以使任何餘留之 鎮鹽在溶液中沉澱,並在氮下過濾混合物,以產生—種清澈,淺 稼色溶液。在真空除去己烷,並在真空蒸餾除掉其餘之TE〇s, 留下一種棕色油。蒸餾棕色油(130-1351C在200毫托),以產生一 種清澈無色油單體》 氟化混合體乾凝膠 第二實施例乾凝膠IMD為第一較佳實施例之氟化型。特別 疋,乾凝勝有氟取代在笨環橋連基之氫。所有四個氫’或僅有些’ 可以氟予以取代;所有其他參數保持固定氟時,含量愈大,溫度 容限愈佳,並且介電係數愈低。 氟化乾凝膠可藉相同處理步驟自氟化單體作成,或可在乾凝 膠製成後’在低壓暴露至F2 ’藉以予以1化。在製成後之氟化, 由於氟原子之大小大於氫,應該使乾凝膠膨脹,但膨脹應該僅減 少孔隙度。氟化也使HF演變’在各向異性蝕刻後氟化之情形, 其必須予以抽吸去除,以避免金屬或氧化物蝕刻。藉HMDS之 表面修改以替代矽烧醇基,也可以氟化型予 以替代.. 熱穩定性 積想電路製造通常包括非常高溫度初始處理步驟,但在一般 為結基之金屬線形成後’由於銘低熔點,處理之溫度峰值約在450 °C(鋁之燒結溫度)。因之,IMD材料在惰性大氣應該為熱穩定, -11 - 本紙張尺度通用中國國家標準(CNS ) A4規格(210X297公釐) ----------装— (請先閲讀背面之注意Ϋ項再填寫本頁) 訂 線 A7 B7 五、發明説明() 直到約為意c »前輕連基實狀_結合敎倾供乾凝踢 之此種熱穩定性;的確,使贱苯基代替f基之細孔表面修改, 增強熱穩定性。亦即,以_0_si(c6H5)3基替代細孔表面基冬 Si(CH3)3。 同樣,(部份)氟化一般增加熱穩定性,因而前述諸如讲〇)3沿_ C6F4_Si(OR)3單體或表面修改基諸如办si(CF3)3或_〇Si(C6FA之(部 份)氟化型增強熱穩定性。 替代性橋連基 另外之較佳實施例與第一較佳實施例相似,但在單體及乾凝 膠使用不同之橋連基。特別是’橋連基可由鏈烷,分枝鏈烷,鏈 稀’分枝鏈烯,炔’分枝炔,環烷,及如下列單體所例證之粗砂 所構成: aj} 經濟部中央揉準局員工消费合作社印製 {請先W讀背面之注意事項再填寫本頁) (K0)3 C〇^3 cH3 ch3 -12- 本紙張尺度適用中國國家梯準(CNS) A4規格(210><297公釐) 五、發明説明( LK〇)^i -csc-s< C〇^h CK〇hsc 5t (r〇)3 5t: -〇〇 A7 B7 p^ 5 1 C〇R)3fe
5i ⑽3 (請先閲讀背面之注意事項再填寫本頁) st C〇K) '3 (RD)_3 义 •OcCr 經濟部中央揉準局貝工消費合作社印製 (m3 5(- 0
0
Si (〇R) 3 13 - 本紙張尺度適用中國國家標準(CNS ) A4規格(2!0X297公釐) 經濟部中央揉準局員工消费合作社印裝 A7 B7 五、發明説明() 鍵炫,鏈烯’及炔鏈可有1至12碳原子;超過12碳原子, 聚合物網絡便開始與聚乙烯相似。同樣,相似長度之鏈烯及炔鏈 提供約略相似之乾凝膠。可使用具有3至8碳環之環烷〃如果石夕 原子間之碳原子之声短路徑不超過12,可使用熔合或鍵接苯或其 他環。 橋連基之選擇依希望之乾凝膠熱穩定性及可撓性而定。特別 是’熱穩定性自鏈烧至可相比之鏈烯.,至可相比之快,至可相比 之環烷,至可相比之粗砂增加。反之,可撓性以相反順序增加: 粗砂為最少撓性,而鏈烷為最多撓性。 利用所有此等橋連基,氟化增加熱穩定性。氟化之缺點為來 自氟之可能之金屬腐钱。 也可使用二或更多上述單體之共聚物以具有中間特徵。 替代性IMD結構 如圖3a-f在剖面圖中所試探性例證,較佳實施例乾凝膠可使 用於替代性較佳實施例IMD結構。特別是,圖3a示乾凝膠有覆 蓋之氧化物及通路在金屬線上面;此為與圖2c加通路並省略鈍 化層相同。 圖3b示乾凝膠在金屬線之最小間距,並在離開自最小間距 之開放場。此乾凝膠結構係如圖2b申所例示得自向後姓刻向下 至原始旋著乾郷之金屬線。-覆蓋氧化物軒敷著在向後侧 之乾凝膠,以完成IMD。向後蝕刻之乾凝膝具有使乾凝膠保留 在最小間距’但在開㈣減少厚度,並目此減讀械強度需求之 優點。其在通路蝕刻時也防止乾凝膠爆裂。的確,原始旋著乾凝膠 -14- 本紙張尺度適用中國鬮家棣準(CNS ) A4規格(2丨0Χ297公釐) I 1-— I裝— —訂 I I線 (請先閏讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作杜印策 A7 B7 五'發明説明() 愈為共形’在開放場向後蚀刻之乾凝勝愈薄,同時保持乾凝膠填 滿之最小間距》 圖3c示金屬線及乾凝膠上之氧化物掩模僅在最小間距;隨 後氧化物之沉積及平面化完成IMD層。圖3c之結構可方便首先 使用氧化物掩模供金屬蝕刻以形成圖示之金屬線,其次旋著乾凝 膠填滿最小間距加開放場,並覆蓋氧化物掩模,然後向後蚀刻以 清除開放場’但留下最小間距填滿至少至金屬線之高度,而予以 製造。這不同於圖3b之向後姓刻’因為氧化物掩模基本上垂直 延伸最小間距,因而向後蚀刻可在除去金屬線間之乾凝膠前除去 開放場乾凝膠。例如’如果金屬線為0.7微米高,氧化物掩模為 0.34微米高’及乾凝膠厚度在開放場上面為〇 5微米,及在氧化 物掩模上面為0.2微米,則向後姓刻〇.5微米將會恰好清除開放 場,但在最小間距留出0.7微米》當然,在金屬線之外側壁所留下 之乾凝膠將無危害;不論各向同性或各向異性向後姓刻工作。 圖3d僅在最小間開金屬線之姓刻縫隙有乾凝膠,這允許乾 凝膠在金屬線上面及下面延伸(藉縫隙蝕刻至下面之介電質),並 且也藉以提供低介電係數材料至邊緣電場。此IMD結構之製造 藉敷著一種金屬,後隨沉積一種氧化物,掩蔽並蝕刻氧化物以及 金屬沉積一鈍化層,旋著及使將會填滿最小間距加覆蓋氧化物之 乾凝膝乾燥’及最後向後蚀刻’以自氧化物頂部除圭乾凝膠,僅 將其留在缝隙等步驟所進行。 圖3e示一使用乾凝膠之波紋結構。特別是,首先形成乾凝 膠(在金屬線之前),其次在乾凝膠各向異性蝕刻溝槽(加可能之通 •15- 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 111^—·—— — — ;^— I __ —訂 I I I I I I 線 (請先聞讀背面之注意事項再填寫本頁) 經濟部中央揉準局貝工消费合作社印製 A7 ______B7____ 五、發明説明(). 路至下面導體),然後大片敷著填滿溝槽及覆蓋乾凝膠之金屬,並 且最後CMP ’以除去在上乾凝膠表面之金屬,但將金屬留在溝 槽,以形成金屬線。 圖3f示一非常厚之乾凝膠,其提供整個IMD(除了可能之鈍 化層)。 圖3a-b,e-f之結構需要來自乾凝膠之機械強度,特別是圖3e· f之結構。對照而言’囷3c-d之結構僅使用乾凝膠在最小間距。 機械強度依乾凝膠密度而定。較佳實施例混合體乾凝膠較之可相 比密度之二氧化矽乾凝膠具有更低介電係數;此對於所需要之介 電係數,混合體乾凝朦可為較滚濃密,從而較之二氧化梦乾凝膠 更堅固。 IMD應用 金屬(或其他導電)線間之上述乾凝膠可應用於各種積體電路 型式。例如記憶體(DRAM,SRAM,快閃EPROM等)具有很多組長 平行導電線諸如位元線,字線’條’位址及資料匯流排等等,並 且乾凝膠在平行線之此專台階填滿最小間距,減少電容柄合及關 聯之相互影響。 修改 較佳實施例可以很多方式予以變化,同時在使用積體電路介 電質之二氧化矽乾凝膠保有有機橋連基之一種或多種特色。例 如,乾凝膠可使用在積體電路内之各位置作為介電質,諸‘在多 晶矽或多化物閘與字線之間及微波電路元件周圍。 較佳實施例結構之尺寸可予以改變’諸如導電線間之最小間 -16- 本紙張尺度適用中國國家標準(CNS ) A4規210X 297公釐' " ----------^------II------.ii {請先閲讀背面之注意事項再填寫本頁} A7 B7 58S099 五、發明説明() 距為0.18或0.1微米或更少,金屬線高度可改變,並且金屬為銅 或鎢或合金,或矽化物諸如TiSi2或CoSi2,或氮化物諸如TiN或 _,並且氧化物介電質可為氟化氧化物(SiOxFy)或一種羥基氮 化物或一種多層疊層,其純化層可予以省略,或者使用發氮化物 或羥基氮化物等等。 ------^----^------1T------0 (請先閲讀背面之注$項再填寫本頁) 經濟部中央標準局貝工消費合作社印製 -適 尺 準 I標 I家 一国 i釐 9 -2

Claims (1)

  1. 388099 Bs8 申請專利範ΐ " "- L一種積體電路介電質,包含: ⑷乾凝谬’填滿第—與第二導體間之空間之至少一部份,該乾 凝膠3有聚合物網絡,該聚合物網路包括有機橋連基β 2·根據申請專利範圍第1項之介電質,其中: (a)該聚合物網絡之橋連基為選擇自鏈烷 ’鏈稀’块,粗砂,及 環烧之類組,越過橋連基之碳原子之最短路徑為至多12。 ---------^------,訂------^ (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局貝工消費合作社印製 8- TT 86642 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)
TW86119030A 1996-12-17 1998-02-06 Integrated circuit dielectrics TW388099B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US3442396P 1996-12-17 1996-12-17

Publications (1)

Publication Number Publication Date
TW388099B true TW388099B (en) 2000-04-21

Family

ID=21876314

Family Applications (1)

Application Number Title Priority Date Filing Date
TW86119030A TW388099B (en) 1996-12-17 1998-02-06 Integrated circuit dielectrics

Country Status (5)

Country Link
US (1) US6059553A (zh)
EP (1) EP0849796A3 (zh)
JP (1) JPH10178006A (zh)
KR (1) KR19980064176A (zh)
TW (1) TW388099B (zh)

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11307633A (ja) * 1997-11-17 1999-11-05 Sony Corp 低誘電率膜を有する半導体装置、およびその製造方法
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6350704B1 (en) 1997-10-14 2002-02-26 Micron Technology Inc. Porous silicon oxycarbide integrated circuit insulator
JPH11214658A (ja) * 1997-10-23 1999-08-06 Texas Instr Inc <Ti> 集積回路誘電体の製法
EP0933815B1 (en) * 1998-01-28 2005-11-09 Interuniversitair Microelektronica Centrum Vzw A metallization structure on a fluorine-containing dielectric and a method for fabrication thereof
EP0933814A1 (en) 1998-01-28 1999-08-04 Interuniversitair Micro-Elektronica Centrum Vzw A metallization structure on a fluorine-containing dielectric and a method for fabrication thereof
US6344284B1 (en) * 1998-04-10 2002-02-05 Organic Display Technology Organic electroluminescent materials and devices made from such materials
US6361885B1 (en) * 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6022812A (en) * 1998-07-07 2000-02-08 Alliedsignal Inc. Vapor deposition routes to nanoporous silica
JP2002524849A (ja) * 1998-08-27 2002-08-06 アライドシグナル・インコーポレイテッド ナノ細孔シリカの機械的強度を最適化する方法
US6211561B1 (en) 1998-11-16 2001-04-03 Conexant Systems, Inc. Interconnect structure and method employing air gaps between metal lines and between metal layers
US6770572B1 (en) * 1999-01-26 2004-08-03 Alliedsignal Inc. Use of multifunctional si-based oligomer/polymer for the surface modification of nanoporous silica films
JP3084367B1 (ja) * 1999-03-17 2000-09-04 キヤノン販売株式会社 層間絶縁膜の形成方法及び半導体装置
JP3236576B2 (ja) 1999-03-24 2001-12-10 キヤノン販売株式会社 層間絶縁膜の形成方法、化学的気相成長装置、及び半導体装置
US6194748B1 (en) * 1999-05-03 2001-02-27 Advanced Micro Devices, Inc. MOSFET with suppressed gate-edge fringing field effect
US6770975B2 (en) 1999-06-09 2004-08-03 Alliedsignal Inc. Integrated circuits with multiple low dielectric-constant inter-metal dielectrics
CN1196180C (zh) 1999-08-26 2005-04-06 部鲁尔科学公司 改进的用于双金属镶嵌方法中的填充物料
US20040034134A1 (en) * 1999-08-26 2004-02-19 Lamb James E. Crosslinkable fill compositions for uniformly protecting via and contact holes
GB2358285A (en) * 1999-08-30 2001-07-18 Lucent Technologies Inc Interlevel dielectrics
JP3400770B2 (ja) 1999-11-16 2003-04-28 松下電器産業株式会社 エッチング方法、半導体装置及びその製造方法
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
CA2413592A1 (en) 2000-06-23 2002-01-03 Nigel P. Hacker Method to restore hydrophobicity in dielectric films and materials
JP2002064137A (ja) * 2000-08-15 2002-02-28 Tokyo Electron Ltd 半導体装置およびその製造方法
JP3505520B2 (ja) 2001-05-11 2004-03-08 松下電器産業株式会社 層間絶縁膜
JP4495464B2 (ja) * 2002-01-17 2010-07-07 シレクス オサケユキチュア 集積回路の製造方法
US6825130B2 (en) 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US7709371B2 (en) 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
WO2004068555A2 (en) 2003-01-25 2004-08-12 Honeywell International Inc Repair and restoration of damaged dielectric materials and films
US6924238B2 (en) * 2003-06-05 2005-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Edge peeling improvement of low-k dielectric materials stack by adjusting EBR resistance
US7067385B2 (en) * 2003-09-04 2006-06-27 Micron Technology, Inc. Support for vertically oriented capacitors during the formation of a semiconductor device
US7125781B2 (en) 2003-09-04 2006-10-24 Micron Technology, Inc. Methods of forming capacitor devices
US7145182B2 (en) * 2003-09-12 2006-12-05 Avago Technologies General Ip (Singapore) Pte. Ltd. Integrated emitter devices having beam divergence reducing encapsulation layer
US8475666B2 (en) 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
US7387939B2 (en) * 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
US7439152B2 (en) * 2004-08-27 2008-10-21 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US20060046055A1 (en) * 2004-08-30 2006-03-02 Nan Ya Plastics Corporation Superfine fiber containing grey dope dyed component and the fabric made of the same
US7547945B2 (en) * 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7320911B2 (en) * 2004-12-06 2008-01-22 Micron Technology, Inc. Methods of forming pluralities of capacitors
JP5324734B2 (ja) * 2005-01-21 2013-10-23 インターナショナル・ビジネス・マシーンズ・コーポレーション 誘電体材料とその製造方法
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US7557015B2 (en) * 2005-03-18 2009-07-07 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7678712B2 (en) 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
EP1722466A1 (en) * 2005-05-13 2006-11-15 STMicroelectronics S.r.l. Method and relative circuit for generating a control voltage of a synchronous rectifier
US7544563B2 (en) * 2005-05-18 2009-06-09 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7517753B2 (en) * 2005-05-18 2009-04-14 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7199005B2 (en) * 2005-08-02 2007-04-03 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US20070123059A1 (en) * 2005-11-29 2007-05-31 Haverty Michael G Methods of internal stress reduction in dielectric films with chemical incorporation and structures formed thereby
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US7557013B2 (en) * 2006-04-10 2009-07-07 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7602001B2 (en) 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7902081B2 (en) * 2006-10-11 2011-03-08 Micron Technology, Inc. Methods of etching polysilicon and methods of forming pluralities of capacitors
US7785962B2 (en) * 2007-02-26 2010-08-31 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US20090026924A1 (en) * 2007-07-23 2009-01-29 Leung Roger Y Methods of making low-refractive index and/or low-k organosilicate coatings
US7682924B2 (en) 2007-08-13 2010-03-23 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8388851B2 (en) 2008-01-08 2013-03-05 Micron Technology, Inc. Capacitor forming methods
US8274777B2 (en) 2008-04-08 2012-09-25 Micron Technology, Inc. High aspect ratio openings
US20090324928A1 (en) * 2008-06-26 2009-12-31 Vijayakumar Ramachandrarao Forming ultra low dielectric constant porous dielectric films and structures formed thereby
US7759193B2 (en) * 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US8946043B2 (en) 2011-12-21 2015-02-03 Micron Technology, Inc. Methods of forming capacitors
US8652926B1 (en) 2012-07-26 2014-02-18 Micron Technology, Inc. Methods of forming capacitors

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56106302A (en) * 1980-01-24 1981-08-24 Matsushita Electric Ind Co Ltd Electrode for conductive high molecular material
US4652894A (en) * 1980-03-14 1987-03-24 The Johns Hopkins University Electrical organic thin film switching device switching between detectably different oxidation states
US4997804A (en) * 1988-05-26 1991-03-05 The United States Of America As Represented By The United States Department Of Energy Low density, resorcinol-formaldehyde aerogels
US5470802A (en) * 1994-05-20 1995-11-28 Texas Instruments Incorporated Method of making a semiconductor device using a low dielectric constant material
US5494858A (en) * 1994-06-07 1996-02-27 Texas Instruments Incorporated Method for forming porous composites as a low dielectric constant layer with varying porosity distribution electronics applications
US5504042A (en) * 1994-06-23 1996-04-02 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications

Also Published As

Publication number Publication date
JPH10178006A (ja) 1998-06-30
EP0849796A3 (en) 1999-09-01
US6059553A (en) 2000-05-09
EP0849796A2 (en) 1998-06-24
KR19980064176A (ko) 1998-10-07

Similar Documents

Publication Publication Date Title
TW388099B (en) Integrated circuit dielectrics
TW411559B (en) Integrated circuit dielectric and method
EP0684642B1 (en) Method of fabrication of a porous dielectric layer for a semiconductor device
JP3418458B2 (ja) 半導体装置の製造方法
US5747880A (en) Interconnect structure with an integrated low density dielectric
US6265303B1 (en) Integrated circuit dielectric and method
US6351039B1 (en) Integrated circuit dielectric and method
US7166531B1 (en) VLSI fabrication processes for introducing pores into dielectric materials
TW488026B (en) Protective hardmask for producing interconnect structures
US7534696B2 (en) Multilayer interconnect structure containing air gaps and method for making
EP1891146B1 (en) Organo functionalized silane monomers and siloxane polymers of the same
US20040084774A1 (en) Gas layer formation materials
JPH0846047A (ja) 多孔質表面特性を備えた多孔質誘電材料
JP2008511711A5 (zh)
KR20110014540A (ko) 전자 디바이스 제조
JPH08255834A (ja) 0.5および0.5以下のulsi回路用の中間レベル誘電体内要素としての水素シルシクイオクサンをベースとした流動性酸化物
KR19980042230A (ko) 집적 회로 절연체 및 그 제조 방법
EP1787319A1 (en) Novel polyorganosiloxane dielectric materials
JP2009532866A (ja) 機械的特性が改善された多孔性低k層を有するダマシン相互接続
JP2000091330A (ja) 集積回路誘電体及びその製法
US6800928B1 (en) Porous integrated circuit dielectric with decreased surface porosity
JP4955314B2 (ja) 多孔性シリコン酸化膜の製造方法
EP0860462A2 (en) Composition and method for the formation of silica thin films
JP4493278B2 (ja) 多孔性樹脂絶縁膜、電子装置及びそれらの製造方法
JPH07242747A (ja) 有機珪素重合体及び半導体装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent