TW381187B - Substrate with conductive films and manufacturing method thereof - Google Patents

Substrate with conductive films and manufacturing method thereof Download PDF

Info

Publication number
TW381187B
TW381187B TW087115790A TW87115790A TW381187B TW 381187 B TW381187 B TW 381187B TW 087115790 A TW087115790 A TW 087115790A TW 87115790 A TW87115790 A TW 87115790A TW 381187 B TW381187 B TW 381187B
Authority
TW
Taiwan
Prior art keywords
film
substrate
conductive film
silicon nitride
gas
Prior art date
Application number
TW087115790A
Other languages
English (en)
Inventor
Norihide Jinnai
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Application granted granted Critical
Publication of TW381187B publication Critical patent/TW381187B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/136Liquid crystal cells structurally associated with a semi-conducting layer or substrate, e.g. cells forming part of an integrated circuit
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • H01L29/78621Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure with LDD structure or an extension or an offset region or characterised by the doping profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78636Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device with supplementary region or layer for improving the flatness of the device

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Nonlinear Science (AREA)
  • Mathematical Physics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Thin Film Transistor (AREA)

Description

經·部中央標準局兵工消此合竹社印—ί木 A7 B7 五、發明説明(彳) (發明的背景) (發明的技術領域) 本盡明有關於得適用於薄膜電晶體基板之附有導電膜 之基板及其製造方法。 (先前技術) 現在由高畫質、薄型、輕量、低消費電力等理由,而 在筆記型電腦等之種種攜帶機器等顯示器上使用有源矩陣 驅動液晶顯示器。又此有源矩陣驅動液晶顯示器上主要使 用薄膜電晶器(T F T )( Thin Film Transistor).。 又,近年來爲了實現高畫質、高可靠性、低成本之有 源矩陣驅動液晶顯示器起見,力求用做液晶驅動元件之 T F T之高性能化及高可靠性化。特別是爲了獲得高性能 且高可靠性起見,TFT之保護絕緣膜之高性能化、高耐 久性、及高耐環境性乃必須者。又從大量生產性之立場, 以比較簡便且低廉的製造備有更高性能、可靠性高之保護 絕緣膜才行。 以往之具有高性能、高可靠性之薄膜電晶體基板而有 如圖1所示之構造者,如圖1所示,在透光性絕緣基板( (玻璃基板1 )之一主面上,島狀地形成有由多晶矽所成 之半導體層2。此半導體層2上,以通道領域3爲中心, 鄰接於通道領域3地形成有,低濃度的不純物(滲雜)控 制之高電阻漏極領域4及高電阻源極領域5。又再鄰接於 這些地分別形成有高濃度地被不純物控制之低電阻漏極領 (ii先閱讀背面之注意事項再填本頁) 訂 43-· 本紙張尺度適用中國_家標準(CNS ) Λ4規格(210X297公釐) -4 - 經.'rii‘部中峡標埠局炎工消办合竹社印f A7 ___ B7 ' 1 _ 五、發明説明¢2 ) 域6及低電阻源極領域7。此高電阻漏極領域4及高電阻 源極領域5係低濃度的注入有磷(P)原子及硼(B)原 子等之不純物,因此稱謂L DD ( Lightly Doped Drain)構 造。其電阻乃被控制於通道領域3與低電阻漏極領域6或 低電阻源極領域7之中間,由而成爲提高TFT之可靠度 之構造。 又以被覆半導體層2狀地形成有,由氧化矽所成之閘 極絕緣膜8,在此閘極絕緣膜8上,形成有,該第1導電 膜之由鋁(A 1 ),鉬(Μ 〇 ),鎢(W )合金,鈦( T i )或鉬(Ta )等所成之閘極電極9及與閘極電極9 成一體之不圖示之閘極配線。又以被覆閘極絕緣膜8及閘 極電極9狀地形成有由氧化矽等所形成之層間絕緣膜10 。這些閘極絕緣膜8及層間絕緣膜10之低電阻漏極領域 6與低電阻源極領域7之上方形成有接觸孔1 1、1 2 » 又在層間絕緣膜1 0上之一部份,形成有用做氧化物 導電膜之I T 0 ( Indium Tin Oxide )(銦錫氧化物)等所 成之畫素電極1 3。 再在層間絕緣膜10之上面形成有用做第2導電膜之 由鋁、鉬、鈦等所成之源極電極1 4及漏極電極1 5及連 接於漏極電極1 5之不圖示之訊號配線。源極電極1 4即 介經接觸孔1 1而連接於低電阻源極領域7及ί素電極 1 3,而漏極電極1 5即介著接觸孔1 2連接於低電阻漏 極7。 又將畫素電極1 3之上方以及不圖示之與外部電路之 ‘本紙張尺度ϊϊ用中國围家標蹲.((、奶)八4規格(2]0乂297公釐) 7ΤΙ ' ilimlAV! (各先閱請背而之注意事項再填寫本頁) 訂 •^wl. A7 ___ B7 ' 五、發明説明(3 ) 連接領域予以開口,被覆層間絕緣膜1 0,源極電極1 4 ,漏極電極1 5狀地形成由氮化砍(silicon nitride )所成 之保護絕緣膜1 6,由而形成薄膜電晶體基板。 下面說明保護絕緣膜1 6。保護絕緣膜1 6係爲了 T F T特性之高性能化,或初期性能之維持,及爲了獲得 高可靠性及耐環境性所形成。所以對保護絕緣膜1 6上被 要求,不但是機械的強勁,而且電氣絕緣耐壓高。又具有 防止對於鈉(Na),鉀(K)離子等之活動離子或水份 等,封於顯著地劣化T F T特性或可靠性之不純物之由外 部環境或製造過程中侵入之職責。 比較的能滿足此種要求之膜,而一般多用氮化矽。通 常氮化矽膜之形成方法乃以S i H4 ( monosilane )(甲矽 烷)氣體及NH3 (氨)氣體爲主體之混合氣體系爲原料氣 體而適用之等離子CVD法(化學蒸氣澱積法)。使用此 原料氣體之等離子CVD法中,欲獲得電氣特性或可靠性 更高之氮化矽膜起見,必要2 0 0〜4 5 0 °C之成膜基板 溫度,在此範圍中其溫度愈高愈可以獲得良好之膜之事實 乃眾所周知。 惟成膜基板溫度之成爲約2 5 0。(:以上時,即在用做 畫素電極之I TO膜1 3上,如圖2所示,氮化矽膜之異 常成長爲半球狀,圓頂狀之突起部2 1。’所以ώ往乃將成 膜基板溫度規定於未滿2 5 0 °C來實施氮化矽膜之成膜。 下面對於在此I TO膜1 3上之氮化矽膜1 6之異常 成長’以及該不合宜之情形。氮化矽膜1 6之異常成長之 本紙张尺度適用中國囤家標準(CNS ) Μ規格(210X297公^ -一" Γ-τν·先閲請背而之注意事項再填&?本頁j
4':;;κ部中次抒绛局負工消贽合:社印-9.0水 Α7 Β7 五、發明説明(4 ) 發生溫度係雖然也會受配設於下層之I TO膜1 3之膜質 ’惟大槪2 5 0 °C程度,所以在成膜基板溫度未滿2 5 0 °C時幾乎不會發生異常成長,惟超過2 5 0 °C即急激地發 生異常成長。 由異常成長所形成之半球狀圓頂狀之突起部之半徑, 大者有數,高度即有時會成長到正常部份之膜之厚度 之3倍程度。又在突起部2 1之間也會發生如圖2所示之 空隙 2 2 ( Boido )。 上述之I TO膜1 3上之氮化矽膜之異常成長之原因 可能是,由於原料氣體中之NH3氣體或S i H4氣體之等 被離子分解所發生之氫原子所致之離子或基電子團之還原 該屬於金屬氧化物之I TO表面,而以局部地還原之部份 爲核,氮化矽膜之選擇的結晶成長之緣故。 如上所述的氮化矽膜1 6異常成長時,即在圖樣形成 及蝕刻加工時,由於異常成長部份之氮化矽1 6膜之膜質 係與正常部份相比較而有變質,因此在蝕刻速度上發生差 異,發生阻礙均一之蝕刻加工之不合宜之情形。又比較異 常部份之蝕刻速度與正常部份之蝕刻速度時,由氮化矽膜 之成膜條件而速度之關係將逆轉,如異常部份這一方較遲 時,如圖3所示,在I TO膜1 3上發生氮化矽膜1 6之 殘渣2 3。 ^ 又蝕刻去除之氮化矽膜之端部即不管蝕刻速度均如圖 3中之領域A所示,會粗糙化,如圖3所示發生蝕刻去除 端部之粗糙化或蝕刻殘渣2 3時,即在此部份發生液晶之 $紙張尺Ζϊλ中冗國ϋ净厂(ΓΝϋ規格(210x297公釐) ^Γ- (洛先閲讀背面之注意事項再填寫本頁)
經-¾—部中"#準局只J-消合竹社印袈 Μ Β7 ' , 五、發明説明(5 ) 紊亂,對液晶驅動之控制有妨礙,因此對液晶顯示器上會 發生使顯示機能劣化之不合宜之情形。 再者,如藉藥液對於氮化矽實施蝕刻加工時,即被封 入於空隙2 2中之藥液乃隨著時間之經過而滲出,發生污 染液晶或腐蝕薄膜電晶體基板之訊號配線等,會發生使液 晶顯示器之顯示機能劣化,或損及可靠性等之不合宜之情 形。 爲了防止上述先前例所說明之I TO膜上之氮化矽膜 之異常成長之方法,而有採用氧化矽膜及氮化矽膜之疊層 膜來形成薄膜電晶體之保護絕緣膜之方法。接觸於I T 0 膜之第1保護絕緣膜乃以S iH4氣體及N2〇 (亞氧化氮 )氣體爲主體之原料氣體系之等離子CVD法來形成氧化 矽膜,而連續於電而如前述之先前例一樣地形成氮化矽膜 。形成氧化矽時之等離子環境由於對於I TO膜不具有還 原性,因此氧化矽膜不會在I TO膜上發生異常成長。 惟一般來說,以一次之圖樣形成過程及蝕刻過程來將 氧化矽膜及氮化矽膜加工成爲所欲之形狀係非常困難。例 如以C F4氣體或S F6氣體及〇2氣體做爲反應氣體之主 體之反應性離子蝕刻(R I E )法時,由於氮化矽膜之蝕 刻速度遠高於氧化矽膜之鈾刻速度,因此在蝕刻氧化矽膜 之間氮化矽膜之飩刻端部已有很大之側端蝕刻i進行,呈 顯逆推拔形狀等等。 另一方面,藉由氟化氫爲主體之藥液之濕式蝕刻時, 即氧化矽膜之蝕刻速度快於氮化矽膜,因此在氧化矽膜上 (洛先閲讀背西之注意事项再填{ΪΪ本頁)
紙张尺度诮用中國國家標卒(CNS ) Λ4規格(210X 297公漦) .8 - A7 ________ B7 1 x 五、發明説明(6 ) 會發生很大之側端蝕刻,邊緣端部呈顯氮化矽膜之過度伸 出(overhang)之形狀。 以工次來實施圖樣形成或蝕刻加工時,加工形狀上幾 乎不會有問題,惟由於過程增加所致之節拍時間(tact time )·,或處理能力(through put )之降低而成爲生產性 之降低.及成本之高昂之原因之問題會發生。 (發明之槪說) 本發明係鑑於上述問題點所創作,其第1目的乃提供 一種不須要追加複雜的製造過程之下,對於設有氧化物導 電膜之基板上,以不會產生異常成長之狀態地得於形成, 具有高絕緣耐壓特性,高機械強度以及良好的耐環境性之 矽氮化膜之附有導電膜之製造方法。 再者,本發明之第2目的乃提供一種在設有氧化物導 電膜之基板上,形成具有高機械強度及良好耐環境性之矽 氮化膜之附有導電膜基板。 本發明之附有導電膜之基板之製造方法係具備有:在 基板上形成氧化物導電膜之過程,及在設置該氧化物導電 膜之基板上,以包含對於該氧化物導電膜而適用非還原性 (reducible )之第1原料氣體(raw gas )之第1之膜形成 條件下形成第1之矽氮化膜之過程,及在該第ί之矽氮化 膜上以較該第1之膜形成條件而該成膜速度會變快之第2 之膜形成條件下形成第2之矽氮化膜之過程而構成爲其特 徵者。 (閱讀背而之注意事項再填寫本頁) 、1Τ 本紙张尺度迠用中國國家標準(CNS ) Λ4規格(210X297公釐) -9 - A7 B7 經?;?'部屮次摞"局:λ-ί·消贽合竹社印$! 五、發明説明(7 又本發明 上之複數之開 數之開關元件 氧化物導電膜 ,及疊層於上 膜低之氫含有 依本發明 之第1矽氮化 用之環境中而 ,圓頂狀之突 膜上使之疊層 常成長地,以 械強度及良好 特別是該 緣膜乃例如薄 以不須要追加 的特性及可靠 所以採用 ,耐久性優異 之附有導 關元件, 之複數之 之一部份 述第1矽 率之第2 時,至少 膜係在於 可形成, 起部等之 成膜速度 高製品率 耐環境性 至少將氧 膜電晶體 複雜之製 性,耐久 本發明時 之半導體 電膜之基板係 及形成於基板 氧化物導電膜 及上述開關元 氮化膜上,而 矽氮化物而構 將氧化物導電 對於氧化物導 因此該矽氮化 異常生長之情 快之第2矽氮 地形成具有高 之矽氮化膜也 化物導電膜之 等之半導體元 造過程之下, 性優異之保護 就可以生產性 裝置也。 具備有=形成 上分別連接於 ,及至少形成 件上之第1矽 含有較該第1 成爲其特徵者 膜之一部份直 電膜不會發生 膜乃在不發生 形。又在第1 化膜,由而不 絕緣耐壓特性 於基板 上述複 於上述 氮化膜 矽氮化 〇 接覆罩 還原作 半球狀 矽氮化 發生異 ,高機 一部份直接被覆之絕 件之保護絕緣膜時, 可以安定地形成電氣 絕緣膜。 良好的製造出可靠性 (合宜之實施形態之詳細的說明) 有關本發明之第1觀點之附有導電膜之基板之製造方 法係基本上具備有,在基板上形成氧化物導電膜之過程, 及在設了氧化導電膜之此基板上,形成第1之矽氮化膜之 秦 閲 背 注 意 事 項 再 填 寫 本 頁 訂 本紙張尺度適用中國國家標準(CNS ) Λ4規格(210X 297公釐) -10- 五、發明説明(δ ) A7 B7 過程,及在此第1之矽氮化膜上形成第2矽氮化膜之過程 0 在庇方法中,形成第1矽氮化膜之過程乃對於氧化物 導電膜上,適用非還原性之第1原料氣體之第1膜形成條 件下實施。又形成第2矽氮化膜之過程,即在較第1膜形 成條件下而其成膜速度會變快之第2之膜形成條件下實施 當對於具有氧 ,對於氧化物導電 氮化膜乃異當成長 發明乃對於氧化物 1膜形成條件下很 均質之矽氮化膜。 度之矽氮化膜郎很 因此在本發明係, 第1矽氮化物之後 形成第2矽氮化膜 化膜係只形成於第 觸。由而在第2膜 排除還原性的環境 可形成均質且平坦 如上所述依本 有氧化物導電膜之 之矽氮化膜。 化物導電膜 膜有還原性 產生半球狀 導電膜了排 細心的實施 惟如以第1 費時間,製 在獲得可以 ,以更快成 ,疊層於第 1矽氮化膜 形成條件時 ,可能廣泛 之矽氮化膜 發明之第1 基板上形成 之基板上欲形成矽氮化膜時 之環境存在時,所獲得之矽 ,圓頂狀之突起部,惟在本 除了還原性的環境之下之第 膜形成,由而可形成平坦且 膜形成條件來形成所欲之厚 品率變惡而增加製造成本。 保護氧化物導電膜之厚度之 膜速度之第2膜形成條件來 1矽氮化膜上。第2之矽氮 上,與氧化物導電膜不做接 ,對於氧化物導電膜不須要 的選擇成膜速度快之環境, ? 〇 觀,很容易且低成本的在具 平坦且良質之膜質之疊層狀 #1 · 先 閲 _讀 背 面 ,之 注 意 事 項 再 本 頁 〇 訂 本紙张尺度適用中國國家標準(CNS ) Λ4規格(2丨0X297公t ) -11- 經Μ部中次摞準局兑-τ消贫合介社印米 A7 ____B7_' 五、發明説明(9 ) 再者第1及第2矽氮化膜係由於成膜條件不同,因此 例如有氫含有量等之差異,惟均屬均質之膜,因此與異常 成長之矽氮化膜之情形不同,兩者之蝕刻速度幾乎沒有差 別,因此不會產生不所欲之粗糙面或殘渣地可實施蝕刻。 使用於本發明之氧化物導電膜乃由氧化銦鉛,氧化銦 ,及氧化鉛所成之群中所選擇之至少一種所成爲宜。 第1之原料氣體係含有S i H4氣體及N2氣體爲宜。 此時S i H4氣體與N2氣體之流量比N 2 / S i Η 4乃 以可滿足5 0SN2/S iH4$300所表示關係爲宜, 此範圍時,矽氮化膜之波長6 3 0 nm附近之屈折率係 1 . 8乃至1 . 9 5程度,乃與習用之矽氮化膜相比較之 同樣之値。又所獲得之膜乃具有充分阻止鈉,鉀離子等之 可動離子及水份等顯著地劣化T F T之特性或可靠性之不 純物之良好之膜質。 第2之膜形成條件以適用含有S i H4氣體及1^113氣 體之第2原料氣體爲宜。 在第1及第2膜形成可使用等離子CVD法,此時第 1膜形成條件之等離子密度係較上述第2膜形成條件之等 離子密度高爲宜。 又,在第1之膜形成條件中,成膜條件乃成膜溫度以 280°乃至450°C,又最好以300°乃^450。〇 ’更最好以3 0 0〜3 5 0 °C爲宜。 又,第2之膜形成條件中,成膜條件乃,成膜溫度以 2 5 0 °C以上爲宜,更合宜以2 8 0 °C乃至4 5 0 °C,再 本紙张尺度適用中國國家標率((:NS ) Λ4規格(210X297公釐) -\2 '~ (tv先閲請背而之注意Ϋ項再填寫本頁) ^--4---:--;--------©-- iIT------❿-----;——1· 五、發明説明(1〇 ) 合宜以3 0 0°C乃至4 5 0 °c更合宜乃3 0 0乃至3 5 0 0C。 在等離子CVD法中,適用S i H4氣體及N2氣體爲 原料氣體’而對於設置氧化物導電膜之基板上實施第1矽 氮化膜之成膜時,即在於充分高之例如2 8 0 °C乃至 4 5 0°C之膜形成溫度可形成矽氮化膜。在此種溫度範圍 實施膜形成時,即不發生異常生長地可製出氫原子之含有 量少,耐環境性,機械的強度,及電氣的絕緣耐壓高之良 好之矽氮化膜。如在未滿2 8 0。(:之溫度時,就由於燒成 不充分未能脫出存在於膜中之氫原子,會殘留很多氫原子 ’因此將所獲得之矽氮化膜供蝕刻時有產生殘渣等之問題 之傾向。另一方面成膜溫度超過4 5 0 °C時即很困難實施 等離子C V D法。 又形成第1矽氮化膜後使用較S i H4氣體及N2氣體 而其成膜速度慢之S i H4氣體及NH3氣體用做第2原料 氣體而以等離子CVD法來成模時,由於第2原料氣體不 會與氧化物導電膜接觸,所以不發生異常成長而在充分高 溫例如2 5 0 °C以上,最合宜的乃以2 8 0 °C以上之充分 的高溫迅速地可能形成第2矽氮化膜。所獲得之膜乃雖然 與第1之矽氮化膜相比較其氫原子含有量稍多,惟與未滿 2 8 Ο X:,特別是與未滿2 5 0 °C之溫度而使^第2原料 氣體所形成之矽氮化膜相比較時,耐環境性,機械強度以 及電氣的絕緣耐壓均高。 第1之矽氮化膜以具有1 0〜1 0 0 nm之厚度爲合 糸紙乐尺度適用中國國家標率(CNS ) Λ4規格(210 X 297公;) - 13 - ~~~~ 4':;;·.»·«,部中央標準局Η消费合作社印11 A7 B7 五、發明説明(11 ) 宜,未滿1 0 nm時由於太薄,因此無法形成均質之氧化 物導電膜,在第2矽氮化膜有發生異常生長之傾向。又第 1矽氮化膜乃與第2矽氮化膜相比較時,其成長速度慢, 所以如超過1 0 0 n m時須耗費很長之時間,製品率會降 低。又第2矽氮化膜即具有1 0 0 nm乃至6 0 0 nm之 厚度爲宜。 有關於本發明之第2觀點之附有導電膜基板係藉由第 1觀點之方法可製造者,在具有複數之開關元件及分別連 接之複數之氧化物導電膜之基板上,具有形成第1矽氮化 膜,及備有較第1矽氮化膜而低氫含有率之第2矽氮化物 之疊層體之構造。 本發明之附有導電膜之基板乃再設置,將單結晶矽, 多晶矽,及非晶質矽之其中之一爲通道領域之薄膜電晶體 ,由而可以用做薄膜電晶體基板也。 在這些基板中,有關於本發明之第1及第2矽氮化膜 乃不須要追加複雜的製造過程之下,安定的可形成具有優 異之電氣特性及可靠性,耐久性之保護絕緣膜。 如上所述依本發明時,生產性良好的可以實現具有優 異之可靠性,耐久性之薄膜電晶體基板等。 如上所述,依本發明時,在於對於氧化物導電膜不產 生還原作用之環境下可以形成至少直接被'覆氧&物導電膜 之一部份之矽氮化膜,所以不發生半球狀圓頂狀之突起物 等之異常成長之下,以低成本且簡單的可獲得良好之附有 導電膜之基板也。 (請先閲讀背而之注意事項再填対本頁) — 訂 本紙張尺度谪用中國國家標啤(CNS ) Λ4規格(210X 297公釐) -14 - A7 B7 -次枒準局兵JT-消灸合竹社印?本 五、發明説明(12 ) 下面參照圖面具體的說明本發明之附有導電膜之基板 〇 圖4乃至圖9係表示本發明之薄膜電晶體基板之製造 過程之剖面圖。其中圖9乃表示由圖4乃至圖8所示之過 程所獲得之薄膜電晶體基板之剖面圖。首先對於圖9所示 之有關本發明之薄膜電晶體基板之構成予以說明。 如圖9所示,在透光性絕緣基板(玻璃基板1 )之一 主面上島狀地加工有,由多晶矽所成之半導體層2,在此 半導體層2·上,以通道領域3爲中心,鄰接於通道領域3 地形成有,低濃度地不純物控制之高電阻漏極領域4及高 電阻源極領域5,又再鄰接於這些地分別形成有,高濃度 地被不純物控制之低電阻漏極領域6及低電阻源極領域7 〇 又以被覆半導體層2狀地形成有由氧化矽所形成之閘 極絕緣膜8,在此閘極絕緣膜8上,形成有該第1導電膜 之由鋁,鉬,鎢合金,鈦或組等所成之閘極電極9,以及 與閘極電極9成一體之不圖示之閘極配線。又以被覆閘極 絕緣膜8及閘極電極9狀地,形成有由氧化矽等所成之層 間絕緣膜1 0。又這些閘極絕緣膜8及層間絕緣膜1 0之 低電阻漏極領域6與低電阻源極領域7之上方,形成有接 t 觸孔 1 1,1 2。 1 又在層間絕緣膜1 0上之一部份形成有用做氧化物導 電膜之I TO (銦錫氧化物)等所形成之畫素電極1 3。 再在層間絕緣膜1 〇之上面形成有,用做第2導電膜 (?§先閲請背西之注意事項再填本頁) 6. 訂 -ΦΙ. 本紙張尺度適用中國國家標枣(CNS ) Λ4規格(2丨0X297公釐) .15 - 好-·部中次標卑局si消於合竹社印製 A7 ___^_B7 ' 1 五、發明説明(13 ) 之鋁,鉬,鈦等所成之源極電極1 4及漏極電極1 5及連 接於漏極電極1 5之不圖示之訊號配線,源極電極1 4即 介著接觸孔11而連接於低電阻源極領域7及畫素電極 1 3,漏極電極1 5即介著接觸孔1 2而連接於低電阻漏 極領域6。 又將畫素電極13之上方及不圖示之外部電氣電路之 連接領域予以開口,以被覆層間絕緣膜1 0及源極電極 14,漏極電極1 5狀地形成有第1保護絕緣膜18與第 2保護絕緣膜1 6之疊層體,其中之第1保護絕緣膜1 8 乃對於畫素電極13(氧化物導電膜)而不發生還原作用 之環境之等離子CVD法而形成。 又第2保護絕緣膜1 6即在第1矽氮化膜上,以該第 1膜形成條件相比較,而其成膜速度之變快之第2膜形成 條件下以等離子C V D法所形成。薄膜電晶體基板係如上 述地被構成。 下面參照圖4乃至圖9說明上述說明之薄膜電晶體基 板之製造過程。 首先在玻璃基板1之一主面上,以等離子CVD法成 膜厚度3 0 nm及至1 0 0 nm之非晶質矽膜。接著以受 激準分子激光器退火法或爐內加熱之退火法,使非晶質予 以結晶化形成多晶矽膜,以光蝕過程而將;此多^矽膜加工 成島狀,形成半導體層2。接著以被覆此半導體層2地以 等離子CVD法或熱CVD法形成厚度50nm乃至 5 0 0 nm之閘極絕緣膜8。 本紙張尺度適用中國囤家榡隼((:奶)八4規格(2丨0><297公楚) _ 15 - (誚先閱讀背面之注意事項再填荈本頁) 、-° 經?;p,部中决^準扃员工消费合作社印製 A7 ________ B7 、 1 五、發明説明(14 ) 而後,如圖4所示,以濺射法而成膜厚度1 0 0 nm 乃至5 0 〇 nm之鉬鎢合金,以光鈾過程而形成用做第1 導電膜之閘極電極9及連接於該閘極電極9之不圖示之閘 極配線。 接著如圖5所示,於不據於閜極電極9下方位置之半 導體層2之部份,藉由閘極電極9爲屏蔽(mask )之離子 注入法而以低滲雜量地注入磷或硼等之不純物,分別形成 磷或硼等之以低濃度不純物控制而成之高電阻漏極領域4 及高電阻源極領域5。又以此過程而在閘極電極9之下方 之半導體層2乃被形成爲通常領域3。 接著如圖6所示,以光蝕過程以被覆閘極電極9,及 鄰接於通道領域3之高電阻漏極領域4及高電阻源極領域 5之部份之上方之閘極絕緣膜8地,形成抗蝕劑圖樣2 4 。於是以此抗蝕劑圖樣爲屏蔽,以離子注入量而高滲雜量 地注入磷或硼,分別形成磷或硼等之高濃度不純物控制之 低電阻漏極領域6及低電阻源極領域7 接著如圖7所示,去除抗触劑圖樣2 4之後,以等離 子C V D法等以被覆閘極電極9及閘極絕緣膜8狀地形成 厚度1 0 0 nm乃至8 0 0 nm之層間絕緣膜1 0,又在 低電阻漏極領域6與低電阻源極領域7上之閘極絕緣膜8 及層間絕緣膜1 0上,藉光蝕過程來形成接觸1 1, 1 2。並且以濺射法來成膜厚度1 0 nm乃至2 0 0 nm 之I TO膜供氧化物導電膜之用。而在層間絕緣膜1 〇上 之一部份形成畫素電極1 3。. (价先閲绩背而之注意事項再填{¾本頁) •Φ 訂 Φ-. 本紙張尺度珀用中國國家標準(CNS ) Λ4規格(210Χ 297公釐) _ 17 - ' 經濟部屮泱枵潭局负工消贽告:^社印來 A7 B7 五、發明説明(15 ) 接著如圖8所示,以濺射法而在層間絕緣膜1 〇上, 以厚度1 0 0 nm乃至8 0 0 nm來成膜用做第2導電膜 之鋁,鉬,鈦或這些之疊層膜,形成連接於源極電極1 4 及漏極電極15及連接於此漏極電極15之不圖示之訊號 配線。·又源極電極1 4乃介著接觸孔1 1連接於低電阻源 極領域7及畫素電極1 3、漏極電極1 5即介著接觸孔 1 2而連接於低電阻漏極領域6。 接著,如圖9所示,以不發生還原作用之環境之以 S i H4氣體及N2氣體爲原料氣體系之等離子CVD法, 以1 0 nm乃至1 0 nm之厚度地成膜矽氮化膜,做爲接 觸於畫素電極1 3之第1保護絕緣膜。然後,以S i H4氣 體及NH3氣體爲主原料氣體系之等離子CVD法,以 1 0 0 nm乃至6 0 0 nm之厚度疊層矽氮化膜1 6做爲 第2保護絕緣膜。 下面對於該保護絕緣膜係矽氮化膜時之形成過程再做 詳細說明。 構成第1保護絕緣膜1 8之矽氮化膜得於以S i H4氣 體及N2氣體爲原料氣體之等離子C VD法來形成。此時由 於原料氣體系之等離子環境係對於I TO不具有還原性, 因此以5 0 °以上,特別是2 8 0 °C以上之成膜基板溫度 \ ψ 也可以使矽氮化膜在I T 0上不發生異常生長地可以安定 的堆積成良質之保護絕緣膜之用之矽氮化膜。 矽氮化膜成膜時之S i H4氣體與1^2氣體之混合比例 乃,N2/S i H4爲2 0以上爲宜實用上N2/S i H4 = 本紙張尺度適川中國园家標準(CNS ) Λ4規格(210X297公t ) (請先閲讀背面之注意事項再填寫本頁) —ο.
-*tT 經#•部中决掠準局β工消贤合竹社印裝 A7 B7 五、發明説明(16 ) 50〜300爲宜。 在此氣體混合率之範圍時,矽氮化膜之波長630 nm附近之屈折率爲1 . 8〜1 . 9 5程度,與一般之矽 氮化膜相比較同樣之値。又關於鈉鉀離子等之活動離子或 水份等有顯著劣化T F T之特性或可靠性之不純物等之阻 止能力也與習用者同樣。 關於投入之RF電源(高頻電源)即1^[2與通常所用之 NH3之矽氮化效率低,因此使用S i H4氣體及N2氣體 來形成矽氮·化膜時即令RF頻數由通常使用於S i H4氣體 及NH3氣體時一般使用之13 . 56MHz,提高到 17 . 12MHz等之設法提高等離子密度爲宜。 構成第2保護絕緣膜1 6之矽氮化膜得以S i H4氣體 及NH3氣體爲原料系之等離子CVD法來形成。此時RF 頻數可使用1 3 . 56MHz。第2保護絕緣膜1 6即由 第1保護絕緣膜1 8之介在不會與氧化物導電膜1 3直接 接觸,所以在2 5 0 °C,特別是2 8 0 °C以上之成膜基板 溫度也不致於使矽氮化膜異常生長,安定的可以堆積良質 之保護絕緣膜用之矽氮化膜也。 在此圖9所示之薄膜電晶體基板上,適用矽氮化膜 1 8及矽氮化膜1 6之疊層體做爲保護絕緣膜之利點係如 下。 即如上述N 2較N Η 3對於矽之氮化效率低,所以使 1^113與]^2之成膜氣體流量爲同程度,所以S i Η4氣體 與^2氣體爲原料之矽氮化膜之成膜中,須要降低S i H4 (济先閲讀背面之注意事項再填寫本頁) 丁 本紙張尺度適用中國國家標率() Λ4規格(210X 297公釐) _ 19 - ‘部中次掠準局消贽合竹社印黧 A7 ______B7__ 1 五、發明説明(17 ) 之氣體流量,換言之成膜速度會變慢,於是對於用做畫素 電極之I TO不產生還原作用之s i H4氣體及N2氣體來 實施矽氮化膜,並以S i H4氣體及NH3氣體製成之矽氮 化膜予以疊層,以資防止由於成膜速度變慢所致之生產之 節拍性,及處理速度之降低。 再者,在於蝕刻加工法上亦可以採用通常對於矽氮化 膜所用之同一方法,並且第1之保護絕緣膜係藉N2而將 s i Η 4予以氮化以資形成氮化膜,所以與以N Η 3來氮化 所獲得之矽氮化膜者相比較時有其含有氫濃度少之傾向。 在於較第2保護絕緣膜靠基板側地形成有第1保護絕緣膜 之緣故,以C F、氣體及〇2氣體,或S F6氣體及〇2氣體 之反應性離子蝕刻時,具有不容易發生主要由S i ,N, Η,F,C之化合物所成之蝕刻殘渣之利點。 上面所說明之實施形態乃該氧化物導電膜乃例如直接 地被覆例如由I Τ 0所成之畫素電極之至少一部份之絕緣 膜係保護絕緣膜爲例,惟本發明並不侷限於它,例如直接 被覆以氧化物導電膜而以I Τ 0所成之畫素電極之至少一 部份之絕緣膜乃,閘極電極或層間絕緣膜亦可以。這些絕 緣膜乃以對於氧化物導電膜不產生還原作用之環境中所形 成,而使這些絕緣膜之形成時不引起半球狀,圓頂狀之突 起等之異常生長,即合乎本發明之主旨/ 又氧化物導電膜不限於I TO,(銦鍚氧化物),氧 化銦,氧化錫之至少其中之一亦可。 又薄膜電晶體基板乃具有單晶矽,多晶矽以及非晶質 本紙張尺度適用中國國家標準(〇^)八4規格(210父297公釐) -20 - (.請先閱讀背面之注意事項再^¾本頁) —ix------©-- A7 B7 五、發明説明(18 ) 矽之其中之一之半導體做爲通道領域之薄膜電晶體者即可 。其薄膜電晶體之構造乃以一般分類之交錯型(stagger type),共面(copLanar)型,或平面(Planar)型,任一 型均可以。 圖式之簡單說明 第1圖表示習用之薄膜電晶體基板之一例之圖。 第2圖表示習用之薄膜電晶體基板之一例之保護絕緣 膜之異常成長之例之圖。 第3圖表示習用之薄膜電晶體基板之一例之保護絕緣 膜之異常成長之例之圖。 第4圖表示本發明之電晶體基板之一例之形成過程之 圖。 第5圖表示本發明之電晶體基板之一例之形成過程之 圖。 第6圖表示本發明之電晶體基板之一例之形成過程之 圖。 第7圖表示本發明之電晶體基板之一例之形成過程之 圖。 第8圖表示本發明之電晶體基板之一例之形成過程之 圖。 f 第9圖表示本發明之電晶體基板之一例之構成之圖。 標號之說明 請— 先、 -閲 請 背 r& · ,& 項 再 填 本 頁 本纸張尺度適州中國囤家標羋(C7NS ) Λ4規格(2丨0X297公簏) _ 21 - A7 B7 五、發明説明(19 ) 1 :玻璃基板 2 :半導體層 3 :通道領域 4 :高電阻漏極領域 5 :高電阻源極領域 6 :低電阻漏極領域 7 :低電阻源極領域 8:閘極絕緣膜 9 :閘極電極 ' 1 0 :層間絕緣膜 1 1 :接觸孔(低電阻漏極領域〜源極電極) 1 2 :接觸孔(低電阻源極領域〜漏極電極) 1 3 :畫素電極(氧化物導電膜) 1 4 :源極電極 1 5 :漏極電極 1 6 :第2保護絕緣膜(氮化矽膜) 1 8 :第1保護絕緣膜(氮化矽膜) 2 4 :抗蝕劑圖樣 (請先鬩讀背面之注意事項再填寫本頁) 、-口 經M部屮次摞準局負工消资合竹社印54 本纸張尺度適用中國國家標準(CNS ) Λ4規格(210X 297公釐) -22 -

Claims (1)

  1. 衣 告 公 ABCD 經濟部中央標隼局員工消費合作社印製 六、申請專利範園 1. —種附有導電膜之基板之製造方法,具備有: 在基板上形成氧化物導電膜之過程,及 在設置該氧化物導電膜之基板上,以包含對於該氧化 物導電膜而適用非還原性(reducible )之第1原料氣體( raw g‘as )之第1之膜形成條件下形成第1之矽氮化膜之過 程,及 在該第1之矽氮化膜上以較該第1之膜形成條件而該 成膜速度會變快之第2之膜形成條件下形成第2之矽氮化 膜之過程而構成爲其特徵者。 2. 如申請專利範圍第1項所述之附有導電膜之基板 之製造方法,其中上述第1之原料氣體係含有S i H4氣體 及N 2氣體者。 3 .如申請專利範圍第2項所述之附有導電膜之基板 之製造方法,其中上述S i H4氣體與N 2氣體之流量比 N2/S i H4乃以可滿足 5 〇SN2/S i Hags 0 0 所 表示關係。 4 .如申請專利範圍第1項所述之附有導電膜之基板 之製造方法,其中上述第2膜形成條件乃適用含有S i H4 氣體及NΗ3氣體之第2原料氣體者。 5 .如申請專利範圍第1項所述之附有導電膜之基板 之製造方法,其中在上述第1及第2膜形成乃t用等離子 C V D 〇 6 .如申請專利範圍第5項所述之附有導電膜之基板 之製造方法,其中上述第1膜形成條件之等離子密度係較 本紙張尺度適用中國ίΐ標準(CNS ) A4規格(210X297公釐) -23 - (請先閲請背面之注意事項再填寫本頁) —訂 ΙΦ--------I 經濟部中央擦準局ίΛ工消費合作社印製 A8 21 ., . _ . D8 六、申請專利範圍 上述第2膜形成條件之等離子密度高者。 7 ·如申請專利範圍第5項所述之附有導電膜之基板 之製造方法,其中上述第1膜形成條件之等離子產生源之 電源頻數乃較上述第2膜形成條件之等離子產生源之電源 頻數爲高者。 8 .如申請專利範圍第1項所述之附有導電膜之基板 之製造方法,其中上述第1膜形成條件中,成膜溫度係 280乃至450 t:者。 9 .如申請專利範圍第8項所述之附有導電膜之基板 之製造方法,其中上述成膜溫度係3 0 0乃至4 5 0。(:。 1 0 .如申請專利範圍第1項所述之附有導電膜之基 板之製造方法,其中上述氧化物導電膜乃由氧化銦鉛,氧 化銦,及氧化鉛所成之群中所選擇之至少一種所成者。 1 1 . 一種附有導電膜之基板,具備有: 形成於基板上之複數之開關元件,及 形成於基板上分別連接於上述複數之開關元件之複數 之氧化物導電膜,及 至少形成於上述氧化物導電膜之一部份及上述開關元 件上之第1矽氮化膜,及 疊層於上述第1矽氮化膜上,而含有較該第1矽氮化 膜低之氫含有率之第2矽氮化物而構成爲其特[者。 1 2 .如申請專利範圍第1 1項所述之附有導電膜之 基板之製造方法,其中上述第1矽氮化膜係具有1 〇 nm 乃至1 0 0 nm之厚度者, 本紙張尺度適用中國國家標準(CNS ) Α4規格(210X297公釐) {請先閲婧背面之注意事項再填寫本頁) IJ—--------------------<1----- A8 B8 C8 D8 、申請專利範圍 13.如申請專利範圍第11項所述之附有導電膜之 基板之製造方法,其中上述氧化物導電膜係由氧化銦鉛, 氧化銦’及氣化鉛所成之群中所選之其中一種者。 1 4 .如申請專利範圍第丨1項所述之附有導電膜之 基板之製造方法,其中上述第1及第2矽氮化膜係由等離 子C V D所形成。 15·如申請專利範圍第14項所述之附有導電膜之 基板之製造方法,其中上述第1矽氧化膜係適用含有 S i H4氣體及n2氣體之第1原料氣體所形成,上述第2 矽氮化物即適用含有S i H4氣體及NH3氣體之第1原料 氣體者。 1 6 .如申請專利範圍第1 4項所述之附有導電膜之 基板之製造方法,其中上述第1及第2矽氮化膜之形成係 在2 8 0°乃至4 5 0 °C之成膜溫度來實施。 1 7 .如申請專利範圍第1 5項所述之附有導電膜之 基板之製造方法,其中上述S i H4氣體與N2氣體之流量 比 N2/S iH4乃可滿足,以 50SN2/S iH4$ 3 0 0所表示之關係。 1 8 .如申請專利範圍第1 5項所述之附有導電膜之 基板之製造方法,其中上述第1矽氮化膜乃以較上述第2 矽氮化膜形成時之等離子密度高之等離子密度土形成者。 1 9 .如申請專利範圍第1 5項所述之附有導電膜之 基板,其中上述第1矽氮化膜係以較上述第2矽氮化膜形 成時之等離子產生源之電源之頻數爲高之頻數來形成者。 本紙張尺度適用中國國家標隼(CNS > A4規格(210X297公釐) 請 先 •閲 背 '-面 之 注 意 幸 項 再 填 ΓΛ I ^ 頁 訂 I 經濟部中央標準局員工消費合作社印製 A8 B8 C8 D8 SBjaS1 、申請專利範圍 2 Ο .如申請專利範圍第1 4項所述之附有導電膜之 基板,其中上述第1矽氮化膜之形成乃在於300°乃至 4 5 0 °C之成膜溫度來實施。 請 先 '閎 讀 背 涵 之 注 意 事 項 再 填 寫 本 W 經濟部中央標隼局員工消費合作社印製 r 本紙張尺度適用中國國家標準(CNS ) A4規格(2I0X297公釐)
TW087115790A 1997-09-25 1998-09-22 Substrate with conductive films and manufacturing method thereof TW381187B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP25989597 1997-09-25

Publications (1)

Publication Number Publication Date
TW381187B true TW381187B (en) 2000-02-01

Family

ID=17340438

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087115790A TW381187B (en) 1997-09-25 1998-09-22 Substrate with conductive films and manufacturing method thereof

Country Status (3)

Country Link
US (1) US6130119A (zh)
KR (1) KR100423162B1 (zh)
TW (1) TW381187B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8052471B1 (en) 2010-07-24 2011-11-08 Hon Hai Precision Ind. Co., Ltd. Electrical connector with improved housings for being assembled conveniently
US9236496B2 (en) 2011-03-11 2016-01-12 Sharp Kabushiki Kaisha Thin film transistor and display device

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6222271B1 (en) * 1997-07-15 2001-04-24 Micron Technology, Inc. Method of using hydrogen gas in sputter deposition of aluminum-containing films and aluminum-containing films derived therefrom
US5969423A (en) * 1997-07-15 1999-10-19 Micron Technology, Inc. Aluminum-containing films derived from using hydrogen and oxygen gas in sputter deposition
JP2001035808A (ja) * 1999-07-22 2001-02-09 Semiconductor Energy Lab Co Ltd 配線およびその作製方法、この配線を備えた半導体装置、ドライエッチング方法
JP2001196594A (ja) * 1999-08-31 2001-07-19 Fujitsu Ltd 薄膜トランジスタ、液晶表示用基板及びその製造方法
TWI224806B (en) * 2000-05-12 2004-12-01 Semiconductor Energy Lab Semiconductor device and manufacturing method thereof
JP3700674B2 (ja) * 2002-05-02 2005-09-28 セイコーエプソン株式会社 電気光学装置及び電子機器
TWI272556B (en) 2002-05-13 2007-02-01 Semiconductor Energy Lab Display device
US7238963B2 (en) * 2003-04-28 2007-07-03 Tpo Displays Corp. Self-aligned LDD thin-film transistor and method of fabricating the same
US7145209B2 (en) * 2003-05-20 2006-12-05 Tpo Displays Corp. Thin film transistor and fabrication method thereof
US20050074914A1 (en) * 2003-10-06 2005-04-07 Toppoly Optoelectronics Corp. Semiconductor device and method of fabrication the same
JP4408713B2 (ja) * 2004-02-03 2010-02-03 Necエレクトロニクス株式会社 半導体装置の製造方法
US20050258488A1 (en) * 2004-04-27 2005-11-24 Toppoly Optoelectronics Corp. Serially connected thin film transistors and fabrication methods thereof
KR100626007B1 (ko) * 2004-06-30 2006-09-20 삼성에스디아이 주식회사 박막 트랜지스터, 상기 박막 트랜지스터의 제조방법, 이박막 트랜지스터를 구비한 평판표시장치, 및 이평판표시장치의 제조방법
US7033902B2 (en) * 2004-09-23 2006-04-25 Toppoly Optoelectronics Corp. Method for making thin film transistors with lightly doped regions
KR101222986B1 (ko) 2006-12-28 2013-01-17 엘지디스플레이 주식회사 박막트랜지스터 기판의 제조방법
US8110453B2 (en) * 2008-04-17 2012-02-07 Applied Materials, Inc. Low temperature thin film transistor process, device property, and device stability improvement
WO2013168624A1 (en) 2012-05-10 2013-11-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US10338446B2 (en) * 2014-12-16 2019-07-02 Sharp Kabushiki Kaisha Semiconductor device having low resistance source and drain regions
JP7250558B2 (ja) * 2019-02-19 2023-04-03 株式会社ジャパンディスプレイ 表示装置及び半導体装置

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
FR2631743A1 (fr) * 1988-05-23 1989-11-24 Gen Electric Structure a electrodes non coplanaires pour affichage matriciel a cristaux liquides a transistors en couches minces de silicium amorphe et procede de fabrication
US5243202A (en) * 1990-04-25 1993-09-07 Casio Computer Co., Ltd. Thin-film transistor and a liquid crystal matrix display device using thin-film transistors of this type
US5367179A (en) * 1990-04-25 1994-11-22 Casio Computer Co., Ltd. Thin-film transistor having electrodes made of aluminum, and an active matrix panel using same
WO1995003629A1 (fr) * 1993-07-26 1995-02-02 Seiko Epson Corporation Dispositif semi-conducteur a film mince, sa fabrication et son systeme d'affichage
JP2776726B2 (ja) * 1993-09-21 1998-07-16 日本電気株式会社 半導体装置の製造方法
JP3132310B2 (ja) * 1994-11-18 2001-02-05 株式会社日立製作所 アクティブマトリクス型液晶表示装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8052471B1 (en) 2010-07-24 2011-11-08 Hon Hai Precision Ind. Co., Ltd. Electrical connector with improved housings for being assembled conveniently
US9236496B2 (en) 2011-03-11 2016-01-12 Sharp Kabushiki Kaisha Thin film transistor and display device

Also Published As

Publication number Publication date
KR19990030140A (ko) 1999-04-26
KR100423162B1 (ko) 2004-06-30
US6130119A (en) 2000-10-10

Similar Documents

Publication Publication Date Title
TW381187B (en) Substrate with conductive films and manufacturing method thereof
KR100191091B1 (ko) 박막 반도체 장치와 그 제조방법
TW473633B (en) Process of producing thin film transistor
JP2000077658A (ja) 半導体装置の製造方法
KR20010076401A (ko) 반도체 소자 및 도전성 구조를 형성하기 위한 공정
US6607949B2 (en) Method for fabricating polysilicon thin film transistor with improved electrical characteristics
US6541323B2 (en) Method for fabricating polysilicon thin film transistor
US5808316A (en) Microcrystal silicon thin film transistor
US5834796A (en) Amorphous silicon thin film transistor and method of preparing same
US5733793A (en) Process formation of a thin film transistor
KR20000072230A (ko) 액정디스플레이용 비정질 실리콘 박막 트랜지스터 제조 방법
US20020055211A1 (en) Bottom gate thin film transistor, method of producing the transistor, and display device
KR100317640B1 (ko) 박막 트랜지스터 및 그 제조방법
JP3134336B2 (ja) 半導体装置の製造方法
JPH10223893A (ja) シリサイド層形成方法および半導体集積回路
JPH11163368A (ja) 導電膜付き基板及びその製造方法
JP2740275B2 (ja) 薄膜トランジスタ
JP3325664B2 (ja) 薄膜トランジスタ及びその製造方法
KR100203910B1 (ko) 모스 전계 효과 트랜지스터의 제조방법
KR100460065B1 (ko) 반도체소자의 비트라인 형성 방법
KR100304551B1 (ko) 박막트랜지스터제조방법
KR101201316B1 (ko) 버퍼절연막과 이를 구비한 반도체소자 및 반도체소자의제조방법
CN100399507C (zh) 多晶硅的制造方法
JPH07321106A (ja) 酸化シリコン薄膜の改質方法および薄膜トランジスタの製造方法
KR100303710B1 (ko) 비정질실리콘박막트랜지스터와액정표시소자구조및제조방법

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent