TW202230623A - 接點插塞 - Google Patents
接點插塞 Download PDFInfo
- Publication number
- TW202230623A TW202230623A TW111113684A TW111113684A TW202230623A TW 202230623 A TW202230623 A TW 202230623A TW 111113684 A TW111113684 A TW 111113684A TW 111113684 A TW111113684 A TW 111113684A TW 202230623 A TW202230623 A TW 202230623A
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- source
- dielectric
- metal
- dielectric layer
- Prior art date
Links
- 229910052751 metal Inorganic materials 0.000 claims abstract description 143
- 239000002184 metal Substances 0.000 claims abstract description 143
- 229910021332 silicide Inorganic materials 0.000 claims abstract description 42
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 claims abstract description 42
- 150000004767 nitrides Chemical class 0.000 claims abstract description 33
- 239000004065 semiconductor Substances 0.000 claims abstract description 30
- 238000000034 method Methods 0.000 claims description 92
- 230000004888 barrier function Effects 0.000 claims description 56
- 238000000151 deposition Methods 0.000 claims description 23
- 238000000137 annealing Methods 0.000 claims description 17
- 229910052732 germanium Inorganic materials 0.000 claims description 13
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 13
- 238000002513 implantation Methods 0.000 claims description 11
- 230000008021 deposition Effects 0.000 claims description 10
- 229910021341 titanium silicide Inorganic materials 0.000 claims description 5
- 238000005530 etching Methods 0.000 claims description 4
- 239000010410 layer Substances 0.000 description 275
- 230000008569 process Effects 0.000 description 38
- 239000007789 gas Substances 0.000 description 25
- 229910052710 silicon Inorganic materials 0.000 description 17
- 239000010703 silicon Substances 0.000 description 17
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 16
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 16
- 239000000758 substrate Substances 0.000 description 15
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 14
- 229910017052 cobalt Inorganic materials 0.000 description 14
- 239000010941 cobalt Substances 0.000 description 14
- 239000000463 material Substances 0.000 description 14
- 229910052581 Si3N4 Inorganic materials 0.000 description 13
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 13
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 12
- 239000010936 titanium Substances 0.000 description 12
- 229910052814 silicon oxide Inorganic materials 0.000 description 11
- 238000000231 atomic layer deposition Methods 0.000 description 10
- 238000002955 isolation Methods 0.000 description 10
- 238000004519 manufacturing process Methods 0.000 description 10
- 239000000203 mixture Substances 0.000 description 10
- 238000001020 plasma etching Methods 0.000 description 9
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 8
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 8
- 239000000460 chlorine Substances 0.000 description 8
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 8
- 229910052719 titanium Inorganic materials 0.000 description 8
- 230000008859 change Effects 0.000 description 6
- 239000010949 copper Substances 0.000 description 6
- 229910052759 nickel Inorganic materials 0.000 description 6
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 6
- 229910052721 tungsten Inorganic materials 0.000 description 6
- 239000010937 tungsten Substances 0.000 description 6
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 5
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 5
- 239000003989 dielectric material Substances 0.000 description 5
- 238000005516 engineering process Methods 0.000 description 5
- 230000001965 increasing effect Effects 0.000 description 5
- 239000011229 interlayer Substances 0.000 description 5
- 229910052715 tantalum Inorganic materials 0.000 description 5
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 5
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 4
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 4
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 4
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 4
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 4
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 4
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- 239000005380 borophosphosilicate glass Substances 0.000 description 4
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 4
- 229910052794 bromium Inorganic materials 0.000 description 4
- DIKBFYAXUHHXCS-UHFFFAOYSA-N bromoform Chemical compound BrC(Br)Br DIKBFYAXUHHXCS-UHFFFAOYSA-N 0.000 description 4
- 238000006243 chemical reaction Methods 0.000 description 4
- 229910052801 chlorine Inorganic materials 0.000 description 4
- 239000004020 conductor Substances 0.000 description 4
- 229910052802 copper Inorganic materials 0.000 description 4
- 238000005520 cutting process Methods 0.000 description 4
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 4
- 229910052731 fluorine Inorganic materials 0.000 description 4
- 239000011737 fluorine Substances 0.000 description 4
- 239000005350 fused silica glass Substances 0.000 description 4
- 229910052740 iodine Inorganic materials 0.000 description 4
- 239000011630 iodine Substances 0.000 description 4
- 239000005360 phosphosilicate glass Substances 0.000 description 4
- 229920002120 photoresistant polymer Polymers 0.000 description 4
- -1 silicon halide Chemical class 0.000 description 4
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 4
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 4
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 3
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 3
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 3
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 3
- RVSGESPTHDDNTH-UHFFFAOYSA-N alumane;tantalum Chemical compound [AlH3].[Ta] RVSGESPTHDDNTH-UHFFFAOYSA-N 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 239000007943 implant Substances 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 3
- 229910052707 ruthenium Inorganic materials 0.000 description 3
- 239000005368 silicate glass Substances 0.000 description 3
- 125000006850 spacer group Chemical group 0.000 description 3
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 2
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 2
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 2
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 2
- 125000005843 halogen group Chemical group 0.000 description 2
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 230000000670 limiting effect Effects 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 230000000873 masking effect Effects 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 2
- 229910052750 molybdenum Inorganic materials 0.000 description 2
- 239000011733 molybdenum Substances 0.000 description 2
- 239000002070 nanowire Substances 0.000 description 2
- 229910021334 nickel silicide Inorganic materials 0.000 description 2
- RUFLMLWJRZAWLJ-UHFFFAOYSA-N nickel silicide Chemical compound [Ni]=[Si]=[Ni] RUFLMLWJRZAWLJ-UHFFFAOYSA-N 0.000 description 2
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 2
- 230000036961 partial effect Effects 0.000 description 2
- 239000002243 precursor Substances 0.000 description 2
- 238000004151 rapid thermal annealing Methods 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 229910003468 tantalcarbide Inorganic materials 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- 125000000383 tetramethylene group Chemical group [H]C([H])([*:1])C([H])([H])C([H])([H])C([H])([H])[*:2] 0.000 description 2
- WQJQOUPTWCFRMM-UHFFFAOYSA-N tungsten disilicide Chemical compound [Si]#[W]#[Si] WQJQOUPTWCFRMM-UHFFFAOYSA-N 0.000 description 2
- 229910021342 tungsten silicide Inorganic materials 0.000 description 2
- 238000000927 vapour-phase epitaxy Methods 0.000 description 2
- 229910001928 zirconium oxide Inorganic materials 0.000 description 2
- XTFIVUDBNACUBN-UHFFFAOYSA-N 1,3,5-trinitro-1,3,5-triazinane Chemical compound [O-][N+](=O)N1CN([N+]([O-])=O)CN([N+]([O-])=O)C1 XTFIVUDBNACUBN-UHFFFAOYSA-N 0.000 description 1
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- 229910015844 BCl3 Inorganic materials 0.000 description 1
- 229910005540 GaP Inorganic materials 0.000 description 1
- GEIAQOFPUVMAGM-UHFFFAOYSA-N Oxozirconium Chemical compound [Zr]=O GEIAQOFPUVMAGM-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 229910002367 SrTiO Inorganic materials 0.000 description 1
- 229910010252 TiO3 Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 1
- 229910006501 ZrSiO Inorganic materials 0.000 description 1
- OBZUDFAHIZFVHI-UHFFFAOYSA-N [La].[Si]=O Chemical compound [La].[Si]=O OBZUDFAHIZFVHI-UHFFFAOYSA-N 0.000 description 1
- DBOSVWZVMLOAEU-UHFFFAOYSA-N [O-2].[Hf+4].[La+3] Chemical compound [O-2].[Hf+4].[La+3] DBOSVWZVMLOAEU-UHFFFAOYSA-N 0.000 description 1
- FAUIDPFKEVQLLR-UHFFFAOYSA-N [O-2].[Zr+4].[Si+4].[O-2].[O-2].[O-2] Chemical compound [O-2].[Zr+4].[Si+4].[O-2].[O-2].[O-2] FAUIDPFKEVQLLR-UHFFFAOYSA-N 0.000 description 1
- ILCYGSITMBHYNK-UHFFFAOYSA-N [Si]=O.[Hf] Chemical compound [Si]=O.[Hf] ILCYGSITMBHYNK-UHFFFAOYSA-N 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 229910052787 antimony Inorganic materials 0.000 description 1
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- CIXQIEOCFNVARN-UHFFFAOYSA-N cobalt(2+);5-ethylcyclopenta-1,3-diene Chemical compound [Co+2].CC[C-]1C=CC=C1.CC[C-]1C=CC=C1 CIXQIEOCFNVARN-UHFFFAOYSA-N 0.000 description 1
- ILZSSCVGGYJLOG-UHFFFAOYSA-N cobaltocene Chemical compound [Co+2].C=1C=C[CH-]C=1.C=1C=C[CH-]C=1 ILZSSCVGGYJLOG-UHFFFAOYSA-N 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 238000007772 electroless plating Methods 0.000 description 1
- 230000002708 enhancing effect Effects 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- 230000009969 flowable effect Effects 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- ZQXQADNTSSMHJI-UHFFFAOYSA-N hafnium(4+) oxygen(2-) tantalum(5+) Chemical compound [O-2].[Ta+5].[Hf+4] ZQXQADNTSSMHJI-UHFFFAOYSA-N 0.000 description 1
- KQHQLIAOAVMAOW-UHFFFAOYSA-N hafnium(4+) oxygen(2-) zirconium(4+) Chemical compound [O--].[O--].[O--].[O--].[Zr+4].[Hf+4] KQHQLIAOAVMAOW-UHFFFAOYSA-N 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- KUVFGOLWQIXGBP-UHFFFAOYSA-N hafnium(4+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[O-2].[Ti+4].[Hf+4] KUVFGOLWQIXGBP-UHFFFAOYSA-N 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 229910000167 hafnon Inorganic materials 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 1
- 238000005224 laser annealing Methods 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- 239000002086 nanomaterial Substances 0.000 description 1
- 239000002135 nanosheet Substances 0.000 description 1
- 125000004433 nitrogen atom Chemical group N* 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 230000002829 reductive effect Effects 0.000 description 1
- 239000003870 refractory metal Substances 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical class [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 239000012686 silicon precursor Substances 0.000 description 1
- HWEYZGSCHQNNEH-UHFFFAOYSA-N silicon tantalum Chemical compound [Si].[Ta] HWEYZGSCHQNNEH-UHFFFAOYSA-N 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 239000002002 slurry Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 239000004575 stone Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 description 1
- 238000009736 wetting Methods 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823475—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76805—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/0886—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28518—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76804—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76816—Aspects relating to the layout of the pattern or to the size of vias or trenches
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76835—Combinations of two or more different dielectric layers having a low dielectric constant
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76846—Layer combinations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/7685—Barrier, adhesion or liner layers the layer covering a conductive structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76855—After-treatment introducing at least one additional element into the layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76865—Selective removal of parts of the layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823431—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/482—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
- H01L23/485—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Electrodes Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Connector Housings Or Holding Contact Members (AREA)
- Coupling Device And Connection With Printed Circuit (AREA)
Abstract
本揭露提供一半導體裝置之實施例。在一項實施例中,該半導體裝置包含:一閘極結構;一源極/汲極構件,其與該閘極結構相鄰;一第一介電質層,其在該源極/汲極構件上方;一蝕刻停止層,其在該閘極結構及該第一介電質層上方;一第二介電質層,其在該蝕刻停止層上方;一源極/汲極接點,其包含:一第一部分,其延伸穿過該第一介電質層,及一第二部分,其延伸穿過該蝕刻停止層及該第二介電質層;一金屬矽化物層,其經放置於該第二部分與該蝕刻停止層之間;及一金屬氮化物層,其經放置於該第一部分與該第一介電質層之間。
Description
本發明實施例係有關接點插塞。
半導體積體電路(IC)行業已經歷指數增長。IC材料及設計之技術進展已產生數代IC,其中各代具有比前一代更小且更複雜之電路。在IC演進之進程中,功能密度(即,每晶片面積之互連裝置之數目)通常增加,而幾何大小(即,可使用一製造程序產生之最小組件(或線))減小。此按比例縮小之程序通常藉由增加生產效率及降低相關聯成本來提供益處。此按比例縮小亦增加處理及製造IC之複雜性。
例如,隨著積體電路(IC)技術朝向更小技術節點發展,將源極/汲極串聯電阻控制於可容忍極限內變得更加困難。具體而言,銅插塞技術努力趕上苛刻的裝置效能。因此,雖然既有接點插塞結構對於其等預期目的通常係令人滿意的,但其等在所有態樣中皆不令人滿意。
本發明的一實施例揭露一種半導體裝置,其包括:一閘極結構;一源極/汲極構件,其與該閘極結構相鄰;一第一介電質層,其在該源極/汲極構件上方;一蝕刻停止層,其在該閘極結構及該第一介電質層上方;一第二介電質層,其在該蝕刻停止層上方;一源極/汲極接點,其包括:一第一部分,其延伸穿過該第一介電質層,及一第二部分,其延伸穿過該蝕刻停止層及該第二介電質層;一金屬矽化物層,其經放置於該第二部分與該蝕刻停止層之間;及一金屬氮化物層,其經放置於該第一部分與該第一介電質層之間。
本發明的一實施例揭露一種半導體結構,其包括:一源極/汲極構件;一第一介電質層,其在該源極/汲極構件上方;一第二介電質層,其在該第一介電質層上方;一源極/汲極接點,其包括:一第一部分,其延伸穿過該第一介電質層,及一第二部分,其延伸穿過該第二介電質層;一導電阻障層,其經放置於該第一部分與該第一介電質層之間;一介電阻障層,其經放置於該導電阻障層與該第一介電質層之間;及一金屬矽化物層,其經放置於該第二介電質層與該第二部分之間且與該第二介電質層及該第二部分接觸。
本發明的一實施例揭露一種方法,其包括:接納一工件,該工件包括:一閘極結構、與該閘極結構相鄰之一源極/汲極構件、在該源極/汲極構件上方之一底部蝕刻停止層、在該底部蝕刻停止層上方之一第一介電質層,及在該第一介電質層上方之一第二介電質層;穿過該底部蝕刻停止層、該第一介電質層及該第二介電質層形成一源極/汲極接點開口以暴露該源極/汲極構件;在該工件上方保形地沉積一介電阻障層;使該介電阻障層凹陷以暴露該工件之面向頂部表面;在該介電阻障層之該凹陷之後,在該工件上方保形地沉積一金屬層;在該金屬層之該保形沉積之後,對該工件執行一退火程序以形成一金屬氮化物層;回蝕該金屬氮化物層;及在該回蝕之後,在該金屬氮化物層上方形成一接點插塞。
以下揭示內容提供用於實施所提供標的物之不同特徵之諸多不同實施例或實例。下文描述組件及配置之特定實例以簡化本揭露。當然,此等僅僅係實例且並非意欲於限制性。例如,在以下描述中,在一第二構件上方或上形成一第一構件可包含其中第一構件及第二構件經形成為直接接觸之實施例,且亦可包含其中在第一構件與第二構件之間形成額外構件使得第一構件及第二構件可能不直接接觸之實施例。另外,本揭露可在各項實例中重複元件符號及/或字母。此重複係出於簡單及清楚之目的且本身並不指示所論述之各項實施例及/或組態之間的一關係。
為了便於描述,在本文中可使用諸如「在...下面」、「在...下方」、「下」、「在...上方」、「上」及類似物之空間相對術語以描述一個元件或構件與另一(些)元件或構件元件之關係,如附圖中所繪示。除附圖中所描述之定向之外,空間相對術語亦意欲於涵蓋使用或操作中裝置之不同定向。該設備可以其他方式定向(旋轉90度或呈其他定向)且據此可同樣地解釋本文中所使用之空間相對描述語。
此外,當用「約」、「近似」及類似物描述一數字或一數字範圍時,考量到在製造期間固有地產生之變動,該術語意欲於涵蓋在一合理範圍內之數字,如由一般技術者所理解。例如,基於與製造具有與該數字相關聯之一特性之一構件相關聯之已知製造容限,該數字或數字範圍涵蓋包含所描述數字之一合理範圍,諸如在所描述數字之+/-10%以內。例如,具有「約5 nm」之一厚度之一材料層可涵蓋自4.25 nm至5.75 nm之一尺寸範圍,其中一般技術者已知與沉積材料層相關聯之製造容限為+/-15%。又進一步,本揭露可在各項實例中重複元件符號及/或字母。此重複係出於簡單及清楚之目的且本身並不指示所論述之各項實施例及/或組態之間的一關係。
本揭露大體上係關於用於半導體裝置之接點結構,且更特定而言係關於源極/汲極接點結構。
隨著IC裝置發展至更小技術節點,將源極/汲極串聯電阻控制於可容忍極限內變得更加困難。歸因於由較小尺寸所致之電流密度增加,對較低電阻之需求提出一巨大挑戰。據估計,在當前技術中,串聯電阻可使飽和電流降級40%或更多。最重要的是,預期歸因於按比例調整之互連電阻之增加需要裝置接點之更低電阻值。為了在汲極電流中最大化高遷移率通道之益處,降低接點電阻變得重要。用作接點構件之材料之銅不太可能滿足苛刻的接點電阻裝置效能規格。
本揭露提供用於形成接點結構以改良源極/汲極區處之接點電阻(Rcsd)之方法。在一實例性實施例中,接納一工件。該工件包含:一閘極結構;一源極/汲極構件,其與該閘極結構相鄰;一第一介電質層,其在該源極/汲極構件上方;一蝕刻停止層,其在該第一介電質層上方;及一第二介電質層,其在該蝕刻停止層上方。穿過該第二介電質層、該蝕刻停止層及該第一介電質層形成一源極/汲極接點開口以暴露該源極/汲極構件。在該工件上方保形地沉積一介電阻障層且使該介電阻障層凹陷以暴露該源極/汲極構件。接著在該工件上方,包含在該經暴露之源極/汲極構件上沉積一金屬層。在使該工件退火以由該金屬層及該介電阻障層形成一金屬氮化物層之後,回蝕該金屬氮化物層直至該金屬氮化物層及該閘極結構之頂表面共面。該回蝕程序包含使用光子輔助佈植來佈植鍺及在該第二介電質層上形成矽化物層。接著在該源極/汲極接點開口中形成可包含鈷之一源極/汲極接點插塞。該源極/汲極接點插塞包含延伸穿過該第一介電質層之一第一部分與延伸穿過該蝕刻停止層及該第二介電質層之一第二部分。歸因於該金屬氮化物層之回蝕,該第二部分寬於該第一部分,此在該源極/汲極接點插塞上方形成一接點構件時改良金屬填充窗口且放寬疊對要求。
現在將參考圖更詳細地描述本揭露之各個態樣。就此而言,圖1係繪示根據本揭露之一或多個態樣之形成一半導體裝置之方法100之一流程圖。方法100僅僅係實例且並非意欲於將本揭露限制為方法100中所明確繪示者。可在方法100之前、期間及之後提供額外步驟,且可針對該方法之額外實施例替換、消除或移動一些所描述步驟。為了簡單起見,本文中未詳細地描述所有步驟。下文結合圖2至圖12描述方法100,圖2至圖12係根據方法100之實施例之在不同製造階段之一工件200之局部截面圖。因為在完成製造程序後將工件200製造成一半導體裝置200,所以工件200可按照內文要求稱為半導體裝置200。貫穿本揭露,除非另有預期,否則類似元件符號表示類似構件。
首先參考圖1及圖2,方法100包含方塊102,其中接納一工件200。如圖2中所展示,工件200包含一基板202及自基板202凸起之一鰭片結構204。工件200進一步包含藉由一隔離結構204而與相鄰主動區隔離之一主動區(其視圖被遮擋)。主動區沿著X方向縱向地延伸且被劃分成源極/汲極區202SD及通道區202C。圖2中展示一個源極/汲極區202SD及兩個通道區202C。在主動區之通道區202C以及隔離構件204上方形成一第一閘極結構208-1及一第二閘極結構208-2。一源極/汲極構件206經形成於主動區之源極/汲極區202SD上方且源極/汲極構件206之至少一部分延伸至隔離構件204中,如圖2中所展示。一閘極間隔件210沿著第一閘極結構208-1及第二閘極結構208-2之側壁放置。工件200亦包含放置於源極/汲極構件206以及第一閘極結構208-1及第二閘極結構208-2之側壁上方之一底部接觸蝕刻停止層(BCESL) 212。一第一層間介電質(ILD)層214經放置於BCESL 212上方。在圖2中所繪示之實施例中,工件200進一步包含在第一ILD層214、第一閘極結構208-1、第二閘極結構208-2上方之一蝕刻停止層(ESL) 218。一介電質層220經放置於ESL 218上方。在一些例項中,工件200可進一步包含將主動區與沿著X方向對準之一相鄰主動區分離之一介電質切割構件216。
基板202可為一矽(Si)基板。替代地或另外,基板202可包含諸如鍺(Ge)之其他基本半導體材料。在一些實施例中,基板202由一化合物半導體製成,諸如碳化矽、砷化鎵、砷化銦或磷化銦。在一些實施例中,基板202由一合金半導體製成,諸如矽鍺、碳化矽鍺、磷砷化鎵或磷化鎵銦。在一些實施例中,基板202包含一或多個磊晶層。例如,基板202可包含上覆於一體半導體之一磊晶層。在一些實施例中,基板202可包含一埋藏絕緣體層,諸如一埋藏氧化矽層,且可為一絕緣體上覆矽(SOI)基板。
第一閘極結構208-1、第二閘極結構208-2及源極/汲極構件206駐留於其上之主動區可包含一或多個鰭片元件或通道部件之一垂直堆疊。當主動區包含一或多個鰭片結構時,第一閘極結構208-1及第二閘極結構208-2係用於鰭片型場效電晶體(FinFET)之閘極結構。當主動區包含通道部件之一垂直堆疊時,第一閘極結構208-1及第二閘極結構208-2係用於多橋通道(MBC)電晶體之閘極結構。FinFET及MBC電晶體係多閘極裝置之實例,其等已經引入以藉由增加閘極-通道耦合、減小截止狀態電流及降低短通道效應(SCE)來改良閘極控制。關於MBC電晶體,因為其閘極結構環繞通道區,所以一MBC電晶體亦可稱為環繞式閘極電晶體(SGT)或全包覆式閘極(GAA)電晶體。一MBC電晶體之通道部件可呈奈米線、奈米片或其他奈米結構之形狀。通道部件之形狀亦被賦予一MBC電晶體替代名稱,諸如奈米片電晶體或奈米線電晶體。主動區可自基板202圖案化,但磊晶層經沉積於基板20上。主動區可包含矽(Si)、矽鍺(SiGe)、鍺(Ge)或III-V族半導體材料,諸如砷化鎵、砷化銦或磷化銦。
隔離構件204亦可稱為淺溝槽隔離(STI)構件204。在一些實施例中,隔離構件204可包含氧化矽、氮化矽、氮氧化矽、摻雜氟之矽酸鹽玻璃(FSG)、一低k介電質、其等組合及/或其他合適材料。取決於半導體裝置200之導電類型,源極/汲極構件206可為n型或p型。當源極/汲極構件206係n型時,其可包含摻雜磷之矽(Si:P)、摻雜砷之矽(Si:As)或摻雜銻之矽(Si:Sb)。當源極/汲極構件206係p型時,其可包含摻雜硼之矽鍺(SiGe:B)或摻雜鎵之矽鍺(SiGe:Ga)。源極/汲極構件206可使用氣相磊晶(VPE)或分子束磊晶(MBE)來形成且可稱為磊晶構件。在一些實施例中,源極/汲極構件206可包含一個以上層。例如,如圖2中所展示,源極/汲極構件206可包含一外磊晶層及一內磊晶層且內磊晶層比外磊晶層經更重摻雜以減小電阻。
雖然未明確地展示,但第一閘極結構208-1及第二閘極結構208-2之各者可包含一閘極介電質層及一閘極電極。閘極介電質層可包含放置於主動區上之一介面層及放置於介面層上之一高k介電層。在此,一高k介電材料指代具有大於二氧化矽之介電常數之一介電常數(其係約3.9)之一介電質材料。在一些實施例中,介面層包含氧化矽及鹵化矽。高k介電層可包含氧化鉿(HfO
2)。替代地,高k介電層可包含其他高k介電質,諸如氧化鈦(TiO
2)、氧化鉿鋯(HfZrO)、氧化鉭(Ta
2O
5)、氧化鉿矽(HfSiO
4)、氧化鋯(ZrO
2)、氧化鋯矽(ZrSiO
2)、氧化鑭(La
2O
3)、氧化鋁(Al
2O
3)、氧化鋯(ZrO)、氧化釔(Y
2O
3)、SrTiO
3(STO)、BaTiO
3(BTO)、BaZrO、氧化鉿鑭(HfLaO)、氧化鑭矽(LaSiO)、氧化鋁矽(AlSiO)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、(Ba,Sr)TiO
3(BST)、氮化矽(SiN)、氮氧化矽(SiON)、其等組合或其他合適材料。閘極電極層可包含單層或替代地多層結構,諸如一金屬層與用來增強裝置效能之一選定功函數(功函數金屬層)、一襯層、一潤濕層、一黏著層、一金屬合金或一金屬矽化物之各種組合。舉例而言,閘極電極層可包含氮化鈦(TiN)、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、氮化鉭(TaN)、鉭鋁(TaAl)、氮化鉭鋁(TaAlN)、碳化鉭鋁(TaAlC)、碳氮化鉭(TaCN)、鋁(Al)、鎢(W)、鎳(Ni)、鈦(Ti)、釕(Ru)、鈷(Co)、鉑(Pt)、碳化鉭(TaC)、氮化鉭矽(TaSiN)、銅(Cu)、其他耐火金屬,或其他合適金屬材料或其等組合。
閘極間隔件210可包含氧化矽、氮化矽、碳化矽、氮氧化矽、碳氮化矽、碳氧化矽、碳氮氧化矽及/或其等組合。BCESL 212及ESL 218可包含氮化矽。第一ILD層214可包含以下材料,諸如四乙基正矽酸鹽(TEOS)氧化物、未摻雜矽酸鹽玻璃或摻雜氧化矽(諸如硼磷矽酸鹽玻璃(BPSG)、熔融矽石玻璃(FSG)、磷矽酸鹽玻璃(PSG)、摻雜硼之矽玻璃(BSG))及/或其他合適介電質材料。介電質切割構件216可由氮化矽或金屬氧化物材料形成。實例性金屬氧化物材料可包含氧化鋁、氧化鋯或氧化鉿。介電質層220可包含氧化矽。在一項實施例中,介電質層220可使用電漿輔助化學氣相沉積(PECVD)而由氧化矽形成且此氧化矽可稱為電漿輔助氧化物(PEOX)。在一些實施例中,BCESL 212沿著Z方向具有介於約2 nm與約4 nm之間的一厚度;ESL 218沿著Z方向具有介於約2 nm與約4 nm之間的一厚度;第一ILD層214沿著Z方向具有介於約8 nm與約10 nm之間的一厚度。
參考圖1及圖3,方法100包含方塊104,其中形成一源極/汲極接點開口222。可使用光微影及蝕刻程序之一組合形成源極/汲極接點開口222。在一實例性程序中,在工件200上方沉積一硬遮罩。接著在硬遮罩層上方沉積一光阻劑層。使光阻劑層暴露於自一光罩反射或透射穿過一光罩之輻射,從而執行暴露後烘烤程序,且使光阻劑層顯影以形成包含光阻劑層之一遮蔽元件。接著可使用遮蔽元件作為一蝕刻遮罩以圖案化硬遮罩且應用經圖案化之硬遮罩作為一蝕刻遮罩以蝕刻介電質層220、ESL 218、第一ILD層214及BCESL 212以形成源極/汲極接點開口222以暴露源極/汲極構件206。在一些實施方案中,用來形成源極/汲極接點開口222之蝕刻程序可為一乾式蝕刻程序或一反應性離子蝕刻(RIE)。實例性乾式蝕刻程序可包含一含氧氣體、氫氣、一含氟氣體(例如,CF
4、SF
6、CH
2F
2、CHF
3、CH
3F、C
4H
8、C
4F
6及/或C
2F
6)、一含碳氣體(例如,CO、CH
4及/或C
3H
8)、一含氯氣體(例如,Cl
2、CHCl
3、CCl
4及/或BCl
3)、一含溴氣體(例如,HBr及/或CHBr
3)、一含碘氣體、其他合適氣體及/或電漿,及/或其等組合。在圖3中所表示之一些實施例中,源極/汲極接點開口222可部分地延伸至源極/汲極構件206中。
參考圖1及圖4,方法100包含方塊106,其中在工件200上方沉積一介電阻障層224。在一些實施例中,介電阻障層224可包含氮化矽。在一些實施方案中,可使用CVD、電漿輔助CVD (PECVD)、低壓CVD (LPCVD)、次常壓CVD (SACVD)或原子層沉積(ALD)沉積介電阻障層224。在一些實施方案中,可在源極/汲極接點開口222中保形地沉積介電阻障層224使得其沿著源極/汲極接點開口222之側壁延伸。如圖4中所展示,可在介電質層220之頂表面、介電質層220之側壁、ESL 218之側壁、第一ILD層214之側壁以及BCESL 212之側壁上方沉積介電阻障層224。在圖4中,介電阻障層224經沉積於源極/汲極構件206上方且覆蓋源極/汲極構件206。在一些例項中,可將介電阻障層224沉積至介於約3 nm與約4 nm之間的一厚度。
參考圖1及圖5,方法100包含方塊108,其中使介電阻障層224凹陷以暴露源極/汲極構件206。在一些實施例中,在方塊108處使工件200經受一非等向性蝕刻程序300以移除沉積於源極/汲極構件206上之介電阻障層224。如圖5中所展示,在方塊108處之操作結束時,在源極/汲極接點開口222中暴露源極/汲極構件206之至少一部分。在一些實施方案中,非等向性蝕刻程序300可包含使用氫氣、一含氟氣體(例如,CF
4、SF
6、CH
2F
2、CHF
3及/或C
2F
6)、一含氯氣體(例如,Cl
2、CHCl
3、CCl
4及/或BCl
3)、一含溴氣體(例如,HBr及/或CHBr
3)、一含碘氣體、其他合適氣體及/或電漿及/或其等組合之一反應性離子蝕刻(RIE)程序。在方塊108處之凹陷之後,介電阻障層224可保持沿著介電質層220之側壁、ESL 218之側壁、第一ILD層214之側壁及BCESL 212之側壁放置。在圖5中所繪示之一些實施例中,介電阻障層224之一底部角隅部分2240可經受非等向性蝕刻程序300。底部角隅部分2240可覆蓋源極/汲極構件206之一部分。
仍參考圖1及圖5,方法100包含方塊110,其中用一摻雜劑佈植經暴露之源極/汲極構件206。在一些實施例中,可在方塊110處執行一佈植程序300以將鍺(Ge)佈植至經暴露之源極/汲極構件206中。在其中源極/汲極構件206係p型之一些實施方案中,源極/汲極構件206可能歸因於鍺聚集而具有非均勻鍺分佈,此可能導致在一隨後程序中在其上形成不令人滿意的矽化物。方塊110處之鍺(Ge)佈植可幫助在p型源極/汲極構件206之經暴露表面上提供一均勻鍺分佈,此促進令人滿意的矽化物形成。在一些實施例中,佈植程序300可為一光子輔助佈植(PAI)。為了確保沿源極/汲極接點開口222將鍺引導至源極/汲極構件206,佈植程序300可具有一小(即,陡峭)佈植角。鍺佈植程序300亦可稱為預矽化物佈植程序。
參考圖1及圖6,方法100包含方塊112,其中在工件200上方沉積一第一金屬襯層226。第一金屬襯層226可包含鈦(Ti)、鉭(Ta)、鎳(Ni)、鈷(Co)或鎢(W)。在一項實施例中,第一金屬襯層226包含鈦(Ti)。因為第一金屬襯層226將與其他層反應以形成矽化物層或金屬氮化物層,所以第一金屬襯層226亦可稱為金屬前驅體層。在一些實施方案中,可使用物理氣相沉積(PVD)、原子層沉積(ALD)、電漿輔助ALD (PEALD)或金屬有機化學氣相沉積(MOCVD)沉積第一金屬襯層226。
參考圖1及圖7,方法100包含方塊114,其中執行一退火程序400以形成一第二金屬襯層228及矽化物構件230。在一些實施例中,方塊112處之退火程序400可為一快速熱退火(RTA)程序、一雷射退火程序、一尖峰退火程序或一毫秒退火(MSA)程序。在一些例項中,退火程序400可包含介於約200℃與約500℃之間的一退火溫度或一峰值退火溫度。退火程序400可引起或加速第一金屬襯層226與介電阻障層224之間以及第一金屬襯層226與經暴露之源極/汲極構件206之間的反應。如圖7中所展示,由退火程序400所促進,第一金屬襯層226及介電阻障層224可反應以形成一第二金屬襯層228。第二金屬襯層228可包含第一金屬襯層226中之金屬及來自介電阻障層224之氮原子。例如,當第一金屬襯層226包含鈦且介電阻障層224包含氮化矽時,第一金屬襯層226中之鈦可至少部分被氮化以形成氮化鈦(TiN)。因為氮化可完成,所以第二金屬襯層228可包含第一金屬襯層226之金屬及來自氮化之金屬氮化物形式兩者。即,在此實例中,第二金屬襯層228可包含鈦(Ti)及氮化鈦(TiN)兩者。同時,與源極/汲極構件206接觸之第一金屬襯層226可經歷由退火程序400引起或加速之矽化反應。例如,當第一金屬襯層226包含鈦且源極/汲極構件206包含矽,第一金屬襯層226中之鈦可經歷矽化反應以在第一金屬襯層226與源極/汲極構件206之間的介面處形成矽化物構件230。在此實例中,矽化物構件230可包含矽化鈦。取決於第一金屬襯層226之組合物,第二金屬襯層228亦可包含鉭(Ta)及氮化鉭(TaN)之一混合物、鈷(Co)及氮化鈷(CoN)之一混合物、鎳(Ni)及氮化鎳(NiN)之一混合物或鎢(W)及氮化鎢(WN)之一混合物。矽化物構件230亦可包含矽化鉭、矽化鈷、矽化鎳或矽化鎢。雖然在圖7中未明確地展示,但退火程序400亦可致使第二金屬襯層228中之金屬與介電質層220中之矽反應以形成可沉澱於介電阻障層224與介電層220之間的邊界附近之金屬矽化物。此金屬矽化物沉澱可在方塊116期間作為一第三金屬襯層232而暴露。
參考圖1、圖8及圖9,方法100包含方塊116,其中回蝕第二金屬襯層228。在一些實施例中,使用一回蝕程序回蝕第二金屬襯層228及介電阻障層224。在一些實施方案中,回蝕程序可為非等向性的且可包含使用氫氣、一含氟氣體(例如,CF
4、SF
6、CH
2F
2、CHF
3及/或C
2F
6)、一含氯氣體(例如,Cl
2、CHCl
3、CCl
4及/或BCl
3)、一含溴氣體(例如,HBr及/或CHBr
3)、一含碘氣體、其他合適氣體及/或電漿及/或其等組合之一反應離子蝕刻(RIE)程序。在圖8中所表示之一些實施例中,回蝕程序蝕刻第二金屬襯層228及介電阻障層224直至第二金屬襯層228之頂表面與第一閘極結構208-1、第二閘極結構208-2及第一ILD層214之頂表面實質上共面。如圖8中所展示,由於回蝕程序可自介電質層220及ESL 218之表面實質上移除第一金屬襯層228及介電阻障層224,故可暴露在方塊114處沉澱及形成之一第三金屬襯層232。第三金屬襯層232可包含金屬矽化物且可具有類似於矽化物構件230之一組合物。在一項實施例中,第三金屬襯層232可包含矽化鈦。在一些其他實施例中,第三金屬襯層232可包含矽化鉭、矽化鈷、矽化鎳或矽化鎢。
圖9中放大及展示圖8中之虛線區域。因為回蝕程序自介電質層220及ESL 218之側壁移除第二金屬襯層228及介電阻障層224,所以圖9中之源極/汲極接點開口222包含第一ILD層214與ESL 218之間的介面層級處之一橫向步階變化。即,源極/汲極接點開口222之一寬度可經歷第一ILD層214與ESL 218之間的介面層級附近或周圍之一突然變化。另外,因為存在底部角隅部分2240及放置於底部角隅部分2240上方之第二金屬襯層228,所以源極/汲極接點開口222亦包含與底部角隅部分2240相鄰之一橫向步階變化。
參考圖1及圖10,方法100包含方塊118,其中在源極/汲極接點開口222中沉積一第一金屬層234。第一金屬層234構成一接點插塞240 (圖11中所展示)之一外周邊及與介電質層220、ESL 218、第二金屬襯層228及介電阻障層224之一介面。在一些實施例中,第一金屬層234可包含鈷(Co)且可使用原子層沉積(ALD)或電漿輔助ALD (PEALD)來沉積。在方塊116處用於鈷(Co)沉積之實例性前驅體可包含雙(乙基環戊二烯基)鈷(即,鈷茂)。儘管ALD或PEALD沉積允許第一金屬層234係保形的,但其係緩慢的且可能增加程序時間及成本。因此,在一些實施方案中,僅將第一金屬層234形成至介於約1 nm與約2 nm之間的一厚度且使用具有更大沉積速率之一沉積方法沉積接點插塞240之其餘部分。在一些替代實施例中,第一金屬層234可包含其他金屬,諸如釕(Ru)、鎳(Ni)、鉬(Mo)或鎢(W)。如圖10中所展示,因為第一金屬層234加襯裡於接點插塞之外周邊,所以其可稱為光環金屬層或光環層。
參考圖1及圖11,方法100包含方塊120,其中在第一金屬層234上方沉積一第二金屬層236。第二金屬層236構成一接點插塞240之金屬填充層。在一些實施例中,第二金屬層236可包含鈷(Co)且可使用CVD、電漿輔助CVD (PECVD或金屬有機CVD (MOCVD))來沉積。在此等實施例中,第一金屬層234之一組合物及第二金屬層236之一組合物可實質上相同。在替代實施例中,第二金屬層236可包含其他金屬,諸如銅(Cu)、釕(Ru)、鎳(Ni)、鉬(Mo)或鎢(W)。該第二金屬層藉由第一金屬層234而與介電質層220、ESL 218、第二金屬襯層228及介電阻障層224隔開。在沉積第二金屬層236之後,執行一平坦化程序,諸如一化學機械拋光(CMP)程序以移除多餘材料,諸如多餘的第一金屬層234或多餘的第二金屬層236。如圖11中所展示,平坦化程序移除介電質層220上方之材料及甚至介電質層220之一表面部分使得第二金屬層236及介電質層220之頂表面係共面的。此時,接點插塞240實質上形成於源極/汲極接點開口222中(圖10中所展示)。
因為圖9中之源極/汲極接點開口222包含逐步寬度變化,所以圖11中之接點插塞亦包含逐步寬度變化。參考圖11,接點插塞240包含一底部部分240B、底部部分240B上方之一下部分240L及下部分240L上方之一上部分240U。底部部分240B指代接點插塞240之在角隅部分2240之頂表面下方之部分。下部分240L指代接點插塞240之在角隅部分2240之頂表面與第一ILD層214之頂表面之間的部分。上部分240U指代接點插塞之在第一ILD層214之頂表面上方之部分。底部部分240B沿著X方向具有一第一寬度(W1),下部分240L之一頂表面沿著X方向具有一第二寬度(W2),上部分240U之一底表面沿著X方向具有一第三寬度(W3),且上部分240U之一頂表面沿著X方向具有一第四寬度(W4)。第四寬度(W4)大於第三寬度(W3),第三寬度(W3)大於第二寬度(W2),且第二寬度(W2)大於第一寬度(W1)。在一些例項中,第一寬度(W1)可介於約12 nm與約14 nm之間,第二寬度(W2)介於約13 nm與約15 nm之間,第三寬度(W3)介於約14 nm與約16 nm之間,且第四寬度(W4)介於約15 nm與約17 nm之間。可在下部分240L與上部分240U之間的接面處觀察到逐步寬度變化。儘管下部分240L及上部分240U係連續的,但自第二寬度W2至第三寬度W3之變化係不連續且突然的。此係歸因於在方塊114處回蝕介電阻障層224及第二金屬襯層228。第二金屬襯層228之一底部部分向下延伸穿過介電阻障層224且接點插塞240之底部部分240B延伸至第二金屬襯層228之底部部分中。沿著Z方向,矽化物構件230具有介於約4 nm與約5 nm之間的一厚度。
參考圖1及圖12,方法100包含方塊122,其中執行進一步程序。此等進一步程序可包含形成一頂部矽化物層246,沉積一中間接觸蝕刻停止層(MCESL) 242,沉積一第二ILD層244,形成一源極/汲極接點通路250及形成一閘極接點通路260。MCESL 242可包含氮化矽、碳氮化矽或碳氧化矽且可使用CVD、PECVD或ALD來沉積。在一項實施例中,MCESL 242包含氮化矽。MCESL 242沉積程序之矽前驅體可與接點插塞240反應且在接點插塞240之頂表面上形成一頂部矽化物層246。在一項實施例中,頂部矽化物層246可包含矽化鈷。第二ILD層244之組合物及沉積可類似於第一ILD層214之組合物及沉積。在一些實施例中,第二ILD層244可包含以下材料,諸如四乙基正矽酸鹽(TEOS)氧化物、未摻雜矽酸鹽玻璃或摻雜氧化矽(諸如硼磷矽酸鹽玻璃(BPSG)、熔融矽石玻璃(FSG)、磷矽酸鹽玻璃(PSG)、摻雜硼之矽玻璃(BSG))及/或其他合適介電質材料。在一些實施方案中,可使用旋塗、CVD、可流動CVD (FCVD)、PECVD或一合適沉積方法沉積第二ILD層244。接著穿過第二ILD層244及MCESL 242形成一源極/汲極接點通路開口以暴露頂部矽化物層246或接點插塞240。在相同程序或一單獨程序中,穿過第二ILD層244、MCESL 242及介電質層220形成一閘極接點通路開口以暴露第二閘極結構208-2。在一些實施例中,可使用一反應性離子蝕刻(RIE)來形成源極/汲極接點通路開口及閘極接點通路開口。此一RIE程序可包含一電漿,該電漿具有一含氧氣體、氫氣、一含氟氣體(例如,CF
4、SF
6、CH
2F
2、CHF
3、CH
3F、C
4H
8、C
4F
6及/或C
2F
6)、一含碳氣體(例如,CO、CH
4及/或C
3H
8)、一含氯氣體(例如,Cl
2、CHCl
3、CCl
4及/或BCl
3)、一含溴氣體(例如,HBr及/或CHBr
3)、一含碘氣體、其他合適氣體及/或電漿,及/或其等組合。在移除接點插塞240及第二閘極結構208-2上方之碎屑及污染物之一預清潔程序之後,接著在源極/汲極接點通路開口及閘極接點通路開口中分別形成源極/汲極接點通路250及閘極接點通路260。在一些實施方案中,源極/汲極接點通路250及閘極接點通路260可包含鎢且可使用PVD或無電式電鍍來沉積。
鑑於圖12,本揭露之實施例之一些益處係顯而易見的。歸因於方塊116處之回蝕程序,上部分240U (圖11中所展示)之寬度更寬且無第二金屬襯層228,其導電性小於接點插塞240。因而,本揭露之方法及結構減小接點電阻且改良程序容限。即使當疊對小於理想值時(由沿著X方向之箭頭所展示),源極/汲極接點通路250完全錯過接點插塞240或著陸於第二金屬襯層228 (或介電阻障層224)上之機會降低。
本揭露之一個態樣係關於一種半導體裝置。該半導體裝置包含:一閘極結構;一源極/汲極構件,其與該閘極結構相鄰;一第一介電質層,其在該源極/汲極構件上方;一蝕刻停止層,其在該閘極結構及該第一介電質層上方;一第二介電質層,其在該蝕刻停止層上方;一源極/汲極接點,其包含:一第一部分,其延伸穿過該第一介電質層,及一第二部分,其延伸穿過該蝕刻停止層及該第二介電質層;一金屬矽化物層,其經放置於該第二部分與該蝕刻停止層之間;及一金屬氮化物層,其經放置於該第一部分與該第一介電質層之間。
在一些實施例中,該金屬氮化物層包含氮化鈦。在一些例項中,該金屬矽化物層包含矽化鈦。在一些實施方案中,該源極/汲極接點包含鈷。在一些實施方案中,該源極/汲極接點本質上由鈷組成。在一些實施例中,該半導體裝置可進一步包含一介電阻障層,該介電阻障層經夾置於該金屬氮化物層與該第一介電質層之間。在一些實施例中,該介電阻障層包含氮化矽。在一些實施例中,該金屬矽化物層與該源極/汲極接點之該第二部分直接接觸。在一些實施例中,該第一部分包含一頂部寬度,該第二部分包含一底部寬度,且該第二部分之該底部寬度大於該第一部分之該頂部寬度。
本揭露之另一態樣係關於一種半導體結構。該半導體結構包含:一源極/汲極構件;一第一介電質層,其在該源極/汲極構件上方;一第二介電質層,其在該第一介電質層上方;一源極/汲極接點,其包含:一第一部分,其延伸穿過該第一介電質層,及一第二部分,其延伸穿過該第二介電質層;一導電阻障層,其經放置於該第一部分與該第一介電質層之間;一介電阻障層,其經放置於該導電阻障層與該第一介電質層之間;及一金屬矽化物層,其經放置於該第二介電質層與該第二部分之間且與該第二介電質層及該第二部分接觸。在一些實施例中,該源極/汲極接點包含該第一部分與該第二部分之間的一步階寬度變化。在一些例項中,該半導體結構可進一步包含矽化物構件,該矽化物構件經放置於該源極/汲極接點與該源極/汲極構件之間。在一些實施例中,該導電阻障層之一端部分延伸穿過該介電阻障層且至該矽化物構件中。在一些實施例中,該源極/汲極接點進一步包含放置於該第一部分下方之一底部部分且該源極/汲極接點之該底部部分延伸至該導電阻障層之該端部分中。在一些例項中,該第一介電質層及該第二介電質層包含氧化矽,其中該金屬矽化物層包含矽化鈦,該介電阻障層包含氮化矽,該導電阻障層包含氮化鈦,且該源極/汲極接點包含鈷。在一些實施方案中,該半導體結構可進一步包含一蝕刻停止層,該蝕刻停止層經放置於該第一介電質層與該第二介電質層之間。
本揭露之又一態樣係關於一種方法。該方法包含接納一工件,該工件包含:一閘極結構;一源極/汲極構件,其與該閘極結構相鄰;一底部蝕刻停止層,其在該源極/汲極構件上方;一第一介電質層,其在該底部蝕刻停止層上方;及一第二介電質層,其在該第一介電質層上方。該方法可進一步包含:穿過該底部蝕刻停止層、該第一介電質層及該第二介電質層形成一源極/汲極接點開口以暴露該源極/汲極構件;在該工件上方保形地沉積一介電阻障層;使該介電阻障層凹陷以暴露該工件之面向頂部表面;在該介電阻障層之該凹陷之後,在該工件上方保形地沉積一金屬層;在該金屬層之該保形沉積之後,對該工件執行一退火程序以形成一金屬氮化物層;回蝕該金屬氮化物層;及在該回蝕之後,在該金屬氮化物層上方形成一接點插塞。
在一些實施例中,該方法可進一步包含在該介質阻障層之該凹陷之後,用鍺佈植該工件。在一些實施例中,該佈植包含一光子輔助佈植程序。在一些實施例中,該金屬氮化物層之該回蝕包含蝕刻該金屬氮化物層直至該金屬氮化物層之一頂表面與該閘極結構之一頂表面實質上共面。在一些例項中,該金屬氮化物層之該回蝕包含在該第二介電質層之一表面上方形成一金屬矽化物層。
前述內容概述若干實施例之特徵使得熟習此項技術者可更好地理解本揭露之態樣。熟習此項技術者應明白,其等可容易使用本揭露作為設計或修改實行本文中所介紹之實施例之相同目的及/或達成相同優點之其他程序及結構之一基礎。熟習此項技術者亦應認知,此等等效構造不背離本揭露之精神及範疇,且其等可在不背離本揭露之精神及範疇之情況下在本文中作出各種改變、置換及更改。例如,藉由實施位元線導體及字線導體之不同厚度,吾人可達成該等導體之不同電阻。然而,亦可利用改變金屬導體之電阻之其他技術。
100:方法
102:方塊
104:方塊
106:方塊
108:方塊
110:方塊
112:方塊
114:方塊
116:方塊
118:方塊
120:方塊
122:方塊
200:工件/半導體裝置
202:基板
202C:通道區
202SD:源極/汲極區
204:鰭片結構/隔離結構/隔離構件
206:源極/汲極構件
208-1:第一閘極結構
208-2:第二閘極結構
210:閘極間隔件
212:底部接觸蝕刻停止層(BCESL)
214:第一層間介電質(ILD)層
216:介電質切割構件
218:蝕刻停止層(ESL)
220:介電質層
222:源極/汲極接點開口
224:介電阻障層
226:第一金屬襯層
228:第二金屬襯層
230:矽化物構件
232:第三金屬襯層
234:第一金屬層
236:第二金屬層
240:接點插塞
240B:底部部分
240L:下部分
240U:上部分
242:中間接觸蝕刻停止層(MCESL)
244:第二層間介電質(ILD)層
246:頂部矽化物層
250:源極/汲極接點通路
260:閘極接點通路
300:各向異性蝕刻程序
400:退火程序
2240:底部角隅部分
W1:第一寬度
W2:第二寬度
W3:第三寬度
W4:第四寬度
當結合附圖閱讀時,自以下詳細描述最好地理解本揭露之態樣。應強調,根據標準行業實踐,各種構件未按比例繪製。實際上,為了清楚論述起見,可任意地增加或減小各種構件之尺寸。亦應強調,隨附圖式僅繪示本揭露之典型實施例且因此不應被視為限制範疇,因為本揭露可同樣適用於其他實施例。
圖1係繪示根據本揭露之一或多個態樣之製造一半導體裝置之一方法之一流程圖。
圖2至圖12繪示根據本揭露之一或多個態樣之在圖1之方法中之各個製造階段之一工件之局部截面圖。
200:工件/半導體裝置
202:基板
204:鰭片結構/隔離結構/隔離構件
206:源極/汲極構件
208-1:第一閘極結構
208-2:第二閘極結構
212:底部接觸蝕刻停止層(BCESL)
214:第一層間介電質(ILD)層
216:介電質切割構件
218:蝕刻停止層(ESL)
220:介電質層
240:接點插塞
242:中間接觸蝕刻停止層(MCESL)
244:第二層間介電質(ILD)層
246:頂部矽化物層
250:源極/汲極接點通路
260:閘極接點通路
Claims (10)
- 一種用於形成一半導體結構之方法,其包括: 接納一工件,其包括: 一閘極結構, 一源極/汲極構件,其與該閘極結構相鄰, 一底部蝕刻停止層,其在該源極/汲極構件上方,且沿該閘極結構的一側壁延伸; 一第一介電質層,其在該底部蝕刻停止層上方,及 一第二介電質層,其在該第一介電質層上方; 穿過該底部蝕刻停止層、該第一介電質層及該第二介電質層形成一源極/汲極接點開口以暴露該源極/汲極構件,其中該源極/汲極接點開口至少部分延伸至該源極/汲極構件之中; 在該工件上方保形地沉積一介電阻障層; 使該介電阻障層凹陷以暴露該工件之面向頂部表面; 在該介電阻障層之該凹陷之後,在該工件上方保形地沉積一金屬層; 在該金屬層之該保形沉積之後,對該工件執行一退火程序以形成一金屬氮化物層; 回蝕該金屬氮化物層;及 在該回蝕之後,在該金屬氮化物層上方形成一接點插塞。
- 如請求項1之方法,其進一步包括: 在該介質阻障層之該凹陷之後,用鍺佈植該工件。
- 如請求項1之方法,其中該佈植包括一光子輔助佈植程序。
- 如請求項1之方法,其中該金屬氮化物層之該回蝕包括蝕刻該金屬氮化物層直至該金屬氮化物層之一頂表面與該閘極結構之一頂表面實質上共面。
- 如請求項1之方法,其中該金屬氮化物層之該回蝕包括在該第二介電質層之一表面上方形成一金屬矽化物層。
- 一種用於形成一半導體結構之方法,其包括: 接納一工件,其包括: 一閘極結構, 一源極/汲極構件,其與該閘極結構相鄰, 一底部蝕刻停止層,其在該源極/汲極構件上方, 一第一介電質層,其在該底部蝕刻停止層上方,及 一第二介電質層,其在該第一介電質層上方; 穿過該底部蝕刻停止層、該第一介電質層及該第二介電質層形成一源極/汲極接點開口以暴露該源極/汲極構件; 在該工件上方保形地沉積一介電阻障層; 使該介電阻障層凹陷以暴露該工件之面向頂部表面; 在該介電阻障層之該凹陷之後,在該工件上方保形地沉積一金屬層; 在該金屬層之該保形沉積之後,對該工件執行一退火程序以形成一金屬氮化物層; 回蝕該金屬氮化物層,其中該金屬氮化物層之該回蝕包括在該第二介電質層之一表面上方形成一金屬矽化物層;及 在該回蝕之後,在該金屬氮化物層上方形成一接點插塞。
- 如請求項6之方法,其進一步包括用鍺佈植該工件。
- 一種半導體裝置,其包括: 一閘極結構; 一源極/汲極構件,其與該閘極結構相鄰; 一第一介電質層,其在該源極/汲極構件上方; 一蝕刻停止層,其在該閘極結構及該第一介電質層上方; 一第二介電質層,其在該蝕刻停止層上方; 一源極/汲極接點,其包括: 一第一部分,其延伸穿過該第一介電質層,及 一第二部分,其延伸穿過該蝕刻停止層及該第二介電質層; 一金屬矽化物層,其經放置於該第二部分與該蝕刻停止層之間,其中該金屬矽化物層與該源極/汲極接點之該第二部分直接接觸;及 一金屬氮化物層,其經放置於該第一部分與該第一介電質層之間。
- 如請求項8之半導體裝置,其進一步包括一介電阻障層,該介電阻障層至少覆蓋該源極/汲極構件之一部分。
- 如請求項8之半導體裝置,其中該金屬矽化物層包括矽化鈦。
Applications Claiming Priority (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202063065630P | 2020-08-14 | 2020-08-14 | |
US63/065,630 | 2020-08-14 | ||
US202063071194P | 2020-08-27 | 2020-08-27 | |
US63/071,194 | 2020-08-27 | ||
US17/142,750 | 2021-01-06 | ||
US17/142,750 US11798846B2 (en) | 2020-08-14 | 2021-01-06 | Contact plug |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202230623A true TW202230623A (zh) | 2022-08-01 |
TWI790157B TWI790157B (zh) | 2023-01-11 |
Family
ID=78728253
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW110116062A TWI767686B (zh) | 2020-08-14 | 2021-05-04 | 接點插塞 |
TW111113684A TWI790157B (zh) | 2020-08-14 | 2021-05-04 | 接點插塞 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW110116062A TWI767686B (zh) | 2020-08-14 | 2021-05-04 | 接點插塞 |
Country Status (5)
Country | Link |
---|---|
US (2) | US11798846B2 (zh) |
KR (1) | KR102495808B1 (zh) |
CN (1) | CN113745215A (zh) |
DE (1) | DE102021100729A1 (zh) |
TW (2) | TWI767686B (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI833631B (zh) * | 2022-11-01 | 2024-02-21 | 南亞科技股份有限公司 | 具有輔助特徵的半導體元件及其製備方法 |
Families Citing this family (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN114843221A (zh) * | 2021-02-02 | 2022-08-02 | 芯恩(青岛)集成电路有限公司 | 一种cmos器件的接触孔刻蚀方法及cmos器件制造方法 |
US20240321965A1 (en) * | 2023-03-24 | 2024-09-26 | Qualcomm Incorporated | Selective contact on source and drain |
Family Cites Families (30)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6074954A (en) * | 1998-08-31 | 2000-06-13 | Applied Materials, Inc | Process for control of the shape of the etch front in the etching of polysilicon |
US6509267B1 (en) * | 2001-06-20 | 2003-01-21 | Advanced Micro Devices, Inc. | Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer |
DE10240116A1 (de) * | 2002-08-30 | 2004-03-11 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Herstellung lokaler Verbindungsbarrierenschichten |
JP2005347510A (ja) * | 2004-06-03 | 2005-12-15 | Matsushita Electric Ind Co Ltd | 半導体装置及びその製造方法 |
CN100517644C (zh) * | 2006-12-22 | 2009-07-22 | 中芯国际集成电路制造(上海)有限公司 | 半导体器件金属连接孔的制造方法和半导体器件 |
KR100830591B1 (ko) * | 2007-06-07 | 2008-05-22 | 삼성전자주식회사 | 개구부들을 포함하는 반도체 소자의 형성 방법 |
JP2009231497A (ja) | 2008-03-21 | 2009-10-08 | Toshiba Corp | 半導体装置及び半導体装置の製造方法 |
US8836035B2 (en) * | 2010-03-10 | 2014-09-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and apparatus for reducing gate resistance |
US9029260B2 (en) | 2011-06-16 | 2015-05-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gap filling method for dual damascene process |
US9252019B2 (en) * | 2011-08-31 | 2016-02-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method for forming the same |
CN103021999B (zh) * | 2011-09-27 | 2015-06-03 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其制作方法 |
US8779592B2 (en) | 2012-05-01 | 2014-07-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Via-free interconnect structure with self-aligned metal line interconnections |
US9620601B2 (en) | 2014-07-01 | 2017-04-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structures and methods of forming the same |
CN104241382A (zh) * | 2014-09-16 | 2014-12-24 | 复旦大学 | 金属源漏接触、场效应晶体管及其制备方法 |
CN106206691B (zh) * | 2015-04-29 | 2019-04-26 | 中芯国际集成电路制造(上海)有限公司 | 晶体管的形成方法 |
US9613856B1 (en) | 2015-09-18 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming metal interconnection |
US9972529B2 (en) | 2015-09-28 | 2018-05-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming metal interconnection |
KR102467848B1 (ko) * | 2015-10-12 | 2022-11-16 | 삼성전자주식회사 | 집적회로 소자 및 그 제조 방법 |
US9768061B1 (en) | 2016-05-31 | 2017-09-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Low-k dielectric interconnect systems |
US10153203B2 (en) | 2016-11-29 | 2018-12-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods for forming metal layers in openings and apparatus for forming same |
KR102471158B1 (ko) | 2017-03-06 | 2022-11-25 | 삼성전자주식회사 | 집적회로 소자 |
US10083863B1 (en) | 2017-05-30 | 2018-09-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact structure for semiconductor device |
US10453740B2 (en) | 2017-06-29 | 2019-10-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect structure without barrier layer on bottom surface of via |
US10170322B1 (en) | 2017-11-16 | 2019-01-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Atomic layer deposition based process for contact barrier layer |
US10164048B1 (en) * | 2017-11-29 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming source/drain contacts |
US10177038B1 (en) * | 2017-11-30 | 2019-01-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Prevention of contact bottom void in semiconductor fabrication |
US10651292B2 (en) * | 2018-02-19 | 2020-05-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual metal via for contact resistance reduction |
US11043373B2 (en) | 2018-07-31 | 2021-06-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect system with improved low-k dielectrics |
US10937876B2 (en) * | 2018-10-26 | 2021-03-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Source/drain feature to contact interfaces |
US11328982B2 (en) * | 2019-06-28 | 2022-05-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Air gap seal for interconnect air gap and method of fabricating thereof |
-
2021
- 2021-01-06 US US17/142,750 patent/US11798846B2/en active Active
- 2021-01-15 DE DE102021100729.1A patent/DE102021100729A1/de active Pending
- 2021-03-05 KR KR1020210029394A patent/KR102495808B1/ko active IP Right Grant
- 2021-04-06 CN CN202110367158.2A patent/CN113745215A/zh active Pending
- 2021-05-04 TW TW110116062A patent/TWI767686B/zh active
- 2021-05-04 TW TW111113684A patent/TWI790157B/zh active
-
2022
- 2022-07-20 US US17/868,927 patent/US12125748B2/en active Active
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI833631B (zh) * | 2022-11-01 | 2024-02-21 | 南亞科技股份有限公司 | 具有輔助特徵的半導體元件及其製備方法 |
TWI841514B (zh) * | 2022-11-01 | 2024-05-01 | 南亞科技股份有限公司 | 具有輔助特徵的半導體元件的製備方法 |
Also Published As
Publication number | Publication date |
---|---|
KR20220021848A (ko) | 2022-02-22 |
US11798846B2 (en) | 2023-10-24 |
US20220051940A1 (en) | 2022-02-17 |
DE102021100729A1 (de) | 2022-02-17 |
KR102495808B1 (ko) | 2023-02-06 |
CN113745215A (zh) | 2021-12-03 |
US20220367269A1 (en) | 2022-11-17 |
US12125748B2 (en) | 2024-10-22 |
TWI767686B (zh) | 2022-06-11 |
TWI790157B (zh) | 2023-01-11 |
TW202207403A (zh) | 2022-02-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11355611B2 (en) | Multi-gate device and method of fabrication thereof | |
US10535654B2 (en) | Cut metal gate with slanted sidewalls | |
CN103578954B (zh) | 具有金属栅极的半导体集成电路 | |
TWI767686B (zh) | 接點插塞 | |
US11145749B2 (en) | Method of fabricating a semiconductor device | |
TWI567981B (zh) | 鰭部件的結構及其製造方法 | |
TWI728481B (zh) | 半導體結構及其形成方法 | |
US11588050B2 (en) | Backside contact | |
KR20220043835A (ko) | 게이트 콘택 개구의 에칭 프로파일 제어 | |
US12034048B2 (en) | Source/drain features | |
TW202129723A (zh) | 半導體裝置及其形成方法 | |
TW201913749A (zh) | 半導體裝置及其形成方法 | |
TWI835324B (zh) | 半導體結構及其形成方法 | |
US20230019386A1 (en) | Isolation Features For Semiconductor Devices And Methods Of Fabricating The Same | |
US20220285512A1 (en) | Semiconductor Device With Gate Isolation Features And Fabrication Method Of The Same | |
US20230061857A1 (en) | Source/drain structures | |
US20230395721A1 (en) | Source/drain contact landing |