TW202129723A - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TW202129723A
TW202129723A TW110102459A TW110102459A TW202129723A TW 202129723 A TW202129723 A TW 202129723A TW 110102459 A TW110102459 A TW 110102459A TW 110102459 A TW110102459 A TW 110102459A TW 202129723 A TW202129723 A TW 202129723A
Authority
TW
Taiwan
Prior art keywords
layer
gate
dielectric material
gate electrode
sublayer
Prior art date
Application number
TW110102459A
Other languages
English (en)
Other versions
TWI808374B (zh
Inventor
吳仲強
陳柏成
黃國展
葉品萱
李威縉
李顯銘
陳建豪
志安 徐
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202129723A publication Critical patent/TW202129723A/zh
Application granted granted Critical
Publication of TWI808374B publication Critical patent/TWI808374B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一種半導體裝置的形成方法,包括:形成閘極電極於半導體區域上;凹陷化閘極電極以產生凹口;進行第一沉積製程,以形成第一金屬層於閘極電極之上及凹口之中,其中第一沉積製程是使用第一前驅物而進行;使用不同於第一前驅物的第二前驅物進行第二沉積製程,以形成第二金屬層於第一金屬層上。第一前驅物及第二前驅物包括相同金屬;形成介電硬罩幕於第二金屬層之上。此方法更包括形成閘極接觸插塞穿過介電硬罩幕。閘極接觸插塞與第二金屬層的頂表面接觸。

Description

半導體裝置及其形成方法
本發明實施例係有關於一種半導體結構,且特別係有關於一種具有混成蓋層的半導體裝置及其形成方法。
金屬氧化物半導體(metal-oxide-semiconductor, MOS)裝置是積體電路中的基本建構元件。現有的金屬氧化物半導體裝置通常具有閘極電極,此閘極電極是藉由使用摻雜操作(例如,離子佈植或熱擴散)而由摻雜有p型或n型雜質的多晶矽所形成。可以將閘極電極的功函數調整為矽的能帶邊緣(band-edge)。對於n型金屬氧化物半導體(NMOS)裝置,可以將功函數調整為接近矽的導帶(conduction band of silicon)。對於p型金屬氧化物半導體(PMOS)裝置,可以將功函數調整為接近矽的價帶(valence band)。可以藉由選擇適當的雜質,以調節多晶矽閘極電極的功函數。
具有多晶矽閘極電極的金屬氧化物半導體裝置表現出載子耗盡效應(carrier depletion effect),這也被稱為多晶矽耗盡效應(poly depletion effect)。當所施加的電場從靠近閘極介電質的閘極區域掃走載子並形成耗盡層時,會發生多晶矽耗盡效應。在n型摻雜的多晶矽層中,耗盡層包括離子化的非移動予體位點(ionized non-mobile donor site),其中在p型摻雜的多晶矽層中,耗盡層包括離子化的非移動受體位點(ionized non-mobile acceptor site)。耗盡效應會導致有效閘極介電質厚度的增加,使得在半導體表面上形成反轉層(inversion layer)變的更困難。
可以藉由形成金屬閘極電極,以解決多晶矽耗盡問題,其中,在n型金屬氧化物半導體裝置及p型金屬氧化物半導體裝置中使用的金屬閘極也可以具有能帶邊緣功函數。因此,所得到的金屬閘極包括多個膜層,以適合於n型金屬氧化物半導體裝置及p型金屬氧化物半導體裝置的要求。
本揭露之一實施例揭示一種半導體裝置的形成方法,包括:形成閘極電極於半導體區域上;凹陷化上述閘極電極,以產生凹口;進行第一沉積製程,以形成第一金屬層於上述閘極電極之上及上述凹口之中,其中上述第一沉積製程是使用第一前驅物而進行;使用不同於上述第一前驅物的第二前驅物進行第二沉積製程,以形成第二金屬層於上述第一金屬層上,其中上述第一前驅物及上述第二前驅物包括相同金屬;形成介電硬罩幕於上述第二金屬層之上;以及形成閘極接觸插塞穿過上述介電硬罩幕,其中上述閘極接觸插塞與上述第二金屬層的頂表面接觸。
本揭露之一實施例揭示一種半導體裝置,包括:半導體區域;閘極介電材料,位於上述半導體區域上;閘極電極,包括:第一部分,包括功函數層於位於其中;以及第二部分,位於上述第一部分上且接觸上述第一部分,其中上述第二部分包括氟及氯,且其中上述第二部分包括:底部子層,其中氯的第一峰值原子百分比位於上述底部子層中;以及頂部子層,位於上述底部子層上且接觸上述底部子層,其中氟的第二峰值原子百分比位於上述頂部子層中,且其中上述底部子層及上述頂部子層包括相同金屬;以及閘極接觸插塞,位於上述頂部子層上且接觸上述頂部子層。
本揭露之一實施例揭示一種半導體裝置,包括:半導體基板;多個隔離區域,延伸進入上述半導體基板中;半導體鰭片,突出高於位在上述導體鰭片的相對兩側的上述隔離區域的複數個部分;以及閘極堆疊,包括:高介電常數介電材料,位於上述半導體鰭片上;功函數層,位於上述高介電常數介電材料上;蓋層;第一鎢層,位於上述功函數層及上述蓋層上且接觸上述功函數層及上述蓋層;第二鎢層,位於上述第一鎢層上且接觸上述第一鎢層,其中上述第一鎢層與上述第二鎢層能夠彼此區分。
以下揭露提供了許多的實施例或範例,用於實施所提供的標的物之不同元件。各元件和其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成在第一和第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在各種範例中重複參考數值以及∕或字母。如此重複是為了簡明和清楚之目的,而非用以表示所討論的不同實施例及∕或配置之間的關係。
再者,其中可能用到與空間相對用詞,例如「在…之下」、「下方」、「較低的」、「上方」、「較高的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。
依據一些實施例,在此提供具有混成蓋層(hybrid capping layer)的電晶體及其形成方法。第一低電阻率導電層形成在經過凹陷化的金屬閘極上並且接觸此經過凹陷化的金屬閘極。使用第一低電阻率導電層作為晶種層,而選擇性地形成第二低電阻率導電層並且達到所期望的厚度。低電阻率導電層的電阻率值低於金屬閘極中的至少一些膜層的電阻率值,因而降低了金屬閘極的整體閘極電阻。依據一些實施例,繪示出形成電晶體的中間階段。在此討論了一些實施例的一些變化情形。在全文的各種視圖及說明性的實施例中,相似的參考標號用於指示相似的元件。雖然方法實施例可以被討論為依照特定的順序而進行,但是其他方法實施例可以依照任何邏輯順序而進行。依據一些實施例,使用鰭式場效電晶體(fin field-effect transistor, FinFET)的形成作為示範例,以解釋本揭露的概念。其他類型的電晶體(例如,平面電晶體)也可以採用本揭露的概念。依據本揭露的一些實施例,形成用於鰭式場效電晶體的金屬(替換)閘極。之後,蝕刻金屬閘極並使其凹陷化,進而產生凹口。
第1圖到第6圖、第7A圖、第7B圖、第8A圖、第8B圖、第9圖到第19圖、第20A圖及第20B圖是依據一些實施例之形成鰭式場效電晶體的中間階段的剖面示意圖及透視示意圖。這些圖式所繪示的製程也示意性地反映在第23圖所繪示的製程流程200中。
請參照第1圖,提供基板20。基板20可以是半導體基板,例如,塊材(bulk)半導體、絕緣體上覆半導體(semiconductor-on-insulator, SOI)基板或其他類似物,其可以被摻雜(例如,使用p型或n型摻質)或未摻雜。基板20可以是晶圓10的一部分,例如,矽晶圓。一般而言,絕緣體上覆半導體基板是形成在絕緣體層上的一層半導體材料。絕緣體層可以是,例如,埋藏氧化物(buried oxide, BOX)層、氧化矽層或其他類似物。絕緣層設置在基板上,此基板通常是矽基板或玻璃基板。也可使用其他基板,例如,多層(multi-layered)基板或漸變(gradient)基板。在一些實施例中,基板20的半導體材料可以包括矽;鍺;化合物半導體,包括摻雜碳的矽(carbon-doped silicon)、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦(indium antimonide);合金半導體,包括矽鍺(SiGe)、磷砷化鎵(GaAsP)、砷化銦鋁(AlInAs)、砷化鎵鋁(AlGaAs)、砷化銦鎵(GaInAs)、磷化銦鎵(GaInP)及/或磷砷化銦鎵(GaInAsP);或上述之組合。
再者,請參照第1圖,在基板20中形成井區域22。在第23圖所繪示的製程流程200中,此相應的製程被繪示為製程步驟202。依據本揭露的一些實施例,井區域22是p型井區域,且可藉由將p型雜質佈植到基板20中而形成,其中p型雜質可以是硼、銦或其他類似物。依據本揭露的其他實施例,井區域22是n型井區域,且可藉由將n型雜質佈植到基板20中而形成,其中n型雜質可以是磷、砷、銻或其他類似物。所得到的井區域22可以延伸到基板20的頂表面。其中雜質n型濃度或p型雜質濃度可以等於或小於1018 cm-3 ,例如,在大約1017 cm-3 與大約1018 cm-3 之間的範圍內。
請參照第2圖,形成隔離區域24,使其從基板20的頂表面延伸到基板20之中。在下文中,隔離區域24亦可被稱為淺溝槽隔離(STI)區域。在第23圖所繪示的製程流程200中,此相應的製程被繪示為製程步驟204。位於相鄰的淺溝槽隔離區域24之間的基板20的部分被稱為半導體條帶(semiconductor strip) 26。為了形成淺溝槽隔離區域24,形成墊氧化物層28及硬罩幕層30於半導體基板20上,之後對其進行圖案化。墊氧化物層28可以是由氧化矽形成的薄膜。依據本揭露的一些實施例,在熱氧化製程中形成墊氧化物層28,其中半導體基板20的頂表面層被氧化。墊氧化物層28可作為半導體基板20與硬罩幕層30之間的黏著層。墊氧化物層28還可以作為用於蝕刻硬罩幕層30的蝕刻停止層。依據本揭露的一些實施例,硬罩幕層30由氮化矽所形成,並且藉由,例如,使用低壓化學氣相沉積(low-pressure chemical vapor deposition, LPCVD)而形成。依據本揭露的其他實施例,藉由矽的熱氮化或電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition, PECVD)而形成硬罩幕層30。在硬罩幕層30上形成光阻(未繪示),之後對其進行圖案化。然後,使用經過圖案化的光阻作為蝕刻罩幕,而對硬罩幕層30進行圖案化,以形成如第2圖所繪示的硬罩幕30。
之後,使用經過圖案化的硬罩幕層30作為蝕刻罩幕,而蝕刻墊氧化物層28及基板20,然後使用介電材料填充形成於基板20之中的溝槽。進行平坦化製程,例如,化學機械研磨(chemical mechanical polish, CMP)製程或機械研磨(mechanical grinding)製程,以移除介電材料的多餘部分,且此介電材料的剩餘部分成為淺溝槽隔離區域24。淺溝槽隔離區域24可以包括襯層介電材料(未繪示出)可以是藉由基板20的表面層的熱氧化而形成的熱氧化物。襯層介電材料也可以是經過沉積的氧化矽層、氮化矽層或其他類似物,且其可使用以下方法形成,例如,原子層沉積(atomic layer deposition, ALD)、高密度電漿化學氣相沉積(high-density plasma chemical vapor deposition, HDPCVD)或化學氣相沉積(chemical vapor deposition, CVD)。淺溝槽隔離區域24可以更包括位於襯層氧化物上的介電材料,其中此介電材料可以使用流動式化學氣相沉積(flowable chemical vapor deposition, FCVD)、旋轉塗佈(spin-on coating)或其他類似方法而形成。依據一些實施例,位於襯層介電材料上的介電材料可以包括氧化矽。
硬罩幕30的頂表面與淺溝槽隔離區域24的頂表面可以實質上彼此齊平。半導體條帶26在相鄰的淺溝槽隔離區域24之間。依據本揭露的一些實施例,半導體條帶26是原本的基板20的一部分,因此半導體條帶26的材料與基板20的材料相同。在本揭露的其他實施例中,半導體條帶26是替換條帶(replacement strip),此替換條帶是藉由蝕刻淺溝槽隔離區域24之間的基板20的部分以形成凹口,並且進行磊晶製程以在凹口中再成長另一半導體材料而形成的。因此,半導體條帶26由與基板20的材料不同的半導體材料所形成。依據一些實施例,半導體條帶26由矽鍺、矽碳(silicon carbon)或III-V族化合物半導體材料所形成。之後移除硬罩幕30。
請參照第3圖,將淺溝槽隔離區域24凹陷化,使半導體條帶26的頂部突出高於淺溝槽隔離區域24的剩餘部分的頂表面24A,以形成突出的鰭片36。在第23圖所繪示的製程流程200中,此相應的製程被繪示為製程步驟206。可以使用乾式蝕刻製程進行蝕刻,其中使用,例如,氨氣(NH3 )作蝕刻氣體。在蝕刻製程中,可能會產生電漿。也可以包括氬氣。依據本揭露的其他實施例,利用濕式蝕刻製程進行淺溝槽隔離區域24的凹陷化。蝕刻化學品可以包括,例如,氫氟酸(HF)。
在上述實施例中,可以藉由任何合適的方法將鰭片圖案化。舉例而言,可使用一個或多個光微影製程(photolithography)將鰭片圖案化,包括雙重圖案化(double-patterning)製程或多重圖案化(multi-patterning)製程。一般而言,雙重圖案化或多重圖案化製程結合了光微影製程及自對準製程(self-aligned process),以創造具有較小節距的圖案,舉例而言,此圖案所具有的節距比使用單一直接光微影製程所能夠得到的節距更小。舉例而言,在一實施例中,形成犧牲層於基板之上並使用光微影製程將其圖案化。使用自對準製程形成間隔物於經過圖案化的犧牲層旁。之後,移除犧牲層,並且可接著使用剩餘的間隔物將鰭片圖案化。
請參照第4圖,形成虛置閘極堆疊38而使其延伸於(突出的)鰭片36的頂表面及側壁上。在第23圖所繪示的製程流程200中,此相應的製程被繪示為製程步驟208。堆疊38可以包括虛置閘極介電材料40及位於虛置閘極介電材料40上的虛置閘極電極42。虛置閘極介電材料40可以由氧化矽或類似材料所形成。舉例而言,可以使用多晶矽,並且也可以使用其他材料而形成虛置閘極電極42。每一個虛置閘極堆疊38可以更包括位於虛置閘極電極42上的一個(或複數個)硬罩幕層44。硬罩幕層44可以由氮化矽、氧化矽、碳氮化矽(silicon carbo-nitride)或上述之多層結構所形成。虛置閘極堆疊38可以跨過單一個或複數個突出的鰭片36及/或淺溝槽隔離區域24。虛置閘極堆疊38還具有與突出的鰭片36的長度方向垂直的長度方向。
之後,形成閘極間隔物46於虛置閘極堆疊38的側壁上。在第23圖所繪示的製程流程200中,此相應的製程也被繪示為製程步驟208。依據本揭露的一些實施例,閘極間隔物46由低介電常數(low-k)介電材料所形成,例如,多孔氮氧化矽、多孔碳氮化矽(silicon carbo-nitride)、多孔氮化矽或其他類似物,並且可以具有單層結構或多層結構,其中多層結構包括多個介電層。閘極間隔物46的介電常數(k值)低於3.8,並且可以低於大約3.0,例如,在大約2.5與大約3.0之間的範圍內。
之後,進行蝕刻製程以蝕刻未被虛置閘極堆疊38及閘極間隔物46覆蓋的突出的鰭片36的部分,而得到如第5圖所繪示的結構。在第23圖所繪示的製程流程200中,此相應的製程被繪示為製程步驟210。凹陷化可以是非等向性的,因此位於虛置閘極堆疊38及閘極間隔物46正下方的鰭片36的部分受到保護且並未被蝕刻。依據一些實施例,經過凹陷化的半導體條26的頂表面可以低於淺溝槽隔離區域24的頂表面24A。距此而形成凹口50。凹口50包括位於虛置閘極堆疊38的相對兩側上的部分以及位於突出的鰭片36的其餘部分之間的部分。
之後,藉由在凹口50中選擇性地成長(藉由磊晶)半導體材料,以形成磊晶區域(源極/汲極區域) 54,而得到如第6圖所繪示的結構。在第23圖所繪示的製程流程200中,此相應的製程被繪示為製程步驟212。取決於所得到的鰭式場效電晶體是p型鰭式場效電晶體還是n型鰭式場效電晶體,隨著磊晶的進行,可以原位(in-situ)摻雜p型雜質或n型雜質。舉例而言,當所得到的鰭式場效電晶體是p型鰭式場效電晶體時,可以成長矽鍺硼(SiGeB),硼化矽(SiB)或其他類似物。相反地,當所得到的鰭式場效電晶體是n型鰭式場效電晶體時,可以成長磷化矽(SiP),碳磷化矽(SiCP) 或其他類似物。依據本揭露的其他實施例,磊晶區域54包括III-V族化合物半導體,例如,砷化鎵(GaAs)、磷化銦(InP)、氮化鎵(GaN)、砷化鎵銦(InGaAs)、砷化鋁銦(InAlAs)、銻化鎵(GaSb)、銻化鋁(AlSb)、砷化鋁(AlAs)、磷化鋁(AlP)、磷化鎵(GaP)、上述之組合或上述之多層結構。磊晶區域54填滿凹口50之後,磊晶區域54的進一步磊晶成長導致磊晶區域54水平地擴展,並且可以形成刻面(facet)。磊晶區域54的進一步成長亦可以導致相鄰的磊晶區域54彼此融合。可能產生空孔(氣隙) 56。依據本揭露的一些實施例,當磊晶區域54的頂表面仍然是波浪形時,或者當合併的磊晶區域54的頂表面已經變得平坦時,可以結束磊晶區域54的形成,這可藉由在磊晶區域54上進一步成長而實現,如第6圖所繪示。
在磊晶步驟之後,可以進一步對磊晶區域54佈植p型雜質或n型雜質,以形成源極與汲極區域,源極與汲極區域也使用參考標號54表示。在本揭露中,當在磊晶期間使用p型雜質或n型雜質對磊晶區域54進行原位摻雜時,則可省略此佈植步驟。
第7A圖繪示出在形成接觸蝕刻停止層(contact etch stop layer, CESL) 58及層間介電材料(inter-layer dielectric, ILD) 60之後的結構的透視示意圖。在第23圖所繪示的製程流程200中,此相應的製程被繪示為製程步驟214。接觸蝕刻停止層58可由氮化矽、氧化矽、碳氮化矽(silicon carbo-nitride)或其他類似物所形成,並且可使用,例如,化學氣相沉積、原子層沉積或其他類似方法而形成。層間介電材料60可以包括介電材料,其中此介電材料是使用,例如,流動式化學氣相沉積、旋轉塗佈、化學氣相沉積或其他沉積方法而形成。層間介電材料60可由含氧的介電材料所形成,其中此含氧的介電材料可以是基於氧化矽(silicon-oxide based)的材料,例如,氧化矽、磷矽酸鹽玻璃(phospho-silicate glass, PSG)、硼矽酸鹽玻璃(boro-silicate glass, BSG)、摻雜硼的磷矽酸鹽玻璃(boron-doped phospho-silicate glass, BPSG)或其他類似物。可以進行平坦化製程,例如,化學機械研磨製程或機械研磨製程,以使層間介電材料60的頂表面、虛置閘極堆疊38的頂表面及閘極間隔物46的頂表面彼此齊平。依據一些實施例,第7B圖繪示出如第7A圖所繪示的參考剖面7B-7B,其中繪示出一個虛置閘極堆疊38。
在形成如第7A圖及第7B圖所繪示的結構之後,如第8A圖、第8B圖及第9圖到第12圖所繪示,使用金屬閘極及替換閘極介電材料將虛置閘極堆疊38替換。在後續的圖式中,繪示出淺溝槽隔離區域24的頂表面24A以顯示半導體鰭片36的位置,其中此半導體鰭片36的位置高於淺溝槽隔離區域24的頂表面24A。
為了形成替換閘極,首先移除如第7B圖所繪示的硬罩幕層44、虛置閘極電極42及虛置閘極介電材料40,而形成如第8A圖及第8B圖所繪示的開口59。在第23圖所繪示的製程流程200中,此相應的製程被繪示為製程步驟216。突出的鰭片36的頂表面及側壁暴露於開口59。
之後,請參照第9圖,形成閘極介電材料61,其中閘極介電材料61延伸到開口59中。在第23圖所繪示的製程流程200中,此相應的製程被繪示為製程步驟218。依據本揭露的一些實施例,閘極介電材料61包括界面層(interfacial layer, IL) 62,其中此界面層62形成在突出的鰭片36的暴露的頂表面及側壁表面上。界面層62可以包括氧化物層,例如,氧化矽層,其中此氧化矽層藉由突出的鰭片36的表面部分的熱氧化、化學氧化製程或沉積製程而形成。閘極介電材料61可以更包括位於界面層62上的高介電常數(high-k)介電層64。高介電常數介電層64可以由高介電常數介電材料形成,例如,氧化鉿、氧化鑭、氧化鋁、氧化鋯、上述之組合、上述之多層結構或其他類似物。高介電常數介電材料的介電常數值(k值)高於3.9,並且可以高於約7.0,有時高達21.0或更高。高介電常數介電層64覆蓋在界面層62上,並且可能與界面層62接觸。高介電常數介電層64被形成為順應性的(conformal)膜層,並且延伸於突出的鰭片36的側壁、頂壁及閘極間隔物46的側壁上。依據本揭露的一些實施例,使用原子層沉積、化學氣相沉積或其他類似方法形成高介電常數介電層64。
依據一些實施例,在高介電常數介電層64上方形成黏著層(也是擴散阻障層) 65。在第23圖所繪示的製程流程200中,此相應的製程被繪示為製程步驟220。黏著層65可以由氮化鈦(TiN)或氮化鈦矽(titanium silicon nitride, TSN)所形成。氮化鈦層可以使用原子層沉積或化學氣相沉積形成,並且氮化鈦矽層可以包括交替沉積的氮化鈦層及氮化矽(SiN)層,其可使用,例如,原子層沉積形成。由於氮化鈦層及氮化矽層非常薄,因此這些膜層可能無法彼此區分,因此被稱為氮化鈦矽層。依據其他實施例,不形成黏著層65,並且後續形成的功函數層與高介電常數介電層64接觸。因此,使用虛線框繪示第23圖中的製程步驟220,以表明其可能被進行或者可能被跳過。在後續的圖式中,未繪示出黏著層65,雖然可以形成或可以不形成黏著層65。
請參照第10圖及第11圖,藉由沉積形成功函數層66。在第23圖所繪示的製程流程200中,此相應的製程被繪示為製程步驟222。功函數層66包括至少一個均質層(homogeneous layer,例如,第10圖中的子層66A),其具有由相同材料所形成的整體,或是包括由彼此不同的材料所形成的多個子層。可以依據形成的各個鰭式場效電晶體是n型鰭式場效電晶體還是p型鰭式場效電晶體,而選擇功函數層66中的膜層的材料。例如,當鰭式場效電晶體是n型鰭式場效電晶體時,功函數層66可以包括n-功函數層(n-work-function layer),此n-功函數層可以是基於鋁(Al-based)的膜層(例如,由鋁鈦合金(TiAl)、氮化鋁鈦(TiAlN)、碳化鋁鈦(TiAlC)、氮化鋁鉭(TaAlN)、鋁鉭合金(TaAl)、碳化鋁鉭(TaAlC)或其他類似物所形成)或上述之多層結構。如果未形成黏著層65,則可以形成或不形成氮化鈦層(未繪示)於n-功函數層與高介電常數介電層64之間(並與此兩者接觸),以調整功函數。當鰭式場效電晶體是p型鰭式場效電晶體時,對應的功函數層66可以包括p-功函數層(p-work-function layer),例如,氮化鈦層、碳氮化鎢(tungsten carbon nitride, WCN)層或其他類似物。
依據一些實施例,功函數層66可以包括子層66A及子層66B,如第11圖所繪示。舉例而言,n型鰭式場效電晶體的功函數層66可以包括n-功函數層(表示為66A)及位於n-功函數層上的p-功函數層(表示為66B),其中n-功函數層主導著對應的鰭式場效電晶體的功函數,並且當為了p型鰭式場效電晶體而形成p-功函數層時,p-功函數層是同時被形成。
依據其他實施例,如第11圖所繪示,p型鰭式場效電晶體的功函數層66可以包括p-功函數層(表示為66A)及位於p-功函數層上的n-功函數層(表示為66B),其中p-功函數層主導著對應的鰭式場效電晶體的功函數,並且當為了n型鰭式場效電晶體而形成n-功函數層時,n-功函數層是同時被形成。在後續的例示性圖式中,繪示出功函數層66A和66B兩者,而依據一些實施例,可以替換地使用單一個功函數層。
依據本揭露的一些實施例,在功函數層66上方形成阻擋層70 (其為黏著層)。在第23圖所繪示的製程流程200中,此相應的製程被繪示為製程步驟224。依據一些實施例,阻擋層70可以是含金屬的層,其可以由氮化鈦所形成。也可以使用其他材料,例如,氮化鉭(TaN)。依據一些實施例,使用原子層沉積、化學氣相沉積或其他類似方法形成阻擋層70。依據一些實施例,黏著層70完全填充剩餘的開口59 (第8B圖)。依據其他實施例,進行間隙填充製程,以使用填充金屬填充剩餘的開口59,填充金屬可以由鎢、鈷或其他類似物所形成。
在開口59被完全填充之後,進行平坦化製程,例如,化學機械研磨製程或機械研磨製程,以移除如第11圖所繪示的沉積的膜層的多餘部分,而得到如第12圖所繪示的閘極堆疊76。在第23圖所繪示的製程流程200中,此相應的製程被繪示為製程步驟226。閘極堆疊76包括閘極介電材料61及閘極電極74。
第13圖及第14圖繪示出將閘極堆疊76凹陷化的製程。在第23圖所繪示的製程流程200中,此相應的製程被繪示為製程步驟228。第13圖繪示出在閘極堆疊76及閘極間隔物46上進行的第一回蝕刻製程(first etch-back process) 78,其中蝕刻由箭號表示。相應地產生凹口80。第一回蝕刻製程可以包括乾式蝕刻製程及/或濕式蝕刻製程。此外,蝕刻可以是等向性的或非等向性的。依據本揭露的一些實施例,使用會蝕刻閘極間隔物46及閘極堆疊76並且不會蝕刻接觸蝕刻停止層58及層間介電材料60的蝕刻劑,而進行第一回蝕刻製程。依據一些實施例,當進行乾式蝕刻製程時,蝕刻氣體包括基於氟(fluorine-based)的蝕刻劑,例如,全氟甲烷(CF4 )、全氟乙烷(C2 F6 )、三氟化氮(NF3 )、其他類似物或上述之組合。依據一些實施例,當使用濕式蝕刻製程時,蝕刻化學物質可以包括稀釋的氫氟酸(HF)溶液、氫氧化銨(NH4 OH,氨溶液)或上述之組合。依據一些實施例,在第一回蝕刻製程之後,閘極堆疊76的高度為H1,H1可以在大約10 nm與大約40 nm之間的範圍內。從突出的鰭片36的頂表面到層間介電材料60的頂表面的垂直距離表示為H2。H1/H2之比率可以在大約1/3與大約1/2之間的範圍內。凹陷深度D1可以在大約50 nm與大約80 nm之間的範圍內。可以理解的是,凹陷深度D1的值不能太高或太低。如果此數值太高,則閘極堆疊76的某些部分(例如,突出的鰭片36正上方的部分)可能被不利地移除,因而導致裝置故障。如果此數值太低,則不會產生足夠的凹陷以在後續製程中容納自對準硬罩幕。
依據如第13圖所繪示的一些實施例,藉由第一回蝕刻製程78將閘極間隔物46凹陷化。依據其他實施例,閘極間隔物46不會被第一回蝕刻製程78凹陷化,其中藉由虛線46’繪示出在第一回蝕刻製程之後仍保留的閘極間隔物46的頂部分。
在如第13圖所繪示的第一回蝕刻製程之後,可以進行第二回蝕刻製程(second etch-back process) 78’,如第14圖所繪示。因此,在對應的高介電常數介電材料64的相對部分之間形成凹口81。使用與第一回蝕刻製程78中所使用的蝕刻氣體或蝕刻化學溶液不同的蝕刻氣體或蝕刻化學溶液,而進行第二回蝕刻製程78’。第二回蝕刻製程78’可以包括乾式蝕刻製程及/或濕式蝕刻製程。此外,蝕刻可以是等向性的或非等向性的。依據本揭露的一些實施例,使用會蝕刻閘極電極74並且不會蝕刻閘極間隔物46、高介電常數介電層64、接觸蝕刻停止層58及層間介電材料60的蝕刻劑,而進行第二回蝕刻製程。依據一些實施例,當使用乾蝕刻製程時,蝕刻氣體可以包括三氯化硼(BCl3 )、氯氣(Cl2 )、六氟化鎢(WF6 )或上述之組合。依據一些實施例,當使用濕式蝕刻製程時,蝕刻化學物質可以包括氫氧化銨(NH4 OH)或其他類似物。依據一些實施例,凹陷深度D2可以在大約4 nm與大約8 nm之間的範圍內。可以理解的是,凹陷深度D2的數值也不能太高或太低。如果此數值太高,則閘極電極74的某些部分可能被不利地移除,因而導致裝置故障。如果此數值太低,則不會產生足夠的凹陷以在後續製程中容納後續填充的低電阻率導電層。依據一些實施例,在第二回蝕刻製程78’之後,閘極堆疊76的高度H3在大約5 nm與大約30 nm之間的範圍內。
由於蝕刻劑在不同材料的選擇性,閘極間隔物46的頂表面46TS可以齊平於、高於或低於高介電常數介電層64的頂表面64TS。
第15圖繪示出依據一些實施例進行的鈍化製程82。在第23圖所繪示的製程流程200中,此相應的製程被繪示為製程步驟230。依據一些實施例,當在蝕刻製程78及78’中使用乾式蝕刻時,可以將閘極電極74的材料重新濺鍍到高介電常數介電層64、閘極間隔物46、接觸蝕刻停止層58及層間介電材料60上。這可能會導致一些問題。例如,重新濺鍍的材料成為後續的選擇性沉積的低電阻率層84A及84B (第18圖)的晶種層,導致低電阻率層84A及84B成長到不希望的位置。而且,這些材料可能導致閘極電極74與其他導電部件(例如,接觸插塞94)之間的電性短路(第20A圖)。因此,進行鈍化製程以提高在後續的沉積製程中的選擇性,並且將重新濺鍍的材料(如果有的話)轉換成介電材料。依據其他實施例,當重新濺鍍的強度不足以影響沉積的選擇性時,可以跳過鈍化製程82。因此,在第23圖中使用虛線框繪示出鈍化製程步驟230。
依據一些實施例,藉由在含氧氣(O2 )的環境中對晶圓10進行退火,或者使用氧氣作為製程氣體對晶圓10進行電漿處理,以進行鈍化處理82。依據一些實施例,氧退火在約200℃至約350℃之間的溫度下進行一段時間,例如,在約10秒至約60秒之間的範圍內。如此一來,閘極電極74的表面層及重新濺鍍的材料將被氧化而形成氧化物。依據其他實施例,藉由在包含氮氣(N2 )及氫氣(H2 )的環境中處理晶圓10而進行鈍化製程82。如此一來,閘極電極74的表面層及重新濺鍍的材料將被轉換成氮化物。應當理解,閘極電極74可能已經包括金屬氮化物,並且氮化將仍然提高選擇性,因為氮化矽的受損側壁被鈍化,並且金屬殘留物也被鈍化,因而提高了選擇性。依據又一其他實施例,藉由將晶圓10熱浸(thermal soaking)在含有三氟化氮(NF3 )的環境中,或者使用三氟化氮作為製程氣體對晶圓10進行電漿處理,以進行鈍化處理82。依據一些實施例,在約250℃至約400℃之間的溫度下進行一段時間的熱浸,例如,在約1分鐘至約10分鐘之間的範圍內。
請參照第16圖,使用選擇性沉積製程形成第一低電阻率導電層84A,其中第一低電阻率導電層84A可以是金屬層。在下文中,低電阻率導電層84A也稱為底部子(金屬)層84A。在第23圖所繪示的製程流程200中,此相應的製程被繪示為製程步驟232。在整個說明書中,底部子層84A也可以被認為是相應的閘極電極的一部分。依據本揭露的一些實施例,底部子層84A由鎢(W)形成。底部子層84A的電阻率可以低於閘極電極74中的膜層(包括層66及層70)的電阻率。底部子層84A形成在閘極電極74上,而不形成在介電材料的暴露表面上,其中介電材料包括閘極間隔物46、高介電常數介電層64、接觸蝕刻停止層58及層間介電材料60。依據一些實施例,使用原子層沉積進行沉積。前驅物可以包括五氯化鎢(WCl5 )及還原劑,例如,氫氣。沉積製程包括多個原子層沉積循環,每一個原子層沉積循環包括導入五氯化鎢、清除五氯化鎢、導入氫氣及清除氫氣。沉積製程可以在高溫下進行,例如,在大約400℃至大約500℃之間的範圍內。
可以理解的是,五氯化鎢具有蝕刻金屬氧化物的功能。因此,如果使用氧氣進行第一鈍化製程82,則會蝕刻在成長底部子層84A之前的由於閘極電極74的表面層的氧化而形成的金屬氧化物及閘極電極74的重新濺鍍材料。
請參照第17圖,在沉積底部子層84A之後,進行鈍化製程86。在第23圖所繪示的製程流程200中,此相應的製程被繪示為製程步驟234。依據其他實施例,跳過鈍化製程86。因此,使用虛線框繪示第23圖中的製程步驟234,以表明其可能被進行或者可能被跳過。可以使用選自用於鈍化製程82 (第15圖)的相同方法及製程氣體之群組的方法及製程氣體,而進行鈍化製程86。因此,在此不再贅述。此外,可以使用相同的方法及製程氣體或不同的方法及製程氣體,而進行鈍化製程86。
請參照參考18圖,在底部子層84A的形成及可能的鈍化製程86之後,將金屬層84B (以下稱為頂部子(金屬)層84B)選擇性地沉積在底部子層84A上。在第23圖所繪示的製程流程200中,此相應的製程被繪示為製程步驟236。頂部子層84B的電阻率也低於閘極電極74中的膜層(包括層66及層70)的電阻率。頂部子層84B是使用底部子層84A作為晶種層而形成的,因此不會沉積在介電材料的裸露表面上,其中介電材料是,例如,閘極間隔物46、高介電常數介電層64、接觸蝕刻停止層58及層間介電材料60。依據一些實施例,使用原子層沉積進行頂部子層84B的沉積。此前驅物與用於沉積底部子層84A的前驅物不同。例如,用於形成頂部子層84B的前驅物可以包括六氟化鎢(WF6 )及還原劑,例如,氫氣。此製程可以包括多個原子層沉積循環,每一個原子層沉積循環包括導入六氟化鎢、清除六氟化鎢、導入氫氣及清除氫氣。此沉積製程可以是在高溫下進行的熱製程,例如,在大約250℃至大約400℃之間的範圍內。
子層84A與84B具有不同的功能。底部子層84A (可以使用五氯化鎢形成)能夠選擇性地成長在閘極電極74 (例如,氮化鈦TiN)上,而不會沉積在暴露的介電層上。然而,底部子層84A不能沉積得太厚,因為隨著底部子層84A的連續沉積,其最終會沉積在暴露的介電層上。因此,在開始沉積在暴露的介電層上之前,停止底部子層84A的沉積。依據一些實施例,底部子層84A的厚度小於約3 nm,以確保其不會沉積在暴露的介電層上。另一方面,使用底部子層84A作為用於選擇性成長頂部子層84B的晶種層,其中頂部子層84B不會成長在閘極電極74上。因此,底部子層84A具有足夠的厚度,以確保其完全覆蓋暴露的閘極電極74,因此,底部子層84A的厚度大於約1 nm。因此,底部子層84A的厚度T1可以在約1 nm至約3 nm之間的範圍內。
頂部子層84B (可以使用六氟化鎢形成)沉積在如底部子層84A的金屬上,並且即使頂部子層84B的沉積持續很長的時間,其也不會沉積在暴露的介電層上。因此,藉由將底部子層84A形成為晶種層,將頂部子層84B選擇性地沉積在底部子層84A上。當頂部子層84B的厚度T2較高時,其仍然不會在暴露的介電層上成長。因此,可以增加頂部子層84B的厚度T2,而不必擔心會在暴露的介電層上成長。頂部子層84B的厚度T2可以大於約1 nm,並且可以大於約3 nm,大於約5 nm或更大。依據一些實施例,厚度T2在大約1 nm與大約5 nm之間的範圍內。頂部子層84B的頂表面可以與高介電常數介電層64的頂端齊平或略低於其頂端。底部子層84A及頂部子層84B合稱為低電阻率導電層84。在整個說明書中,低電阻率導電層84被認為是閘極電極的一部分,其中閘極電極用標號74’表示。低電阻率導電層84A及84B的組合可以達到足夠高的厚度,因此,可以減小閘極電極74 (其包括低電阻率導電層84)的總電阻率。
由於選擇性沉積,子層84A及84B可以是順應性的膜層。此外,如果閘極電極74’的下部分的頂表面是平面的,則子層84A及84B可以是實質上平面的。或者,子層84A及84B是彎曲的,並且具有遵循閘極電極74’的各個下部分的頂表面剖面輪廓的表面起伏。
接著,請參照第19圖,用介電材料填充剩餘的凹口80及81(如果有的話),以形成自對準硬罩幕88。在第23圖所繪示的製程流程200中,此相應的製程被繪示為製程步驟238。自對準硬罩幕88可以由非低介電常數介電材料(non-low-k dielectric material)所形成,例如,氮化矽、氮氧化矽、碳氧化矽(oxy-carbide)或其他類似物。自對準硬罩幕88也可以由均質的低介電常數介電材料(homogeneous low-k dielectric material)所形成,其中這些均質的低介電常數介電材料可以由多孔氮化矽、多孔氮氧化矽、多孔碳氧化矽或其他類似物所形成。自對準硬罩幕88也被平坦化,使得其頂表面與層間介電材料60的頂表面共平面。依據一些實施例,自對準硬罩幕88的側壁與接觸蝕刻停止層58的側壁接觸。依據其中閘極間隔物46沒有凹陷的其他實施例,自對準硬罩幕88的側壁與閘極間隔物46的頂部分(以虛線46’繪示出)的側壁接觸。
第20A圖繪示出閘極接觸插塞90、源極/汲極矽化物區域92及源極/汲極接觸插塞94的形成。在第23圖所繪示的製程流程200中,此相應的製程被繪示為製程步驟240。源極/汲極接觸插塞94的形成包括藉由蝕刻層間介電材料60以暴露出接觸蝕刻停止層58的下部分,之後蝕刻接觸蝕刻停止層58的暴露部分以露出源極/汲極區域54,而形成接觸開口。在後續的製程中,沉積金屬層(例如,鈦層)延伸進入此接觸開口中。可以形成金屬氮化物阻擋層(例如,氮化鈦層)。之後進行退火製程,以使金屬層與源極/汲極區域54的頂部反應,而形成矽化物區92。接著,或者保留先前形成的金屬氮化物層而不被移除,或者移除先前形成的金屬氮化物層,之後沉積新的金屬氮化物層(例如,氮化鈦層)。之後將填充金屬材料(例如,鎢、鈷或其他類似物)填充到接觸開口中,隨後進行平坦化製程以移除多餘的材料,而形成源極/汲極接觸插塞94。閘極接觸插塞90的形成可以包括:蝕刻自對準硬罩幕88以露出頂部子層84B,並在相應的開口中形成閘極接觸插塞90。閘極接觸插塞90還可包括擴散阻障層(例如,氮化鈦)及在擴散阻障層之上的金屬(例如,銅、鎢、鈷或其他類似物)。因此形成鰭式場效電晶體100。第20B圖繪示出鰭式場效電晶體100的透視示意圖。
在第20A圖中,將厚度比率T2/T1設計在不太大也不太小的範圍內。當比率T2/T1太大時,厚度T1太小及/或厚度T2太大。如果厚度T1太小,則底部子層84A可能無法完全覆蓋閘極電極66,並且不能用作有效的晶種層。如果厚度T2太大,則頂部子層84B的頂表面可能高於高介電常數介電層64的頂端,因而導致後續形成自對準硬罩幕88的問題。另一方面,當比率T2/T1太小時,厚度T1太大及/或厚度T2太小。如果厚度T1太大,則底部子層84A可能會在例如層間介電材料60的介電材料上成長,並且底部子層84A及頂部子層84B的沉積不是選擇性的。如果厚度T2太小,則層84B的電阻(層84A及層84B的總電阻)較高,因而不利於形成低電阻率導電層84A及84B的目的。依據一些實施例,比率T2/T2在1與大約5之間的範圍內。
依據一些實施例,為了確保頂部子層84B不會成長在高介電常數介電層64及閘極間隔物46的頂部之上,頂部子層84B的頂表面可以與高介電常數介電層64的頂端及/或閘極閘極間隔物46的頂端齊平。依據其他實施例,頂部子層84B的頂表面低於高介電常數介電層64的頂端及/或閘極間隔物46的頂端一段差距,以提供製程裕度。此差距可以小於約1 nm。
如第20A圖所繪示,閘極接觸插塞90的底表面可以從所繪示的頂部子層84B的左側邊緣延伸到右側邊緣。可替換地,閘極接觸插塞90的底表面可以從頂部子層84B的左側邊緣及/或右側邊緣橫向地凹進,如第20A圖所繪示。依據又一其他實施例,閘極接觸插塞90的底表面可以在高介電常數介電層的頂端上延伸並與其接觸,並且可以在閘極間隔物46的頂端上延伸且與閘極間隔物46的頂端接觸,或者可以不在閘極間隔物46的頂端上延伸且不與閘極間隔物46的頂端接觸。
第21圖繪示出鰭式場效電晶體100的某些部分的俯視圖。閘極電極74’及位於其上的低電阻率導電層84A及84B。高介電常數介電層64的垂直部分可以形成圍繞相應的閘極電極74’ (包括相應的疊置的低電阻率導電層84)的環。圖式亦繪示出閘極接觸插塞90、突出的鰭片36及源極/汲極區域54。
如第21圖所繪示,低電阻率導電層84A及84B可以與功函數層66完全重疊,並且導電層84A及84B的邊緣可以與功函數層66的相應邊緣齊平。高介電常數閘極介電材料64可以形成與低電阻率導電層84A及84B以及功函數層66的側壁接觸的環。硬罩幕88可以被接觸蝕刻停止層58的垂直部分完全包圍。閘極接觸插塞90可以接觸一部分而並非全部的頂部子層84B,低電阻率導電層84A及84B延伸超過閘極接觸插塞90的左側壁90C及右側壁90D。可以理解,雖然閘極接觸插塞90的側壁90A及90B被繪示為位於頂部子層84B的正上方,但是側壁90A及90B可以沿著箭號95的方向延伸,並且可以位在箭號95的路徑上的任何位置。
第22圖繪示出一些元素的示意性分佈,其中元素氟、氯及氮的原子百分比被顯示為閘極電極74中高度的函數,其中此高度是沿著第20A圖的箭號108的方向測量。線102、104及106分別繪示出氯、氟及氮的例示性原子百分比。如線102所示,當採用五氯化鎢作為製程氣體形成底部子層84A時,氯的峰值原子百分比在底部子層84A中,並且氯原子百分比在頂部子層84B (及介電硬罩幕88)及功函數層66中逐漸降低。如線104所示,由於可以採用六氟化鎢作為製程氣體形成頂部子層84B,因此氟的峰值原子百分比在頂部子層84B中,並且氟原子百分比在底部子層84A及介電硬罩幕88以及閘極接觸插塞90中逐漸降低。可以使用X射線光電子光譜(XPS)觀察線102與104的分佈。依據一些實施例,頂部子層84B中氟的峰值原子百分比高於約12%,並且可以在約5%至約20%之間的範圍內。底部子層84A中氯的峰值原子百分數高於約10%,並且可以在約5%與約20%之間的範圍內。
線106繪示出其中在層84A的沉積之後且在層84B的沉積之前使用氮氣進行鈍化製程86的實施例。依據一些實施例,峰值氮原子百分比在層84A及84B之間的界面處,並且氮原子百分比在子層84A及84B兩者中均下降。可以使用能量色散X射線光譜法(Energy Dispersive X-Ray Spectroscopy, EDS或EDX)觀察線106的分佈。而且,可以使用EDX將兩個層84A與84B彼此區分。實驗還顯示,由於結晶度的差異,子層84A與84B可以利用穿透式電子顯微鏡(transmission electron microscopy, TEM)彼此區分。
本揭露的實施例具有一些有利特徵。藉由形成第一低電阻率導電層,可以在閘極電極上而不是在暴露的介電層上選擇性地沉積第一低電阻率導電層。藉由停止第一低電阻率導電層的沉積,並沉積選擇性地沉積在金屬上的第二低電阻率導電層,而解決由於低電阻率導電層的長時間沉積而導致的選擇性的損失。當第二低電阻率導電層的厚度增加時,沉積的選擇性不會損失。
在本揭露的一些實施例中,提供一種半導體裝置的形成方法,包括:形成閘極電極於半導體區域上;凹陷化上述閘極電極,以產生凹口;進行第一沉積製程,以形成第一金屬層於上述閘極電極之上及上述凹口之中,其中上述第一沉積製程是使用第一前驅物而進行;使用不同於上述第一前驅物的第二前驅物進行第二沉積製程,以形成第二金屬層於上述第一金屬層上,其中上述第一前驅物及上述第二前驅物包括相同金屬;形成介電硬罩幕於上述第二金屬層之上;以及形成閘極接觸插塞穿過上述介電硬罩幕,其中上述閘極接觸插塞與上述第二金屬層的頂表面接觸。在一實施例中,上述第一前驅物包括五氯化鎢,且上述第二前驅物包括六氟化鎢。在一實施例中,在上述第一沉積製程中,相鄰於上述閘極電極的多個介電材料的多個表面被暴露,並且在上述第一金屬層開始成長於相鄰於上述閘極電極的被暴露的上述介電材料上之前,停止上述第一沉積製程。在一實施例中,上述第一沉積製程及上述第二沉積製程的每一者包括一原子層沉積製程。在一實施例中,凹陷化上述閘極電極包括:第一凹陷化製程,以凹陷化上述閘極電極、閘極介電材料、及多個閘極間隔物,其中上述閘極介電材料包括多個第一側壁部分位於上述閘極電極的多個側壁上,且上述閘極電極及上述閘極介電材料位於上述閘極間隔物之間;以及第二凹陷化製程,以將上述閘極電極凹陷化到低於上述閘極介電材料的頂部邊緣。在一實施例中,上述第一金屬層具有第一厚度小於約3 nm。在一實施例中,上述第二金屬層具有第二厚度大於約3 nm。
在本揭露的一些實施例中,提供一種半導體裝置,包括:半導體區域;閘極介電材料,位於上述半導體區域上;閘極電極,包括:第一部分,包括功函數層於位於其中;以及第二部分,位於上述第一部分上且接觸上述第一部分,其中上述第二部分包括氟及氯,且其中上述第二部分包括:底部子層,其中氯的第一峰值原子百分比位於上述底部子層中;以及頂部子層,位於上述底部子層上且接觸上述底部子層,其中氟的第二峰值原子百分比位於上述頂部子層中,且其中上述底部子層及上述頂部子層包括相同金屬;以及閘極接觸插塞,位於上述頂部子層上且接觸上述頂部子層。在一實施例中,上述第一部分包括鈦,且上述第二部分包括鎢。在一實施例中,上述底部子層與上述頂部子層可以利用穿透式電子顯微鏡彼此區分。在一實施例中,上述閘極介電材料包括多個側壁部分以及底部部分,其中上述底部部分位於上述側壁部分下且接觸上述側壁部分,且其中上述閘極電極的上述第一部分及上述第二部分位於上述側壁部分之間。在一實施例中,上述頂部子層的頂表面齊平於或低於上述閘極介電材料的上述側壁部分的頂部邊緣。在一實施例中,上述半導體裝置更包括:多個閘極間隔物,位於上述閘極介電材料及上述閘極電極的相對兩側上;以及層間介電材料,具有上述閘極間隔物位於上述層間介電材料中,其中上述閘極介電材料的第一頂表面被凹陷化到低於上述層間介電材料的第二頂表面。在一實施例中,上述閘極間隔物被凹陷化而具有低於上述第二頂表面的一第三頂表面。
在本揭露的一些實施例中,提供一種半導體裝置,包括:半導體基板;多個隔離區域,延伸進入上述半導體基板中;半導體鰭片,突出高於位在上述導體鰭片的相對兩側的上述隔離區域的複數個部分;以及閘極堆疊,包括:高介電常數介電材料,位於上述半導體鰭片上;功函數層,位於上述高介電常數介電材料上;蓋層;第一鎢層,位於上述功函數層及上述蓋層上且接觸上述功函數層及上述蓋層;第二鎢層,位於上述第一鎢層上且接觸上述第一鎢層,其中上述第一鎢層與上述第二鎢層能夠彼此區分。在一實施例中,上述第一鎢層與上述第二鎢層兩者均包括氟及氯,且其中氯的第一峰值原子百分比位於上述第一鎢層中。在一實施例中,上述氟的第二峰值原子百分比位於上述第二鎢層中。在一實施例中,上述第一鎢層與上述第二鎢層兩者均包括氮,且其中在上述閘極堆疊中的氮原子百分比在上述第一鎢層與上述第二鎢層之間的界面處具有峰值。在一實施例中,上述高介電常數介電材料包括多個側壁部分位於上述第一鎢層及上述第二鎢層的相對兩側上,且上述第二鎢層的頂表面是位於上述高介電常數介電材料的上述側壁部分的頂部邊緣之間,且上述第二鎢層的該頂表面是齊平於或低於上述高介電常數介電材料的上述側壁部分的頂部邊緣。在一實施例中,上述蓋層包括氮化鈦。
前述內文概述了許多實施例的部件,使本技術領域中具有通常知識者可以從各個方面更佳地了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的發明精神與範圍。在不背離本發明的發明精神與範圍之前提下,可對本發明進行各種改變、置換或修改。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
10:晶圓 20:基板 22:井區域 24:隔離區域 24A:頂表面 26:半導體條帶 28:墊氧化物層 30:硬罩幕層 36:突出的鰭片 38:虛置閘極堆疊 40:虛置閘極介電材料 42:虛置閘極電極 44:硬罩幕層 46:閘極間隔物 46’:虛線 46TS:頂表面 50:凹口 54:磊晶區域(源極/汲極區域) 56:空孔(氣隙) 58:接觸蝕刻停止層 59:開口 60:層間介電材料 61:閘極介電材料 62:界面層 64:高介電常數介電層 64TS:頂表面 65:黏著層(擴散阻障層) 66:功函數層 66A:子層 66B:子層 70:阻擋層(黏著層) 74:閘極電極 74’:閘極電極 76:閘極堆疊 78:第一回蝕刻製程 78’:第二回蝕刻製程 80:凹口 81:凹口 82:鈍化製程 84:低電阻率導電層 84A:低電阻率導電層(底部子層) 84B:低電阻率導電層(頂部子層) 86:鈍化製程 88:自對準硬罩幕 90:閘極接觸插塞 90A:側壁 90B:側壁 90C:左側壁 90D:右側壁 92:源極/汲極矽化物區域 94:源極/汲極接觸插塞 95:箭號 100:鰭式場效電晶體 102:線 104:線 106:線 108:箭號 200:製程流程 202:步驟 204:步驟 206:步驟 208:步驟 210:步驟 212:步驟 214:步驟 216:步驟 218:步驟 220:步驟 222:步驟 224:步驟 226:步驟 228:步驟 230:步驟 232:步驟 234:步驟 236:步驟 238:步驟 240:步驟 D1:凹陷深度 D2:凹陷深度 H1:高度 H2:垂直距離 H3:高度 T1:厚度 T2:厚度
依據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,依據本產業的一般作業,圖式並未必按照比率繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。 第1圖到第6圖、第7A圖、第7B圖、第8A圖、第8B圖、第9圖到第19圖、第20A圖及第20B圖是依據一些實施例之形成鰭式場效電晶體的中間階段的剖面示意圖及透視示意圖。 第21圖是依據一些實施例之鰭式場效電晶體的示範例的平面示意圖。 第22圖是依據一些實施例之一些元素的分佈圖。 第23圖是依據一些實施例之形成鰭式場效電晶體的製程步驟的流程圖。
10:晶圓
20:基板
24A:頂表面
36:突出的鰭片
46:閘極間隔物
46’:虛線
54:磊晶區域(源極/汲極區域)
58:接觸蝕刻停止層
60:層間介電材料
61:閘極介電材料
62:界面層
64:高介電常數介電層
66:功函數層
66A:子層
66B:子層
70:阻擋層(黏著層)
74:閘極電極
74’:閘極電極
76:閘極堆疊
84:低電阻率導電層
84A:低電阻率導電層(底部子層)
84B:低電阻率導電層(頂部子層)
88:自對準硬罩幕
90:閘極接觸插塞
92:源極/汲極矽化物區域
94:源極/汲極接觸插塞
100:鰭式場效電晶體
108:箭號
T1:厚度
T2:厚度

Claims (20)

  1. 一種半導體裝置的形成方法,包括: 形成一閘極電極於一半導體區域上; 凹陷化該閘極電極,以產生一凹口; 進行一第一沉積製程,以形成一第一金屬層於該閘極電極之上及該凹口之中,其中該第一沉積製程是使用一第一前驅物而進行; 使用不同於該第一前驅物的一第二前驅物進行一第二沉積製程,以形成一第二金屬層於該第一金屬層上,其中該第一前驅物及該第二前驅物包括一相同金屬; 形成一介電硬罩幕於該第二金屬層之上;以及 形成一閘極接觸插塞穿過該介電硬罩幕,其中該閘極接觸插塞與該第二金屬層的一頂表面接觸。
  2. 如請求項1所述之半導體裝置的形成方法,其中該第一前驅物包括五氯化鎢,且該第二前驅物包括六氟化鎢。
  3. 如請求項1所述之半導體裝置的形成方法,其中在該第一沉積製程中,相鄰於該閘極電極的多個介電材料的多個表面被暴露,並且在該第一金屬層開始成長於相鄰於該閘極電極的被暴露的該等介電材料上之前,停止該第一沉積製程。
  4. 如請求項1所述之半導體裝置的形成方法,其中該第一沉積製程及該第二沉積製程的每一者包括一原子層沉積製程。
  5. 如請求項1所述之半導體裝置的形成方法,其中凹陷化該閘極電極包括: 一第一凹陷化製程,以凹陷化該閘極電極、一閘極介電材料、及多個閘極間隔物,其中該閘極介電材料包括多個第一側壁部分位於該閘極電極的多個側壁上,且該閘極電極及該閘極介電材料位於該等閘極間隔物之間;以及 一第二凹陷化製程,以將該閘極電極凹陷化到低於該閘極介電材料的頂部邊緣。
  6. 如請求項1所述之半導體裝置的形成方法,其中該第一金屬層具有一第一厚度小於約3 nm。
  7. 如請求項6所述之半導體裝置的形成方法,其中該第二金屬層具有一第二厚度大於約3 nm。
  8. 一種半導體裝置,包括: 一半導體區域; 一閘極介電材料,位於該半導體區域上; 一閘極電極,包括: 一第一部分,包括一功函數層於位於其中;以及 一第二部分,位於該第一部分上且接觸該第一部分,其中該第二部分包括氟及氯,且其中該第二部分包括: 一底部子層,其中氯的一第一峰值原子百分比位於該底部子層中;以及 一頂部子層,位於該底部子層上且接觸該底部子層,其中氟的一第二峰值原子百分比位於該頂部子層中,且其中該底部子層及該頂部子層包括一相同金屬;以及 一閘極接觸插塞,位於該頂部子層上且接觸該頂部子層。
  9. 如請求項8所述之半導體裝置,其中該第一部分包括鈦,且該第二部分包括鎢。
  10. 如請求項8所述之半導體裝置,其中該底部子層與該頂部子層可以利用穿透式電子顯微鏡彼此區分。
  11. 如請求項8所述之半導體裝置,其中該閘極介電材料包括多個側壁部分以及一底部部分,其中該底部部分位於該等側壁部分下且接觸該等側壁部分,且其中該閘極電極的該第一部分及該第二部分位於該等側壁部分之間。
  12. 如請求項11所述之半導體裝置,其中該頂部子層的一頂表面齊平於或低於該閘極介電材料的該等側壁部分的頂部邊緣。
  13. 如請求項8所述之半導體裝置,更包括: 多個閘極間隔物,位於該閘極介電材料及該閘極電極的相對兩側上;以及 一層間介電材料,具有該等閘極間隔物位於該層間介電材料中,其中該閘極介電材料的一第一頂表面被凹陷化到低於該層間介電材料的一第二頂表面。
  14. 如請求項13所述之半導體裝置,其中該等閘極間隔物被凹陷化而具有低於該第二頂表面的一第三頂表面。
  15. 一種半導體裝置,包括: 一半導體基板; 多個隔離區域,延伸進入該半導體基板中; 一半導體鰭片,突出高於位在該導體鰭片的相對兩側的該隔離區域的複數個部分;以及 一閘極堆疊,包括: 一高介電常數介電材料,位於該半導體鰭片上; 一功函數層,位於該高介電常數介電材料上; 一蓋層; 一第一鎢層,位於該功函數層及該蓋層上且接觸該功函數層及該蓋層; 一第二鎢層,位於該第一鎢層上且接觸該第一鎢層,其中該第一鎢層與該第二鎢層能夠彼此區分。
  16. 如請求項15所述之半導體結構,其中該第一鎢層與該第二鎢層兩者均包括氟及氯,且其中氯的一第一峰值原子百分比位於該第一鎢層中。
  17. 如請求項16所述之半導體結構,其中氟的一第二峰值原子百分比位於該第二鎢層中。
  18. 如請求項15所述之半導體結構,其中該第一鎢層與該第二鎢層兩者均包括氮,且其中在該閘極堆疊中的氮原子百分比在該第一鎢層與該第二鎢層之間的一界面處具有一峰值。
  19. 如請求項15所述之半導體結構,其中該高介電常數介電材料包括多個側壁部分位於該第一鎢層及該第二鎢層的相對兩側上,且該第二鎢層的一頂表面是位於該高介電常數介電材料的該等側壁部分的頂部邊緣之間,且該第二鎢層的該頂表面是齊平於或低於該高介電常數介電材料的該等側壁部分的頂部邊緣。
  20. 如請求項15所述之半導體結構,其中該蓋層包括氮化鈦。
TW110102459A 2020-01-30 2021-01-22 半導體裝置及其形成方法 TWI808374B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062967872P 2020-01-30 2020-01-30
US62/967,872 2020-01-30
US16/884,837 US11532509B2 (en) 2020-01-30 2020-05-27 Selective hybrid capping layer for metal gates of transistors
US16/884,837 2020-05-27

Publications (2)

Publication Number Publication Date
TW202129723A true TW202129723A (zh) 2021-08-01
TWI808374B TWI808374B (zh) 2023-07-11

Family

ID=77062158

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110102459A TWI808374B (zh) 2020-01-30 2021-01-22 半導體裝置及其形成方法

Country Status (4)

Country Link
US (1) US11532509B2 (zh)
KR (1) KR102418727B1 (zh)
CN (1) CN113270369A (zh)
TW (1) TWI808374B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI817704B (zh) * 2021-10-14 2023-10-01 台灣積體電路製造股份有限公司 半導體裝置及其形成方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11264474B1 (en) * 2020-08-18 2022-03-01 Nanya Technology Corporation Semiconductor device with boron nitride layer and method for fabricating the same

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9105497B2 (en) 2013-09-04 2015-08-11 Globalfoundries Inc. Methods of forming gate structures for transistor devices for CMOS applications
US9093467B1 (en) * 2014-02-04 2015-07-28 Globalfoundries Inc. Methods of forming gate structures for semiconductor devices using a replacement gate technique and the resulting devices
KR20150093384A (ko) 2014-02-07 2015-08-18 에스케이하이닉스 주식회사 저저항 텅스텐계 매립게이트구조물을 갖는 트랜지스터 및 그 제조 방법, 그를 구비한 전자장치
US9722038B2 (en) * 2015-09-11 2017-08-01 International Business Machines Corporation Metal cap protection layer for gate and contact metallization
US10879370B2 (en) 2016-12-15 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Etching back and selective deposition of metal gate
US10141225B2 (en) * 2017-04-28 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gates of transistors having reduced resistivity
US10083863B1 (en) 2017-05-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device
US20190096679A1 (en) 2017-09-22 2019-03-28 Globalfoundries Inc. Gate stack processes and structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10796968B2 (en) 2017-11-30 2020-10-06 Intel Corporation Dual metal silicide structures for advanced integrated circuit structure fabrication

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI817704B (zh) * 2021-10-14 2023-10-01 台灣積體電路製造股份有限公司 半導體裝置及其形成方法

Also Published As

Publication number Publication date
CN113270369A (zh) 2021-08-17
US20210242081A1 (en) 2021-08-05
US11532509B2 (en) 2022-12-20
TWI808374B (zh) 2023-07-11
KR20210098308A (ko) 2021-08-10
KR102418727B1 (ko) 2022-07-11

Similar Documents

Publication Publication Date Title
CN106653847B (zh) 在半导体器件中制造自对准接触件的方法
US20190006244A1 (en) Semiconductor devices, finfet devices, and manufacturing methods thereof
US10510851B2 (en) Low resistance contact method and structure
KR102107610B1 (ko) 반도체 디바이스 제조 방법
TW201824492A (zh) 半導體裝置及其製造方法
US11195717B2 (en) Semiconductor device and method
US11908695B2 (en) Replacement gate methods that include treating spacers to widen gate
US20230387228A1 (en) Contact plug structure of semiconductor device and method of forming same
CN113270473A (zh) 半导体装置及其形成方法
US20200335597A1 (en) Semiconductor device with low resistivity contact structure
TWI739147B (zh) 半導體裝置及其形成方法
TWI808374B (zh) 半導體裝置及其形成方法
US11264505B2 (en) FinFET device and method of forming same
US10985053B2 (en) Contact plugs and methods of forming same
US11923432B2 (en) Semiconductor device and method
US11935754B2 (en) Transistor gate structure and method of forming
TW202314868A (zh) 製造半導體元件的方法
US20220367261A1 (en) Selective Hybrid Capping Layer for Metal Gates of Transistors
KR102546906B1 (ko) Finfet 디바이스 및 방법
TWI827115B (zh) 半導體裝置及其形成方法
US20230223302A1 (en) Contact features of semiconductor device and method of forming same
TWI758149B (zh) 鰭式場效電晶體裝置及其形成方法
US20230369428A1 (en) Under epitaxy isolation structure
US20220277994A1 (en) Conductive feature of semiconductor device and method of forming same
US20230047598A1 (en) Semiconductor devices and methods of manufacture