TW202218014A - 用於沉積及蝕刻的半導體處理室 - Google Patents

用於沉積及蝕刻的半導體處理室 Download PDF

Info

Publication number
TW202218014A
TW202218014A TW110132389A TW110132389A TW202218014A TW 202218014 A TW202218014 A TW 202218014A TW 110132389 A TW110132389 A TW 110132389A TW 110132389 A TW110132389 A TW 110132389A TW 202218014 A TW202218014 A TW 202218014A
Authority
TW
Taiwan
Prior art keywords
cover plate
channel
less
recessed channel
substrate support
Prior art date
Application number
TW110132389A
Other languages
English (en)
Other versions
TWI819370B (zh
Inventor
寇康錢德拉 保羅
拉維庫瑪 帕提耶
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202218014A publication Critical patent/TW202218014A/zh
Application granted granted Critical
Publication of TWI819370B publication Critical patent/TWI819370B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2007Holding mechanisms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20221Translation
    • H01J2237/20235Z movement or adjustment

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Weting (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

示例性半導體基板支座可以包括底座,底座具有軸與平臺。半導體基板支座可以包括蓋板。蓋板可以沿著蓋板的第一表面與平臺耦接。蓋板在蓋板的第二表面中限定凹進通道,第二表面與第一表面相對。半導體基板支座可包含圓盤,圓盤與蓋板的第二表面耦接。圓盤可併入電極。圓盤可限定垂直延伸穿過圓盤的複數個孔,以流體地存取蓋板中限定的凹進通道。

Description

用於沉積及蝕刻的半導體處理室
對於相關申請案的交互參照:本申請案主張對於申請於2020年9月8日、名為「SEMICONDUCTOR PROCESSING CHAMBERS FOR DEPOSITION AND ETCH」的美國專利申請案第17/014,177號的優先權,在此仰賴且併入此美國申請案之內容以作為參考。
本技術涉及以下申請案(全部於2020年9月8日同時提交),標題為:「SINGLE CHAMBER FLOWABLE FILM FORMATION AND TREATMENTS」(代理人編號:44018206US01 (1190509))、「SEMICONDUCTOR PROCESSING CHAMBERS FOR DEPOSITION AND ETCH」(代理人編號:44018155US01 (1190407))。為了所有目的,這些申請案中的每一個藉由引用整體併入本文。
本技術相關於半導體處理。更具體地,本技術涉及用於沉積和處理包括可流動膜的材料的系統和方法。
藉由在基板表面上產生具有錯綜複雜圖案的材料層,而使積體電路的製造成為可能。在基板上產生圖案化材料需要受控的形成和去除暴露材料的方法。隨著裝置尺寸的不斷縮小,材料的形成可能會影響後續操作。例如,在間隙填充操作中,可以形成或沉積材料以填充形成在半導體基板上的溝槽或其他特徵。由於特徵可能具有更高的深寬比和減小的關鍵尺寸,因此這些填充操作可能會受到挑戰。例如,由於沉積可能發生在特徵的頂部並沿著特徵的側壁,繼續沉積可能夾斷(pinch off)特徵,包括特徵內的側壁之間,並且可能在特徵內產生空隙。這會影響裝置性能和後續處理操作。
因此需要改良的系統與方法,以產生高品質的裝置與結構。這些和其他需求由本技術解決。
示例性半導體基板支座可以包括軸與平臺。半導體基板支座可以包括蓋板。蓋板可以沿著蓋板的第一表面與平臺耦接。蓋板在蓋板的第二表面中限定凹進通道,第二表面與第一表面相對。 半導體基板支座可包含圓盤,圓盤與蓋板的第二表面耦接。圓盤可併入電極。圓盤可限定垂直延伸穿過圓盤的複數個孔,以流體地存取蓋板中限定的凹進通道。
在一些具體實施例中,平臺可限定流體通道,流體通道跨過平臺。凹進通道可為第一凹進通道,且蓋板可限定第二凹進通道,第二凹進通道在第一凹進通道的徑向外側。可從限定在蓋板中的第一橫向通道流體地存取第一凹進通道。可從限定在蓋板中的第二橫向通道流體地存取第二凹進通道。支座可包含:第一通道蓋,第一通道蓋座置在第一凹進通道上。第一通道蓋可限定穿過第一通道蓋的複數個孔。穿過第一通道蓋的複數個孔中的每個孔可對齊垂直延伸穿過圓盤的複數個孔中的對應孔。支座可進一步包含升舉銷組件,升舉銷組件延伸通過平臺、蓋板與圓盤。升舉銷組件可包含升舉銷、襯墊、固定器、以及配重件。排氣路徑可被沿著襯墊限定並穿過固定器。支座可包括延伸通過底座的軸的RF桿。RF桿可藉由設置在圓盤內的傳導性連接器與電極電耦接。支座可包含桿絕緣體,桿絕緣體沿著RF桿的長度圍繞RF桿延伸。底座可座置於轂中,且桿絕緣體可延伸穿過轂。支座可包含圓盤絕緣體,圓盤絕緣體在圓盤內沿著傳導性連接器延伸。桿絕緣體可至少部分座置在圓盤絕緣體內。底座與蓋板限定淨化路徑,淨化路徑在蓋板處延伸至桿絕緣體。淨化路徑可沿著桿絕緣體的內部與外部持續。
本技術的一些具體實施例可以涵蓋半導體處理系統。系統可包括腔室主體。系統可包含基板支座,基板支座經配置以支撐半導體基板。基板支座可以包括底座,底座具有軸與平臺。基板支座可以包括蓋板。蓋板可以沿著蓋板的第一表面與平臺耦接。蓋板在蓋板的第二表面中限定凹進通道,第二表面與第一表面相對。 基板支座可包含圓盤,圓盤與蓋板的第二表面耦接。圓盤可併入電極。圓盤可限定垂直延伸穿過圓盤的複數個孔,以流體地存取蓋板中限定的凹進通道。系統可包括面板。腔室主體、基板支座與面板限定處理區域。腔室可包括與面板耦合的高頻電漿源。系統可包括與基板支座耦合的低頻電漿源。
在一些具體實施例中,基板支座可包括靜電吸盤。半導體處理系統可包含DC電源供應器,DC電源供應器與基板支座耦接。低頻電漿源可被配置為在小於或大約2 MHz下操作。高頻電漿源可經配置以由大於或約13.56 MHz、小於或約20kHz的脈衝頻率、小於或約20%的工作週期操作。高頻電漿源可經配置以由小於或約5 W的等效功率產生電漿。凹進通道可為第一凹進通道。蓋板可限定在第一凹陷通道的徑向外側的第二凹陷通道。可從限定在蓋板中的第一橫向通道流體地存取第一凹進通道。可從限定在蓋板中的第二橫向通道流體地存取第二凹進通道。系統可包含:第一通道蓋,第一通道蓋座置在第一凹進通道上。 第一通道蓋可限定穿過第一通道蓋的複數個孔。穿過第一通道蓋的複數個孔中的每個孔可對齊垂直延伸穿過圓盤的複數個孔中的對應孔。系統可包含第一LC濾波器,第一LC濾波器與基板支座耦接,且第一LC濾波器經配置以透過基板支座虛擬地將高頻電漿源接地。系統可包含第二LC濾波器,第二LC濾波器與面板耦接,且第二LC濾波器經配置以虛擬地將低頻電漿源接地至腔室主體。
這種科技可提供優於習知系統與技術的數個益處。例如,藉由利用根據本技術的基板支座,可以提供改進的冷卻和電漿管理。另外,藉由根據本技術的具體實施例執行沉積,可以在沉積操作期間產生可重複的電漿。這些與其他的具體實施例(以及許多他們的優點與特徵),被結合下列說明與附加圖式更詳細地說明。
在半導體裝置製造中可將非晶矽用於許多結構和處理,包括作為犧牲材料,例如作為虛設閘極(dummy gate)材料,或作為溝槽填充材料。在間隙填充操作中,一些處理可以利用在處理條件下形成的可流動膜來限制沉積的保形性,這可以允許沉積的材料更好地填充基板上的特徵。可流動的矽材料的特徵可在於相對大量的氫,並且可能不如其他形成的膜緻密。因此,可以進行後續處理操作以固化所生產的膜。習知技術可利用UV固化處理去除氫並處理膜。然而,UV固化可能會導致顯著的薄膜收縮,這可能會對特徵產生應力並在結構內產生空隙。此外,處理在與沈積室分開的室中形成,這將因為增加處理時間而降低產量。
隨著特徵尺寸不斷縮小,可流動膜可能面臨窄特徵的挑戰,這可能進一步以更高的深寬比為特徵。例如,由於沉積在特徵的側壁上,可能更容易發生特徵的收縮,這在小特徵尺寸中可能進一步限制進一步流入特徵中,並且可能產生空隙。一些習知的可流動膜形成可以藉由在遠端電容耦接電漿區域或在與腔室耦接的遠端電漿源單元中產生自由基來進行。然而,對於高深寬比特徵的循環形成,此處理可能提供不可靠的沉積。例如,當自由基通過室組件,例如面板時,再結合(recombination)可能會挑戰自由基流出物的一致供應性。此外,遠端電漿源可能無法限制小節距特徵內的沉積量。這可能會在特徵內過度沉積,然後可能會限制或阻止處理流出物的完全滲透。這可能會在後續處理過程中造成損壞,從而導致基板報廢。
本技術可以藉由將高頻和低頻電源去耦並利用允許在短時間內執行低功率、可重複電漿生成的觸發序列,來克服這些限制。這可以將溝槽填充期間的沉積限制到嚴格控制的量,以及確保在後續處理操作期間完成處理。此外,本技術可結合基板支撐組件,基板支撐組件可在處理操作期間更好地控制溫度漂移,以增加對每個沉積循環穩定且可重複的溫度的保證。在描述了根據本技術的一些具體實施例的腔室的一般態樣之後(其中可以執行下面討論的電漿處理操作),可以討論特定的腔室配置和方法。應當理解,本技術並不旨在限於所討論的特定膜、腔室和處理,因為所描述的技術可以用於改善許多膜形成或去除過程,並且可以應用於各種處理室和操作。
1圖示根據本技術的具體實施例的示例性處理腔室100的截面示意圖。該圖可以示出結合了本技術的一個或多個態樣的系統的概述,和/或可以執行根據本技術的具體實施例的一個或多個沉積或其他操作的系統。腔室100的附加細節或所執行的方法可在下面進一步描述。根據本技術的一些具體實施例,腔室100可用於形成膜層,儘管應當理解,該方法可類似地在其中可能發生膜形成的任何腔室中執行。處理腔室100可包括腔室主體102、設置在腔室主體102內部的基板支座104、以及與腔室主體102耦接並將基板支座104封閉在處理空間120中的蓋組件106。可以透過開口126將基板103提供給處理空間120,開口126可以被由習知方式密封以使用狹縫閥或門進行處理。在處理期間,基板103可以位於基板支座的表面105上。如箭頭145所示,基板支座104可以沿著軸線147旋轉,軸線147可以位於基板支座104的軸144所在的位置。或者,可以在沉積過程中根據需要將基板支座104提升以旋轉。
電漿輪廓調變器111可以設置在處理室100中,以控制在設置在基板支座104上的基板103上的電漿分佈。電漿輪廓調變器111可包括第一電極108,第一電極108可鄰近腔室主體102設置,並且可將腔室主體102與蓋組件106的其他部件分開。第一電極108可以是蓋組件106的一部分,或者可以是單獨的側壁電極。第一電極108可以是環形或環狀構件,並且可以是環形電極。第一電極108可以是圍繞處理空間120的圍繞處理腔室100的圓周的連續環,或者如果需要的話可以在所選位置處是不連續的。第一電極108也可以是穿孔電極,例如穿孔環或網狀電極,或者可以是平板電極,例如二次氣體分配器。
一個或多個隔離器110a、110b可以是介電材料,例如陶瓷或金屬氧化物,例如氧化鋁和/或氮化鋁,可以與第一電極108接觸並且將第一電極108與氣體分配器112和腔室主體102電熱隔離。氣體分配器112可以限定用於將處理前驅物分配到處理空間120中的孔118。氣體分配器112可以與第一電源142耦合,例如RF產生器、RF電源、DC電源、脈衝DC電源、脈衝RF電源或可以與處理室耦合的任何其他電源。在一些具體實施例中,第一電源142可以是RF電源。
氣體分配器112可以是導電氣體分配器或非導電氣體分配器。氣體分配器112也可以由導電和非導電部件形成。例如,氣體分配器112的主體可以是導電的,而氣體分配器112的面板可以是不導電的。氣體分配器112可以例如由圖1所示的第一電源142供電,或在一些具體實施例中,氣體分配器112可以接地。
第一電極108可以與第一調諧電路128耦合,第一調諧電路128可以控制處理室100的接地路徑。第一調諧電路128可以包括第一電子感測器130和第一電子控制器134。第一電子控制器134可以是或包括可變電容器或其他電路元件。第一調諧電路128可以是或包括一個或多個電感器132。第一調諧電路128可以是在處理期間在存在於處理空間120中的電漿條件下實現可變或可控阻抗的任何電路。在所示的一些具體實施例中,第一調諧電路128可以包括並聯耦合在地和第一電子感測器130之間的第一電路支路和第二電路支路。第一電路支路可以包括第一電感器132A。第二電路支路可以包括與第一電子控制器134串聯耦合的第二電感器132B。第二電感器132B可以設置在第一電子控制器134和將第一電路支路和第二電路支路兩者都連接到第一電子感測器130的節點之間。第一電子感測器130可以是電壓或電流感測器,並且可以與第一電子控制器134耦合,第一電子控制器134可以提供對處理空間120內的電漿條件的一定程度的閉環控制。
第二電極122可以與基板支座104耦合。第二電極122可以被嵌入在基板支座104內或與基板支座104的表面耦合。第二電極122可以是板、穿孔板、網、絲網或導電元件的任何其他分佈式佈置。第二電極122可以是調諧電極,並且可以藉由導管146與第二調諧電路136耦合,導管146例如是設置在基板支座104的軸144中的具有選定電阻(例如50歐姆)的電纜。第二調諧電路136可以具有第二電子感測器138和第二電子控制器140,其可以是第二可變電容器。第二電子感測器138可以是電壓或電流感測器,並且可以與第二電子控制器140耦合以提供對處理空間120中的電漿條件的進一步控制。
可以是偏壓電極和/或靜電吸盤電極的第三電極124可以與基板支座104耦合。第三電極可以透過濾波器148與第二電源150耦合,濾波器148可以是阻抗匹配電路。第二電源150可以是DC電源、脈衝DC電源、RF偏壓電源、脈衝RF電源或偏壓電源、或這些或其他電源的組合。在一些具體實施例中,第二電源150可以是RF偏壓功率。
圖1的蓋組件106和基板支座104可與用於電漿或熱處理的任何處理室一起使用。在操作中,處理腔室100可以提供對處理空間120中電漿狀況的即時控制。可以將基板103設置在基板支座104上,並且可以根據任何期望的流動計劃,使用入口114使處理氣體流過蓋組件106。氣體可以通過出口152離開處理室100。電力可以與氣體分配器112耦合以在處理空間120中建立電漿。在一些具體實施例中,可以使用第三電極124使基板經受電偏壓。
在激勵處理空間120中的電漿時,可以在電漿與第一電極108之間建立電位差。還可以在電漿和第二電極122之間建立電位差。然後,可以使用電子控制器134、140來調整由兩個調諧電路128和136表示的接地路徑的流動特性。設定點可以被傳遞到第一調諧電路128和第二調諧電路136,以提供從中心到邊緣的沉積速率和電漿密度均勻性的獨立控制。在電子控制器都可以是可變電容器的具體實施例中,電子感測器可以調節可變電容器以獨立地最大化沉積速率並且最小化厚度不均勻性。
調諧電路128、136中的每個可具有可變阻抗,可變阻抗可使用相應的電子控制器134、140來調節。在電子控制器134、140是可變電容器的情況下,可以選擇每個可變電容器的電容範圍以及第一電感器132A和第二電感器132B的電感來提供阻抗範圍。此範圍可以取決於電漿的頻率和電壓特性,其在每個可變電容器的電容範圍內可以具有最小值。因此,當第一電子控制器134的電容處於最小值或最大值時,第一調諧電路128的阻抗可能很高,導致電漿形狀在基板支座上具有最小的空中或橫向覆蓋率。當第一電子控制器134的電容接近使第一調諧電路128的阻抗最小化的值時,電漿的空中覆蓋範圍可以增長到最大,從而有效地覆蓋基板支座104的整個工作區域。當第一電子控制器134的電容偏離最小阻抗設置時,電漿形狀可能從腔室壁收縮並且基板支座的空中覆蓋率可能下降。第二電子控制器140可以具有類似的效果,隨著第二電子控制器140的電容可以改變,增加和減少了電漿在基板支座上的空中覆蓋。
電子感測器130、138可以用於在閉環中調諧各個電路128、136。取決於所使用的感測器的類型,可以將電流或電壓的設定點安裝在每個感測器中,並且感測器可以配備有控制軟體,控制軟體確定對每個相應電子控制器134、140的調整以最小化與設定點的偏差。因此,可以在處理期間選擇電漿形狀並對其進行動態控制。應該理解,儘管前面的討論是基於可以是可變電容器的電子控制器134、140,但是具有可調特性的任何電子元件都可以用來為調諧電路128和136提供可調的阻抗。
2圖示根據本技術的一些具體實施例的處理室200的部分截面示意圖。腔室200可以包括上述處理室100的任何特徵、部件或特性,並且可以示出腔室的附加特徵,包括與腔室耦接的特定功率源。例如,腔室200可以包括腔室主體205。腔室可以包括基板支座210,基板支座210可以被配置為在半導體處理期間支撐基板。腔室可以包括面板215,面板215與底座和腔室主體可以一起在被處理的基板上方限定處理區域。
一些習知的處理系統可以藉由在將底座接地的同時向面板施加功率,或者向底座施加源功率並且將面板接地,來在處理區域內產生電漿。在一些系統中,額外的偏壓電源可以與底座耦接以增加電漿流出物的方向性。應當理解,用於靜電吸附的單獨的直流功率源可以與底座耦接,如上文對處理室100所述的那樣,除了吸附基板之外,處理室100還可以操作以進一步偏壓處理室中產生的電漿。本技術可以藉由將兩個單獨的電漿功率源與如圖所示的噴淋頭和底座耦接而不同於習知配置。例如,第一電漿功率源220可以與噴淋頭耦接,而第二電漿功率源230可以與底座耦接。在一些具體實施例中,第一電漿功率源220可以是高頻電漿功率源,而第二電漿功率源230可以是低頻電漿功率源。在一些具體實施例中,低頻電漿電源230可以與DC功率源分開,DC功率源可以用於將基板靜電耦接到底座。
低頻電漿功率源可以在小於或約2MHz的第一頻率下運行,並且可以在小於或約1.5MHz、小於或約1.0MHz、小於或約800kHz的頻率下運行、小於或約600kHz、小於或約500kHz、小於或約400kHz、小於或約350kHz、小於或約300kHz、小於或約250kHz、小於或約200kHz,或更少的頻率。高頻電漿功率源可以在大於或約2MHz的第二頻率下操作,並且可以大於或約10MHz、大於或約13MHz,例如13.56MHz、大於或約15MHz、大於或約20 MHz、大於或約40 MHz,或更高的頻率。
電漿源的附加態樣可用於進一步調整處理區域內產生的電漿。例如,根據本技術的具體實施例的腔室可用於填充高深寬比特徵,其中可產生離散量的沉積以限制被填充的特徵內的空隙形成。基於在有限的時間段內無法產生可重複的低功率電漿,習知腔室在電漿功率的降低方面可能受到限制。本技術可用於生產特徵在於每個循環的厚度小於或約10 nm的材料層。為了完成這種受限的沉積,可以限制沉積週期,或者可以減少沉積期間使用的功率。習知系統可能無法將電漿功率降低至低於或約100 W,這可能會增加沉積材料的量,而且縮短形成週期以適應這種更高功率可能會限制在多循環沉積期間產生可重複電漿的能力。
本技術藉由產生低功率沉積電漿克服了這些問題,低功率沉積電漿的特徵可在於等效電漿功率小於或約20 W,並且特徵可在於等效電漿功率小於或約15 W、小於或約10W、小於或約8W、小於或約6W、小於或約5W、小於或約4W、小於或約3W、或更少。為了在沉積操作期間產生這種較低功率的電漿,系統可以以小於或約200 kHz的脈衝頻率運行高頻電漿功率,並且可以以小於或約150 kHz、小於或約100 kHz、小於或約80 kHz、小於或約70 kHz、小於或約60 kHz、小於或約50 kHz、小於或約40 kHz、小於或約30 kHz、小於或大約20 kHz、小於或大約10 kHz、或更少的脈衝頻率來運行電漿功率。此外,並且在提到的任何脈衝頻率下,高頻電漿功率源可以在減小的工作週期下運行,該工作週期可以小於或約 50%,並且可以小於或約 45%、小於或等於約 40%、少於或約 35%、少於或約 30%、少於或約 25%、少於或約 20%、少於或約 15%、少於或約 10%、少於或約5%或更少。
在一些具體實施例中,降低的脈衝頻率和工作週期可能以一致的方式挑戰電漿的產生。一旦產生的低功率電漿可能會產生低速率沉積以限制每個循環的沉積,然而,點燃可能會受到挑戰。因此,在一些具體實施例中,電漿功率可以與觸發序列一起操作以促進沉積期間的電漿產生。例如,如上所述,在一些具體實施例中,可以在沒有低頻電漿功率源的情況下執行沉積操作。然而,在沉積操作期間的一些具體實施例中,可以操作低頻電漿功率源以促進點燃。此外,可以不操作低頻電漿功率源,並且可以對高頻電漿功率源施加功率尖峰以促進點燃。功率尖峰可以透過功率管理直接施加,或透過高頻電漿功率源的電平到電平操作施加。
還可以操作低頻電漿功率源以在處理期間控制電漿形成和離子方向性。藉由透過底座遞送低頻電漿功率,在此通電電極處形成的電漿鞘可以有利於離子進入被緻密化的特徵的方向性。低頻電漿功率源可以在上述任何功率位準或脈衝頻率下運行,但是在一些具體實施例中,第二功率源230可以在處理操作期間以比第一功率源220更大的電漿功率運行。例如,在處理期間,由第二功率源輸送的電漿功率可大於或約50W,並且可大於或約 100W、大於或約 200W、大於或約 300W、大於或約400W、大於或約500W、大於或約600W、大於或約700W、大於或約800W、或更多。藉由在處理電漿形成期間增加低頻功率源的電漿功率,可以產生更大量的電漿流出物。從底座施加更大的低頻功率,可以增加垂直於橫跨基板的平面的遞送的方向性。
藉由調整所提供的電漿功率或偏壓功率的一個或多個特性,可以進行額外的調整以進一步提升沿著特徵的側壁的沉積材料的蝕刻。例如,在一些具體實施例中,電漿功率源和偏壓功率源都可以以連續波模式操作。此外,功率源中的一個或兩個可以以脈衝模式操作。在一些具體實施例中,在處理期間,高頻功率源可以以連續波模式或脈衝模式操作,而低頻電源可以以脈衝模式操作。低頻電漿電源的脈衝頻率可小於或約1,000Hz,並且可小於或約900Hz、小於或約800Hz、小於或約700Hz、小於或約600Hz ,小於或約500Hz,小於或約400Hz,小於或約300Hz,小於或約200Hz,小於或約100Hz,或更小。第二電源供應器的工作週期可以小於或約50%,並且低頻電漿功率可以以小於或約45%、小於或約40%、小於或約35%、少於或約30%、少於或約25%、少於或約20%、少於或約15%、少於或約10%、少於或約5%、或更少的工作週期操作。藉由以降低的工作週期操作低頻電源,例如小於或大約50%的導通時間工作週期,每個循環的更多時間可以基於高頻電源供應器的操作在特徵內執行更加各向同性的蝕刻,這在緻密化操作期間可以更好地從側壁去除材料。
第一電漿功率源220可以透過底座虛擬地與地耦接。例如,如圖所示,第一LC濾波器225可以與底座耦接,並且可以透過底座虛擬地將高頻電漿源接地。類似地,第二電漿功率源230可以透過腔室與地耦接。例如,第二LC濾波器可以與面板耦接,面板可以虛擬地將低頻電漿源接地,例如接到腔室主體或外部接地。藉由分離高頻電源供應器和低頻電源供應器,可以提供改進的電漿生成和操作。
3示出了根據本技術的一些具體實施例的基板支撐組件300的示意性局部剖視圖。如上所述,本技術可以在一些具體實施例中用於在單個腔室內執行低溫沉積和固化。為低電漿功率下的低溫操作配置的半導體支撐組件可能會遇到許多問題。例如,當使用靜電吸盤時,基板支撐表面或圓盤可以是其中包括電極的介電材料。可以包括多個電極的電極,可以是可以與DC電源供應器耦接的吸附電極,以及可以與RF電源供應器耦接的電漿生成電極中的一者或兩者。
根據本技術的一些具體實施例的基板支撐組件,可以包括與如上所述的基板支撐組件耦接的低頻功率源。基板支撐組件還可以被配置為將基板保持在用於沉積和處理操作的溫度。雖然底座可以被操作以保持基板溫度,但是介電圓盤可以從至少部分地使圓盤絕緣並減少冷卻的下層冷卻通道產生溫度梯度。此外,電漿性能也可能影響基板溫度。例如,本技術可包括可流動膜的循環形成和處理。習知技術可以在兩個腔室之間移動基板,分別執行沉積和處理,這可以有助於確保基板在每次沉積操作期間保持在設定點溫度。由於溫度可能會影響沉積速率和膜流動特性,因此為每個沉積週期保持一致的基板溫度可以改善通過特徵的膜形成。
由於本技術可在發生沉積的同一處理室中產生處理電漿,因此將基板冷卻回沉積設定點可能由於處理期間電漿暴露而成為問題。雖然沉積電漿可以在低電漿功率下發生,但是可以在來自源電漿的更高電漿功率下進行處理,此更高電漿功率可以是十倍或更多,並且這可以增加基板上的熱負荷。這可能導致在處理操作期間基板溫度升高的比沉積期間可能發生的更多。雖然基板支撐組件可以操作以將基板冷卻回沉積設定點,但是圓盤的介電材料(例如陶瓷)可能會減慢冷卻速度,並且基板區域可不像在處理和隨後的沉積操作之間那樣容易冷卻。本技術可藉由增加向基板背面的熱傳遞來克服這些問題。
基板支撐組件300可以類似於基板支座104或基板支座210,並且可以包括上述那些支座的任何特徵、部件或特性,包括任何相關聯的部件或電源供應器。基板支撐組件300可包括底座軸305,底座軸305可包含軸307與平臺308。平臺308可以在平臺的表面中限定一個或多個流體通道309。流體通道309可包括一個或多個通道,例如螺旋或其他迂迴圖案,通道可透過軸的入口和出口通道與流體源耦接。在具體實施例中,流體源可包括冷卻或加熱的流體,包括冷卻器以進一步降低流體溫度。基板支座可以被配置為保持低於或約100°C的溫度,並且在一些具體實施例中可以被配置為保持低於或約80°C、低於或約60°C、低於或約40°C、低於或約20°C、低於或約0°C、低於或約-5°C、低於或約-10°C、低於或約-15°C、低於或約-20°C、低於或約-25 °C或更低。
蓋板310可以安裝在平臺上,例如覆蓋在流體通道上。蓋板310可以座置於蓋板的第一表面312上並與壓板308耦接。蓋板310的特徵還可在於與第一表面相對的第二表面314。第二表面314可以限定一個或多個通道315,通道315可以是限定在蓋板331的第二表面314中的凹進通道。第二表面314還可限定圓周凹槽317,圓周凹槽317可以限定內部平台,圓盤320可以座置在內部平台上。凹槽317可以提供防止顆粒進入圓盤320和蓋板310之間的保護。藉由包圍部件沿其粘附或結合的區域,凹槽可限制可允許材料進入的沿邊緣的任何間隙或斷裂。底座305和蓋板310均可以由傳導性材料製成,例如金屬如鋁或可以導熱的任何其他材料。在一些實施例中,圓盤320可以包括用於靜電吸附的吸附電極,因此圓盤320可以是介電材料,例如氮化鋁或一些其他陶瓷。因此,在本技術的一些具體實施例中,圓盤320可以與蓋板310的第二表面314粘合。如下文將進一步解釋的,圓盤可限定垂直延伸穿過圓盤的複數個孔。孔可以流體地存取凹進通道315,並且可以形成通過圓盤的流體路徑。這可以允許在可從流體通道315a存取的第一區域和可從流體通道315b存取的第二區域處進行額外的區域溫度控制。在本技術的一些具體實施例中可以形成任意數量的流體通道。
基板支撐組件300可包括延伸穿過平臺、蓋板和圓盤的一個或多個升舉銷組件325。在一些具體實施例中,邊緣環330可以安置在凹進壁架上,凹進壁架被限定在圓盤上並且圍繞圓盤的外邊緣延伸。如圖所示,邊緣環330可以座置於蓋板310的外部壁架上。與蓋板和底座耦接的邊緣環(都可以是傳導性材料),可以為高頻電漿功率源提供更對稱的接地路徑,這在一些具體實施例中可以提高電漿的均勻性。延伸穿過平臺的是RF桿335,RF桿335可以與如上所述的低頻電源供應器的RF匹配件耦接。底座305可位於轂340上,RF桿335可延伸穿過轂340。RF桿335可以具有圍繞RF桿延伸的桿絕緣體345,並且其可以與RF桿一起延伸穿過轂340、底座305、蓋板310和圓盤320中的每一個。藉由使桿絕緣體345沿著RF桿的長度延伸並通過轂,可以在轂處防止到底座的RF洩漏路徑。
在RF桿335的另一端,RF桿可以與設置在圓盤320內的電極耦合。導電連接器350,例如鉬或其他導電材料,可以與RF桿335和電極耦合。連接可以是任何類型的連接器,包括 RF 桿上的 Multilam 或其他多觸點連接器。附加的圓盤絕緣體355可以位於圓盤中限定的凹槽內,可以圍繞導電連接器 350延伸。RF桿335和桿絕緣體345可以至少部分地位於圓盤絕緣體355內。圓盤絕緣體和桿絕緣體可以操作以透過延伸到處理室中的基板支撐組件的部件完全包圍RF桿335,這可以進一步減少或限制洩漏路徑,否則洩漏路徑可能導致雜散電弧。這些組件將在下面進一步描述。
4A示出了根據本技術的一些具體實施例的蓋板400的示意性分解透視圖。蓋板400可以包括上述蓋板310的任何特徵、部件或特性。例如,蓋板400可以包括限定圍繞蓋板延伸的第一凹進通道405a的材料。在一些具體實施例中,蓋板400還可以限定第二凹進通道405b以及任意數量的附加凹進通道,並且第二凹進通道405b可以在第一凹進通道的徑向外側。儘管顯示為環形通道,但通道可具有沿著蓋板周圍的任何形狀。孔407可以形成為穿過延伸到板中的每個凹進通道以進入側向通道,如下文所述。凹進通道可以是圍繞蓋板限定的環形通道。凹進通道405可以包括位於凹進通道上的通道蓋410以限定來自通道的一個或多個流動路徑。通道蓋410a可以佈置在第一凹進通道405a上方並且通道蓋410b可以佈置在第二凹進通道405b上方。如圖所示,每個通道蓋可限定一個或多個,例如延伸穿過通道蓋的複數個孔412。孔可以圍繞通道蓋間隔開以從凹進通道提供入口。穿過通道蓋的孔可與垂直延伸穿過基板支撐組件的圓盤的孔對齊,孔可限定延伸至正被處理的基板背面的流體通道。
蓋板400可限定一個或多個延伸穿過蓋板的孔415,孔415可為升舉銷組件提供通路以延伸穿過蓋板,如下文將進一步描述的。蓋板400可限定穿過蓋板的中心孔420,中心孔420可允許存取如先前描述的RF桿和桿絕緣體。中心孔420可以限定凹進壁架,淨化蓋425可以座置在凹進壁架上。如下文將進一步描述的,淨化蓋425可以在淨化通道上方延伸,淨化通道延伸穿過蓋板並通過蓋板延伸到中心孔420。淨化蓋上的突起可在形成的通道上方延伸。額外的孔428可以形成在中心孔的外面,並且可以提供到橫向通道430的流體通路,橫向通道430沿著半徑向外延伸穿過板以存取凹進的通道。
4B示出了根據本技術的一些具體實施例的蓋板400的示意性局部剖視圖。如圖所示,蓋板400可以限定凹進通道405,通道蓋410可以設置在凹進通道405中。通道蓋的特徵可以是U形或其他輪廓以形成用於流體流動的封閉通道。可以由穿過通道蓋限定的孔412提供從通道的存取。如圖所示,孔412可以是凹進的,這在一些實施例中可以允許將多孔塞設置在凹槽內。如上所述,每個凹進通道405可以包括穿過蓋板限定的孔407,並且孔407可以部分地延伸到蓋板中以進入限定在板內的橫向通道430。儘管單個橫向通道可以提供通向兩個或所有凹進通道的流體通路,但在一些具體實施例中,可以藉由穿過板的單獨橫向通道存取每個凹進通道。流體流,例如氦氣、氬氣或一些其他氣體的氣流,可以流過蓋板400並沿著橫向通道430。流體可以透過孔407向上流動到凹進通道405中,並且可以圍繞凹進通道流動。然後流體流可以向上延伸穿過孔412,並且穿過通過圓盤的相應孔,這可以提供到基板背面的通路。因為流體可以在透過基板支撐組件傳送期間被冷卻,所以氣體可以促進從基板背面冷卻基板。
因此,藉由調節透過圓盤的流體流,可以提供優於單獨來自支撐組件的傳導冷卻的改進的冷卻。例如,藉由在處理操作期間和/或之後增加流體流量,基板的溫度可以更容易地返回到沉積設定點溫度。藉由提供多個輸送單獨流體流動路徑的凹進通道,不同區域可以以不同速率冷卻,這可以適應基板上不均勻的溫度分佈。因此,在處理操作期間產生的熱負荷問題可以透過根據本技術的基板支撐組件來適應,這可以藉由確保在每個沉積循環中一致的基板溫度來提高沉積操作的均勻性。
5A示出了根據本技術的一些具體實施例的基板支撐組件300的示意性局部剖視圖,並且可以示出上述升舉銷組件325的附加態樣,以及示出支撐組件的附加特徵。如圖所示,基板支撐組件300可包括底座的平臺308。蓋板310可以座置在平臺上方,蓋板限定一個或多個凹進通道315,凹進通道315可以包括設置在通道內的通道蓋410。基板支撐組件300可以包括如前所述的圓盤320和邊緣環330。
圓盤320可以具有結合在圓盤內的電極505,電極505並且被配置為操作為如前所述的吸附電極和/或電漿電極。如上所述,在電漿產生電極505下方還可以包括附加的吸附電極。圓盤320可以限定多個突起或檯面515,突起或檯面515可以促進在基板表面處的JR吸附,基板表面可以安置在突起上。因此,藉由座置於偏移上,可以在圓盤和基板之間形成背面間隙。因此,如前所述,流體可以向上流過孔510,孔510垂直地限定穿過吸盤並且與如前所述的穿過蓋板通道蓋的孔對齊。如上所述,這可以增強基板的冷卻。
如圖所示,升舉銷組件325可以延伸穿過平臺308、蓋板310和圓盤320。升舉銷組件可形成為限制升舉銷孔內的電漿產生,以及限制氦的截留(氦可透過圓盤流入孔中)。如上所示,沉孔可以形成為穿過圓盤,較小直徑的孔部分延伸到圓盤表面。升舉銷520的特徵在於在基板接觸端處的直徑減小,並且可以定位在從孔穿過圓盤的入口的正下方。例如,升舉銷520可以從圓盤的表面凹進小於或約2mm,並且可以從圓盤的表面凹進小於或約1mm、小於或約800μm、小於或約700μm、小於或約 600μm、小於或約500μm、小於或約400μm、小於或約300μm、小於或約200μm、或更小。
襯墊525可以穿過圓盤裝配到孔中並且抵靠O形環527或抵靠其他抵靠圓盤表面的止動器,以在升舉銷的平移期間限制與襯墊的接觸。襯墊可以限定升舉銷可以延伸通過的內部路徑,並且襯墊可以限定停止距離,如圖所示,停止距離可以被限定在升舉銷上的壁架接觸。襯墊可以藉由固定器530固定就位,固定器530可以裝配到平臺308中並且如圖所示為升舉銷限定相對的停止距離。配重件535可以與升舉銷520的與基板接觸端相對的端部耦接,並且可以在基板處理期間將升舉銷保持在凹進位置。升舉銷組件在操作中可以是被動的,並且可以由垂直凹進的基板支撐組件致動。當基板支座從操作位置縮回時,配重件可以被從下方接觸,並且可以使升舉銷向上延伸穿過組件以從支座提升基板。在本技術的一些具體實施例中可以包括任意數量的升舉銷組件。
如上所述,如果不提供淨化路徑,來自背面冷卻的氦可能進入升舉銷組件並被截留。因此,在一些實施例中,可以圍繞升舉銷的端部和襯墊形成間隙間距,這可以允許氦或一些其他冷卻流體流入升舉銷組件中。此外,固定器530可以被配置為允許流體逸出同時將升舉銷保持在適當位置。 5B示出了根據本技術的一些實施例的固定器530的示意圖。如圖所示,固定器530可以限定孔532,升舉銷可以延伸通過孔532。孔532的至少一部分的半徑的大小可被設計成確保當升舉銷處於縮回位置時升舉銷壁架可座置於固定器上。此外,固定器可限定圍繞孔的排放路徑534,排放路徑534可從襯墊延伸並允許冷卻流體從升舉銷組件逸出。這樣,截留的氣體就不會在處理操作過程中產生壓力和點燃。
6A示出了根據本技術的一些具體實施例的基板支撐組件300的示意性局部剖視圖,並且可以示出延伸穿過組件的淨化路徑的附加特徵。儘管腔室的處理區域可以保持在真空壓力下,但底座軸內的內部部件可以保持更接近大氣壓力。在低溫操作期間,這些區域內可能會發生冷凝,這可能會導致RF桿處產生電弧,或基板支撐組件內的其他腐蝕。因此,在一些具體實施例中,可以形成圍繞RF桿和相關部件延伸的附加淨化路徑,以確保可以限制或防止系統內的冷凝。
如圖所示,淨化路徑605可以延伸穿過底座軸和壓板308,並與蓋板310連接。淨化路徑605然後可以橫向延伸到RF桿延伸穿過軸的中心區域中。如上所述,淨化蓋425可以安置在路徑上以引導流進入中心孔。在一些實施例中,可以在RF桿絕緣體345和圓盤320內的其他部件之間形成間隙,包括圓盤絕緣體355和導電連接器350。如圖所示,當可以是氮氣或任何其他材料的淨化流衝擊桿絕緣體345時,流可以垂直上下以及圍繞桿絕緣體周向分叉。淨化路徑可以沿著桿絕緣體的外部向下延伸,以及在桿絕緣體和圓盤絕緣體355之間向上延伸。然後流可以沿著桿絕緣體的內表面向下延伸,例如在桿絕緣體和導電連接器之間,以及在桿絕緣體和RF桿之間。這可確保可從基板支撐組件清除空氣以防止冷凝。
6B示出了根據本技術的一些具體實施例的桿絕緣體345的示意性局部剖視圖。如圖所示,桿絕緣體345可以沿著內表面限定壁架610,並且可以從端部收縮出一內徑,內徑可以如上所示圍繞傳導性連接器延伸。當桿絕緣體沿著RF桿的長度延伸穿過底座時,直徑可以隨之減小。此外,桿絕緣體345可以在桿絕緣體的端部中限定一個或多個凹槽615,其中桿絕緣體可以鄰接圓盤絕緣體。凹槽615可以確保淨化氣體可以從桿絕緣體的外側延伸到內側以沿著RF桿吹掃。因為可以在圓盤絕緣體內凹進的區域中形成通路,所以可以控製或防止RF洩漏。
處理室100和/或處理室200可在本技術的一些具體實施例中用於處理方法,處理方法可包括用於半導體結構的材料的形成、蝕刻或固化。腔室可以包括上述的任何基板支撐組件部件或特徵。應當理解,所描述的腔室不被認為是限制性的,並且可以類似地使用可以被配置為執行所描述的操作的任何腔室。 7示出了根據本技術的一些具體實施例的處理基板的方法700中的示例性操作。方法可以在多種處理室中以及在一個或多個主機或工具上執行,包括上述處理室100或處理室200。方法700可以包括多個可選操作,這些可選操作可以與或可以不與根據本技術的方法的一些具體實施例具體相關。例如,描述了許多操作以提供更大範圍的結構形式,但是對技術不是關鍵的,或者可以通過容易理解的替代方法來執行。
方法700可以包括在列出的操作開始之前的附加操作。例如,附加的處理操作可以包括在半導體基板上形成結構,這可以包括形成和去除材料。例如,可以形成電晶體結構、記憶體結構或任何其他結構。可以在其中可以執行方法700的腔室中執行先前的處理操作,或者可以在將基板傳送到可以在其中執行方法700的半導體處理室中之前在一個或多個其他處理室中執行處理。無論如何,方法700可以可選地包括將半導體基板輸送到半導體處理室的處理區域,例如上述處理室200或可以包括如上所述的一個或多個組件的其他腔室。基板可以沉積在基板支座上,基板支座可以是底座,例如基板支座210,基板支座210可以是或包括基板支座組件300,並且可以位於室的處理區域中,例如上述處理空間120中。
待處理的基板可以是或包括用於半導體處理的任何數量的材料。基板材料可以是或包括矽、鍺、包括氧化矽或氮化矽的介電材料、金屬材料或這些材料的任意數量的組合,它們可以是形成在結構上的基板或材料。根據本技術,特徵可以以任何形狀或配置為特徵。在一些具體實施例中,特徵可以是或包括形成在基板內的溝槽結構或孔。儘管特徵可以以任何形狀或尺寸為特徵,但在一些具體實施例中,特徵可以以更高的深寬比或特徵的深度與跨特徵的寬度的比率為特徵。例如,在一些具體實施例中,特徵的深寬比可以大於或約5:1,並且可以大於或約10:1、大於或約15:1、大於或約20:1、大於或約25:1、大於或約30:1、大於或約40:1、大於或約50:1或更大。此外,特徵可具有包含在兩側壁之間的跨特徵的窄寬度或直徑,例如小於或約20nm的尺寸,並且特徵可具有跨特徵的寬度為小於或約15nm,小於或約12nm、小於或約10nm、小於或約9nm、小於或約8nm、小於或約7nm、小於或約6nm、小於或約5nm、或更小。
在一些具體實施例中,方法700可以包括可選的處理操作,例如預處理,預處理可以被執行以準備用於沉積的基板的表面。一旦準備好,方法700可以包括將一種或多種前驅物傳送到容納結構的半導體處理室的處理區域。前驅物可包括一種或多種含矽前驅物,以及一種或多種稀釋劑或載氣,例如惰性氣體或與含矽前驅物一起輸送的其他氣體。儘管將關於生產可流動矽膜來解釋本方法,但應理解,根據本技術的具體實施例,所描述的方法和/或室可用於生產任何數量的材料。在操作705,電漿可由包括含矽前驅物的沉積前驅物形成。電漿可以形成在處理區域內,這可以允許沉積材料沉積在基板上。例如,在一些具體實施例中,可以藉由如前所述地向面板施加電漿功率而在處理區域內形成電容耦接電漿。例如,如上所述的高頻電源供應器可以在降低的等效電漿功率下操作,以在基板上的特徵內沉積材料。
在操作710,含矽材料可以從含矽前驅物的電漿流出物沉積在基板上。在一些具體實施例中,材料可以是可流動的含矽材料,材料可以是或包括非晶矽。沉積的材料可以至少部分地流入基板上的特徵以提供自下而上類型的間隙填充。沉積的材料可以流入特徵的底部,儘管如圖所示,一定量的材料可以保留在基板的側壁上。儘管沉積的量可能相對較小,但側壁上的剩餘材料可能會限制後續流動。
在沉積期間施加的功率可以是較低功率的電漿,這可以限制離解(dissociation),並且可以保持沉積材料中的氫結合量。這種引入的氫可能有助於沉積材料的流動性。因此,在一些具體實施例中,電漿功率源可向面板輸送小於或約100W的電漿功率,並且可輸送小於或約90W、小於或約80W、小於或約70W,小於或約60W,小於或約50W,或更少的功率。如前所述,藉由以脈衝頻率和工作週期操作高頻電源供應器可以進一步衰減此功率,這可以產生低於或大約10 W的等效功率,並且可以產生低於或大約5 W的等效功率,如上文討論過。
在一定量的沉積之後,在本技術的一些具體實施例中,可以形成處理或固化處理,處理或固化處理被配置為將所形成的材料緻密化,並且可以有益地清潔或回蝕特徵側壁上的材料。此處理可以在與沈積相同的腔室中執行,並且可以在循環處理中執行以填充特徵。在一些具體實施例中,可以停止含矽前驅物流並且可以淨化處理區域。在淨化之後,處理前驅物可以流入處理室的處理區域。處理前驅物可以是或包括氫氣、氦氣、氬氣或其他惰性材料,它們可能不會與膜發生化學反應。可以在操作715形成處理電漿,處理電漿也可以是形成在處理區域內的電容耦接電漿。雖然所形成的沉積電漿可以藉由向面板或噴淋頭施加高頻電漿功率來形成,並且在一些具體實施例中可以不包括接合的另一個功率源。處理可以利用高頻電源供應器以及與如前所述的基板支座耦接的低頻電源供應器兩者。在處理過程中,高頻電源供應器可以工作在第一功率位準,低頻電源供應器可以工作在第二功率位準,在本技術的具體實施例中,這兩個功率位準可以相似或不同。
雖然高頻電源供應器可以在沉積電漿期間以脈衝和低等效功率運行,但高頻電源供應器可以在處理期間以連續波配置運行,高頻電源供應器可以位於如前所述的任何電漿功率。低頻電源供應器可以在處理期間以脈衝模式操作,可以位於如前所述的任何脈衝頻率和/或工作週期。
在沉積操作期間,可以不操作低頻電源供應器。如上所述,為了能夠在低功率下產生可重複的電漿,可以利用觸發序列來確保在每次沉積操作期間產生電漿。觸發序列可以包括第一時間段和第二時間段,它們一起可以產生沉積時間段。在一些具體實施例中,為了限制沉積,沉積時間段可以小於或約30秒,並且可以小於或約20秒、小於或約15秒、小於或約10秒、小於或約8秒、少於或約6秒、少於或約5秒、少於或約4秒或更少。第一時間段可以小於第二時間段,並且第一時間段可以用於確保發生電漿生成,同時限制對沉積處理的影響。因此,在一些具體實施例中,第一時間段可以小於或約2秒,並且可以小於或約1秒、小於或約0.5秒、小於或約0.4秒、小於或約0.3秒、少於或約0.2秒、少於或約0.1秒、少於或約0.09秒、少於或約0.08秒、少於或約0.07秒、少於或約0.06秒、少於或約0.05秒,或更少。
在一些具體實施例中,可以在第一時間段期間由高頻功率源施加第一功率,第一功率可以高於在第二時間段期間由高頻功率源施加的第二功率。例如,在第一時間段期間,第一功率可大於或約50W,並且可大於或約80W、大於或約100W、大於或約120W、大於或約140W、大於或約160W、大於或約180W、大於或約200W,或更高。高頻功率源然後可以在第二時間段期間在沉積時間的剩餘時間內以上述任何等效功率施加功率。此外,高頻功率源可以在沉積時間內持續運行,但在第一時間段內,低頻功率源可以以上述任何功率位準施加以確保點燃。在另一示例中,高頻電源供應器可以在第一時間段期間以多位準脈衝配置操作,然後在第二時間段期間切換期望的等效功率。多位準脈衝可包括多個脈衝,每個脈衝可小於0.1秒,例如小於或約50微秒、小於或約40微秒、小於或約30微秒、小於或約大約20微秒或更短,並且所有這些都發生在第一時間段內。脈衝可以包括用於脈衝的第一部分的較高的初始脈衝,隨後是用於脈衝的第二部分的較低的第二脈衝。脈衝的這兩個部分可以在先前描述的任何功率位準發生。
在處理操作期間,基板支撐組件可用於在操作720中保持基板的溫度,並可在隨後的沉積操作之前繼續控制溫度。例如,除了透過基板支撐組件進行傳導冷卻之外,在一些具體實施例中,可以提供和調製背面氣體以在更高功率處理操作期間進一步控制基板溫度。同時或另外,更定向地輸送的電漿流出物可以穿透在特徵底部形成的剩餘膜,並且可以在操作725減少氫摻入以緻密化膜。在處理之後,背面氣體可以繼續流動以在隨後的沉積循環之前使溫度回到沉積設定點。
雖然沉積可以形成為數奈米或更大,藉由執行如前所述的蝕刻處理,緻密材料的厚度可以控制在小於或約100埃的厚度,並且可以小於或約90埃、小於或約80埃、小於或約70埃、小於或約60埃、小於或約50埃、小於或約40埃、小於或約30埃、小於或約20埃,小於或約10埃,或更小。藉由控制沉積材料的厚度,可以更容易地進行整個厚度的轉換,並且可以解決習知處理中常見的滲透問題。然後處理可以完全重複任意數量的循環,以繼續生產透過特徵向上的緻密材料。
關於在任何形成操作期間使用的沉積前驅物,任何數量的前驅物可以與本技術一起使用。在此期間可使用的含矽前驅物可包括但不限於矽烷(SiH 4)、乙矽烷(Si 2H 6)或其他有機矽烷,包括環己矽烷、四氟化矽(SiF 4)、四氯化矽(SiCl 4)、二氯矽烷(SiH 2Cl 2) 、原矽酸四乙酯(TEOS),以及可用於含矽膜形成的任何其他含矽前驅物。在一些具體實施例中,含矽材料可以是無氮、無氧和/或無碳的。在任何操作中,可包括一種或多種附加前驅物,例如惰性前驅物,前驅物可包括Ar、雙原子氫、He或其他材料,例如氮、氨或其他前驅物。
溫度和壓力也可能影響本技術的操作。例如,在促進膜流動的一些具體實施例中,處理可以在低於或約20°C的溫度下進行,並且可以在低於或約10°C、低於或約0°C、低於或約-10°C,低於或約-20°C,低於或約-30°C,或更低的溫度下進行。在整個方法中,包括在處理和緻密化期間,溫度可以保持在這些範圍中的任何一個。對於任何處理,腔室內的壓力也可保持相對較低,例如腔室壓力小於或約10托,並且壓力可保持在小於或約8托、小於或約6托、小於或約5托、小於或約4托、小於或約3托、小於或約2托、小於或約1托或更少。此外,在一些具體實施例中,在沉積和處理期間壓力可以保持在不同位準。例如,在沉積過程中壓力可以保持大於或大約1托,例如大於或大約2托、大於或大約3托或更高,並且在處理過程中壓力可以保持小於或大約1托,例如小於或約0.8托、小於或約0.5托、小於或約0.1托、或更少。藉由執行根據本技術的一些具體實施例的處理,可以產生利用含矽或其他可流動材料的窄特徵的改進填充,同時確保在單個處理室內對基板進行充分的溫度控制。
在上文說明中,為了解釋的目的,闡述了多種細節,以期通透瞭解本科技的各種具體實施例。然而在本發明技術領域中具有通常知識者將顯然瞭解到,特定具體實施例的實作可並不需要這些特定細節的一些(或是需要額外的細節)。
在已揭示了數種具體實施例之後,在本發明技術領域中具有通常知識者將理解到,可使用各種修改、替代性結構與均等範圍,而不脫離所揭示具體實施例的精神。此外,並未說明一些為人熟知的處理與要素,以避免不必要地遮蔽本科技。因此,上文的說明不應被視為限制科技的範圍。因此,上文的說明不應被視為限制科技的範圍。
在提供一系列值的情況下,應當理解,除非上下文另有明確規定,否則還具體公開了此範圍的上限和下限之間的每個中間值,至下限單位的最小部分。在所述範圍內的任何陳述值或未陳述的介入值與所述範圍內的任何其他陳述或介入值之間的任何較窄範圍都包括在內。這些較小範圍的上限和下限可以獨立地包括在此範圍內或排除在此範圍內,且包含上下限之一者、兩者、或皆不包含的較小範圍中的每一範圍也被包含在本技術內,且受制於所陳述範圍中任何特別排除的限制。在所陳述的範圍包含上下限之一者或兩者時,也包含了排除了這些上下限之任一者或兩者的範圍。
說明書與附加申請專利範圍中所使用的單數形式「一(a)」、「一(an)」以及「該」,包含複數的參照物,除非背景內容清楚表示並非如此。因此,例如,對「一前驅物」的參照,包含複數個此種材料,且對於「此層」的參照,包含對於一或更多種層的參照以及在本發明技術領域中具有通常知識者所能知的均等範圍,諸如此類。
此外,本說明書和下列申請專利範圍中使用的詞語「包含(comprise(s))」、「包含(comprising)」、「含有(contain(s))」、「含有(containing)」、「包括(include(s))」和「具有(including)」,意為指明所陳述的特徵、整數、部件、或作業的存在,但他們不排除存在或添加一個或多個其他特徵、整數、部件、作業、步驟、或組。
100:處理室 102:腔室主體 103:基板 104:基板支座 105:表面 106:蓋組件 108:第一電極 111:電漿輪廓調變器 112:氣體分配器 114:入口 118:孔 120:處理空間 122:第二電極 124:第三電極 126:開口 128:調諧電路 130:電子感測器 134:電子控制器 136:調諧電路 138:電子感測器 140:電子控制器 142:第一電源 144:軸 145:箭頭 146:導管 147:軸線 148:濾波器 150:第二電源 152:出口 200:處理室 205:腔室主體 210:基板支座 215:面板 220:第一電漿功率源 225:第一LC濾波器 230:第二電漿功率源 300:基板支撐組件 305:底座軸 307:軸 308:平臺 309:流體通道 310:蓋板 312:第一表面 314:第二表面 315:通道 315a:流體通道 315b:流體通道 317:圓周凹槽 320:圓盤 325:升舉銷組件 330:邊緣環 335:RF桿 340:轂 345:桿絕緣體 350:導電連接器 355:圓盤絕緣體 400:蓋板 405a:第一凹進通道 405b:第二凹進通道 407:孔 410a:通道蓋 410b:通道蓋 412:孔 415:孔 420:中心孔 425:淨化蓋 428:孔 430:橫向通道 505:電極 510:孔 515:突起或檯面 520:升舉銷 525:襯墊 530:固定器 532:孔 534:排放路徑 535:配重件 605:淨化路徑 610:壁架 615:凹槽 620:凹槽 700:方法 110a:隔離器 110b:隔離器 132A:第一電感器 132B:第二電感器 705-725:操作
參照說明書的其餘部分與圖式,可進一步理解所揭示技術的本質與優點。
圖1圖示根據本技術的具體實施例的示例性處理腔室的截面示意圖。
圖2示出了根據本技術的一些具體實施例的腔室的示意性局部剖視圖。
圖3示出了根據本技術的一些具體實施例的基板支撐組件的示意性局部剖視圖。
圖4A示出了根據本技術的一些具體實施例的蓋板的示意性分解透視圖。
圖4B示出了根據本技術的一些具體實施例的蓋板的示意性局部剖視圖。
圖5A示出了根據本技術的一些具體實施例的基板支撐組件的示意性局部剖視圖。
圖5B示出了根據本技術的一些實施例的固定器的示意圖。
圖6A示出了根據本技術的一些具體實施例的基板支撐組件的示意性局部剖視圖。
圖6B示出了根據本技術的一些具體實施例的絕緣體的示意性局部剖視圖。
圖7示出了根據本技術的一些具體實施例的處理基板的方法中的示例性操作。
數個圖式被包含以作為示意圖。應瞭解到圖示係用於說明,且不應被視為具有實際尺寸比例,除非特定說明其為實際尺寸比例。此外,作為示意圖,圖式被提供以幫助理解,且可不包含相較於實際呈現的所有態樣或資訊,並可包含誇大的內容以供說明。
在附加圖式中,類似的部件及(或)特徵可具有相同的元件符號。再者,相同類型的各個部件,可由元件符號之後的字母來分辨,此字母分辨類似的部件。若說明書中僅使用了首個元件符號,則其說明可適用於具有相同的首個元件符號的類似部件之任意者,不論其字尾字母為何。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
300:基板支撐組件
305:底座軸
307:軸
308:平臺
309:流體通道
310:蓋板
312:第一表面
314:第二表面
315:通道
315a:流體通道
315b:流體通道
317:圓周凹槽
320:圓盤
325:升舉銷組件
330:邊緣環
335:RF桿
340:轂
345:桿絕緣體
350:導電連接器
355:圓盤絕緣體

Claims (20)

  1. 一種半導體基板支座,包含: 一底座,該底座具有一軸與一平臺; 一蓋板,其中該蓋板沿著該蓋板的一第一表面與該平臺耦接,且其中該蓋板在該蓋板的一第二表面中限定一凹進通道,該第二表面與該第一表面相對;以及 一圓盤,該圓盤與該蓋板的該第二表面耦接,該圓盤併入一電極,其中該圓盤限定垂直延伸穿過該圓盤的複數個孔,以流體地存取該蓋板中限定的該凹進通道。
  2. 如請求項1所述之半導體基板支座,其中該平臺限定跨該平臺的一流體通道。
  3. 如請求項1所述之半導體基板支座,其中該凹進通道為一第一凹進通道,其中該蓋板限定一第二凹進通道,該第二凹進通道在該第一凹進通道的徑向外側,其中從限定在該蓋板中的一第一橫向通道流體地存取該第一凹進通道,且其中從限定在該蓋板中的一第二橫向通道流體地存取該第二凹進通道。
  4. 如請求項3所述之半導體基板支座,該半導體基板支座進一步包含: 一第一通道蓋,該第一通道蓋座置在該第一凹進通道上,該第一通道蓋限定穿過該第一通道蓋的複數個孔,其中穿過該第一通道蓋的該複數個孔中的每個孔對齊垂直延伸穿過該圓盤的該複數個孔中的一對應孔。
  5. 如請求項1所述之半導體基板支座,該半導體基板支座進一步包含: 一升舉銷組件,該升舉銷組件延伸通過該平臺、該蓋板與該圓盤,其中該升舉銷組件包含: 一升舉銷, 一襯墊, 一固定器,以及 一配重件。
  6. 如請求項5所述之半導體基板支座,其中該排氣路徑被沿著該襯墊限定並穿過該固定器。
  7. 如請求項1所述之半導體基板支座,該半導體基板支座進一步包含: 一RF桿,該RF桿延伸通過該底座的該軸,該RF桿藉由設置在該圓盤內的一傳導性連接器與該電極電耦接。
  8. 如請求項7所述之半導體基板支座,該半導體基板支座進一步包含: 一桿絕緣體,該桿絕緣體沿著該RF桿的一長度圍繞該RF桿延伸。
  9. 如請求項8所述之半導體基板支座,其中該底座座置在一穀中,且其中該桿絕緣體延伸穿過該穀。
  10. 如請求項8所述之半導體基板支座,該半導體基板支座進一步包含: 一圓盤絕緣體,該圓盤絕緣體在該圓盤內沿著該傳導性連接器延伸,其中該桿絕緣體至少部分座置在該圓盤絕緣體內。
  11. 如請求項10所述之半導體基板支座,其中該底座與該蓋板限定一淨化路徑,該淨化路徑在該蓋板處延伸至該桿絕緣體。
  12. 如請求項11所述之半導體基板支座,其中該淨化路徑沿著該桿絕緣體的一內部與一外部持續。
  13. 一種半導體處理系統,包含: 一腔室主體; 一基板支座,該基板支座經配置以支撐一半導體基板,其中該半導體支座包含: 一底座,該底座具有一軸與一平臺, 一蓋板,其中該蓋板沿著該蓋板的一第一表面與該平臺耦接,且其中該蓋板在該蓋板的一第二表面中限定一凹進通道,該第二表面與該第一表面相對,以及 一圓盤,該圓盤與該蓋板的該第二表面耦接,該圓盤併入一電極,其中該圓盤限定垂直延伸穿過該圓盤的複數個孔,以流體地存取該蓋板中限定的該凹進通道; 一面板,其中該腔室主體、該基板支座與該面板限定一處理區域; 一高頻電漿源,該高頻電漿源與該面板耦接;以及 一低頻電漿源,該低頻電漿源與該基板支座耦接。
  14. 如請求項13所述之半導體處理系統,其中該基板支座包含一靜電吸盤,該半導體處理系統進一步包含: 一DC電源供應器,該DC電源供應器與該基板支座耦接。
  15. 如請求項13所述之半導體處理系統,其中該低頻電漿源經配置以由小於或約2 MHz操作,其中該高頻電漿源經配置以由大於或約13.56 MHz、小於或約20kHz的一脈衝頻率、小於或約20%的一工作週期操作。
  16. 如請求項15所述之半導體處理系統,其中該高頻電漿源經配置以由小於或約5 W的一等效功率產生一電漿。
  17. 如請求項13所述之半導體處理系統,其中該凹進通道為一第一凹進通道,其中該蓋板限定一第二凹進通道,該第二凹進通道在該第一凹進通道的徑向外側,其中從限定在該蓋板中的一第一橫向通道流體地存取該第一凹進通道,且其中從限定在該蓋板中的一第二橫向通道流體地存取該第二凹進通道。
  18. 如請求項17所述之半導體處理系統,該半導體處理系統進一步包含: 一第一通道蓋,該第一通道蓋座置在該第一凹進通道上,該第一通道蓋限定穿過該第一通道蓋的複數個孔,其中穿過該第一通道蓋的該複數個孔中的每個孔對齊垂直延伸穿過該圓盤的該複數個孔中的一對應孔。
  19. 如請求項13所述之半導體處理系統,該半導體處理系統進一步包含: 一第一LC濾波器,該第一LC濾波器與該基板支座耦接,且該第一LC濾波器經配置以透過該基板支座虛擬地將該高頻電漿源接地。
  20. 如請求項19所述之半導體處理系統,該半導體處理系統進一步包含 一第二LC濾波器,該第二LC濾波器與該面板耦接,且該第二LC濾波器經配置以虛擬地將該低頻電漿源接地至該腔室主體。
TW110132389A 2020-09-08 2021-09-01 用於沉積及蝕刻的半導體處理室 TWI819370B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/014,177 2020-09-08
US17/014,177 US11887811B2 (en) 2020-09-08 2020-09-08 Semiconductor processing chambers for deposition and etch

Publications (2)

Publication Number Publication Date
TW202218014A true TW202218014A (zh) 2022-05-01
TWI819370B TWI819370B (zh) 2023-10-21

Family

ID=80469250

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110132389A TWI819370B (zh) 2020-09-08 2021-09-01 用於沉積及蝕刻的半導體處理室

Country Status (6)

Country Link
US (1) US11887811B2 (zh)
JP (1) JP2023540582A (zh)
KR (1) KR20230062643A (zh)
CN (1) CN116171486A (zh)
TW (1) TWI819370B (zh)
WO (1) WO2022055736A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220076922A1 (en) * 2020-09-08 2022-03-10 Applied Materials, Inc. Single chamber flowable film formation and treatments
US20230307211A1 (en) * 2022-03-25 2023-09-28 Applied Materials, Inc. Process Chamber And Process Kits For Advanced Packaging
CN116492923B (zh) * 2023-06-30 2023-09-08 雅安宇焜芯材材料科技有限公司 一种用于制备半导体材料的装置及方法

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60126832A (ja) * 1983-12-14 1985-07-06 Hitachi Ltd ドライエツチング方法および装置
US5578532A (en) * 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
JPH06236858A (ja) * 1993-02-10 1994-08-23 Tokyo Electron Ltd プラズマ処理装置
US5478429A (en) 1993-01-20 1995-12-26 Tokyo Electron Limited Plasma process apparatus
JP3602324B2 (ja) 1998-02-17 2004-12-15 アルプス電気株式会社 プラズマ処理装置
US6081414A (en) 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
US20030010292A1 (en) 2001-07-16 2003-01-16 Applied Materials, Inc. Electrostatic chuck with dielectric coating
US6490145B1 (en) * 2001-07-18 2002-12-03 Applied Materials, Inc. Substrate support pedestal
US7015426B2 (en) * 2003-02-11 2006-03-21 Genus, Inc. Purged heater-susceptor for an ALD/CVD reactor
CN100388434C (zh) * 2003-03-12 2008-05-14 东京毅力科创株式会社 半导体处理用的基板保持结构和等离子体处理装置
JP4219734B2 (ja) 2003-05-19 2009-02-04 東京エレクトロン株式会社 基板保持機構およびプラズマ処理装置
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US7214630B1 (en) 2005-05-06 2007-05-08 Novellus Systems, Inc. PMOS transistor with compressive dielectric capping layer
US20070091540A1 (en) * 2005-10-20 2007-04-26 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor using multiple zone feed forward thermal control
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US8491752B2 (en) * 2006-12-15 2013-07-23 Tokyo Electron Limited Substrate mounting table and method for manufacturing same, substrate processing apparatus, and fluid supply mechanism
CN101583736A (zh) * 2007-01-19 2009-11-18 应用材料股份有限公司 浸没式等离子体室
TW200837876A (en) 2007-03-07 2008-09-16 Nanya Technology Corp Gap filling method and method for fabricating shallow trench isolation
US20090274590A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
JP2011525682A (ja) 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
US20100018648A1 (en) 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US7968441B2 (en) * 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US8629370B2 (en) 2010-06-08 2014-01-14 Applied Materials, Inc. Assembly for delivering RF power and DC voltage to a plasma processing chamber
WO2012019017A2 (en) * 2010-08-06 2012-02-09 Applied Materials, Inc. Electrostatic chuck and methods of use thereof
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
US9293304B2 (en) 2013-12-17 2016-03-22 Applied Materials, Inc. Plasma thermal shield for heat dissipation in plasma chamber
KR102437125B1 (ko) 2014-06-27 2022-08-25 어플라이드 머티어리얼스, 인코포레이티드 고온 프로세싱을 위한 플라즈마 부식 저항성 가열기
JP6868553B2 (ja) 2014-08-15 2021-05-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ化学気相堆積システムにおいて高温で圧縮又は引張応力を有するウェハを処理する方法及び装置
US20160079034A1 (en) 2014-09-12 2016-03-17 Applied Materials Inc. Flowable film properties tuning using implantation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
CN106653675B (zh) 2015-08-28 2020-07-10 中芯国际集成电路制造(北京)有限公司 浅沟槽隔离结构的形成方法
US9997351B2 (en) 2015-12-08 2018-06-12 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques for filling a cavity using angled ion beam
US9741584B1 (en) 2016-05-05 2017-08-22 Lam Research Corporation Densification of dielectric film using inductively coupled high density plasma
US20180148835A1 (en) * 2016-11-29 2018-05-31 Lam Research Corporation Substrate support with varying depths of areas between mesas and corresponding temperature dependent method of fabricating
KR20230162158A (ko) 2017-03-31 2023-11-28 어플라이드 머티어리얼스, 인코포레이티드 고종횡비 트렌치들을 비정질 실리콘 막으로 갭충전하기 위한 2-단계 프로세스
JP7168586B2 (ja) 2017-05-13 2022-11-09 アプライド マテリアルズ インコーポレイテッド 高品質のボイド充填法のための流動性堆積及び高密度プラズマ処理工程サイクル
JP7203515B2 (ja) 2017-06-06 2023-01-13 アプライド マテリアルズ インコーポレイテッド 連続した堆積-エッチング-処理方法を使用した酸化ケイ素及び窒化ケイ素のボトムアップ成長
JP6937644B2 (ja) 2017-09-26 2021-09-22 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11848177B2 (en) * 2018-02-23 2023-12-19 Lam Research Corporation Multi-plate electrostatic chucks with ceramic baseplates
SG11202009405VA (en) 2018-04-03 2020-10-29 Applied Materials Inc Flowable film curing using h2 plasma
CN109166787B (zh) 2018-08-26 2019-06-28 合肥安德科铭半导体科技有限公司 一种氧化硅薄膜的可流动化学气相沉积方法
US11062887B2 (en) * 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
JP7203585B2 (ja) 2018-12-06 2023-01-13 東京エレクトロン株式会社 基板支持器、基板処理装置、基板処理システム、及び基板支持器における接着剤の浸食を検出する方法
JP7101628B2 (ja) 2019-02-04 2022-07-15 東京エレクトロン株式会社 プラズマ処理装置および電極構造体

Also Published As

Publication number Publication date
JP2023540582A (ja) 2023-09-25
US20220076919A1 (en) 2022-03-10
WO2022055736A1 (en) 2022-03-17
KR20230062643A (ko) 2023-05-09
CN116171486A (zh) 2023-05-26
TWI819370B (zh) 2023-10-21
US11887811B2 (en) 2024-01-30

Similar Documents

Publication Publication Date Title
TWI819370B (zh) 用於沉積及蝕刻的半導體處理室
JP2011519117A (ja) プラズマチャンバ内の調整可能接地面
US11615966B2 (en) Flowable film formation and treatments
US20230343552A1 (en) Semiconductor processing chambers and methods for deposition and etch
US10763106B2 (en) Method for processing workpiece
TWI817218B (zh) 用於容納寄生電漿形成的半導體處理腔室及處理方法
TWI790736B (zh) 單腔室流動膜的形成和處理
US11862458B2 (en) Directional selective deposition
TWI797833B (zh) 用於使用電容耦合電漿的氧化矽間隙填充的沉積方法
US20230386829A1 (en) Low temperature silicon oxide gap fill
KR20140086607A (ko) 박막 고속 증착방법 및 증착장치
TW202412066A (zh) 低溫氧化矽間隙填充
CN117980533A (zh) 无缝隙间隙填充沉积
TW202407758A (zh) 使用體積膨脹的大面積間隙填充