TW202215498A - 微影圖案化和圖案化半導體裝置的方法 - Google Patents
微影圖案化和圖案化半導體裝置的方法 Download PDFInfo
- Publication number
- TW202215498A TW202215498A TW110121720A TW110121720A TW202215498A TW 202215498 A TW202215498 A TW 202215498A TW 110121720 A TW110121720 A TW 110121720A TW 110121720 A TW110121720 A TW 110121720A TW 202215498 A TW202215498 A TW 202215498A
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- patterned
- silicon
- depositing
- patterning
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 251
- 238000000059 patterning Methods 0.000 title claims abstract description 54
- 238000001459 lithography Methods 0.000 title claims abstract description 47
- 239000004065 semiconductor Substances 0.000 title claims description 59
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 81
- 239000010703 silicon Substances 0.000 claims abstract description 80
- 238000000151 deposition Methods 0.000 claims abstract description 45
- 239000000758 substrate Substances 0.000 claims abstract description 36
- 239000000203 mixture Substances 0.000 claims abstract description 25
- 239000010410 layer Substances 0.000 claims description 650
- 230000008569 process Effects 0.000 claims description 152
- 229920002120 photoresistant polymer Polymers 0.000 claims description 83
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 80
- 238000005530 etching Methods 0.000 claims description 64
- 229910021417 amorphous silicon Inorganic materials 0.000 claims description 54
- 239000011229 interlayer Substances 0.000 claims description 47
- 229910052799 carbon Inorganic materials 0.000 claims description 40
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 39
- 238000005229 chemical vapour deposition Methods 0.000 claims description 35
- 238000005240 physical vapour deposition Methods 0.000 claims description 32
- 239000007789 gas Substances 0.000 claims description 29
- 238000000231 atomic layer deposition Methods 0.000 claims description 26
- 238000001465 metallisation Methods 0.000 claims description 24
- 239000002243 precursor Substances 0.000 claims description 17
- 238000005137 deposition process Methods 0.000 claims description 14
- 230000005855 radiation Effects 0.000 claims description 14
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 13
- 229910052760 oxygen Inorganic materials 0.000 claims description 13
- 239000001301 oxygen Substances 0.000 claims description 13
- 229910003481 amorphous carbon Inorganic materials 0.000 claims description 12
- 238000004528 spin coating Methods 0.000 claims description 10
- 239000012044 organic layer Substances 0.000 claims description 5
- 239000006117 anti-reflective coating Substances 0.000 claims description 4
- 239000000463 material Substances 0.000 description 58
- 239000003989 dielectric material Substances 0.000 description 26
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 25
- 125000006850 spacer group Chemical group 0.000 description 17
- 230000006870 function Effects 0.000 description 16
- 229910052751 metal Inorganic materials 0.000 description 15
- 239000002184 metal Substances 0.000 description 15
- 238000004519 manufacturing process Methods 0.000 description 12
- 229910052814 silicon oxide Inorganic materials 0.000 description 12
- 239000004020 conductor Substances 0.000 description 11
- 238000002955 isolation Methods 0.000 description 11
- 229910052739 hydrogen Inorganic materials 0.000 description 9
- 239000001257 hydrogen Substances 0.000 description 9
- 230000002829 reductive effect Effects 0.000 description 9
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 8
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 8
- 230000008021 deposition Effects 0.000 description 8
- 238000011161 development Methods 0.000 description 8
- 239000000377 silicon dioxide Substances 0.000 description 8
- 235000012239 silicon dioxide Nutrition 0.000 description 8
- 239000000126 substance Substances 0.000 description 8
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 7
- 239000012159 carrier gas Substances 0.000 description 7
- 238000001900 extreme ultraviolet lithography Methods 0.000 description 7
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 7
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 6
- 229910052782 aluminium Inorganic materials 0.000 description 6
- 125000004429 atom Chemical group 0.000 description 6
- 239000010949 copper Substances 0.000 description 6
- 230000005669 field effect Effects 0.000 description 6
- 239000012212 insulator Substances 0.000 description 6
- 239000010936 titanium Substances 0.000 description 6
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 5
- 229910052581 Si3N4 Inorganic materials 0.000 description 5
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 5
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 5
- 230000008901 benefit Effects 0.000 description 5
- UMIVXZPTRXBADB-UHFFFAOYSA-N benzocyclobutene Chemical compound C1=CC=C2CCC2=C1 UMIVXZPTRXBADB-UHFFFAOYSA-N 0.000 description 5
- 239000005388 borosilicate glass Substances 0.000 description 5
- 229910052802 copper Inorganic materials 0.000 description 5
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 5
- 239000005360 phosphosilicate glass Substances 0.000 description 5
- 238000001020 plasma etching Methods 0.000 description 5
- 229920000642 polymer Polymers 0.000 description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 5
- 229910052715 tantalum Inorganic materials 0.000 description 5
- 229910052719 titanium Inorganic materials 0.000 description 5
- 229910052721 tungsten Inorganic materials 0.000 description 5
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 5
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 4
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 4
- -1 TaAlC Inorganic materials 0.000 description 4
- 229910004166 TaN Inorganic materials 0.000 description 4
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 4
- 230000004888 barrier function Effects 0.000 description 4
- DIKBFYAXUHHXCS-UHFFFAOYSA-N bromoform Chemical compound BrC(Br)Br DIKBFYAXUHHXCS-UHFFFAOYSA-N 0.000 description 4
- 239000003575 carbonaceous material Substances 0.000 description 4
- 238000006243 chemical reaction Methods 0.000 description 4
- 239000000460 chlorine Substances 0.000 description 4
- 239000002019 doping agent Substances 0.000 description 4
- 238000010894 electron beam technology Methods 0.000 description 4
- 239000001307 helium Substances 0.000 description 4
- 229910052734 helium Inorganic materials 0.000 description 4
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 4
- 239000011261 inert gas Substances 0.000 description 4
- 229910044991 metal oxide Inorganic materials 0.000 description 4
- 150000004706 metal oxides Chemical class 0.000 description 4
- 229910052757 nitrogen Inorganic materials 0.000 description 4
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 4
- 229920005591 polysilicon Polymers 0.000 description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 4
- 229910052718 tin Inorganic materials 0.000 description 4
- 238000012876 topography Methods 0.000 description 4
- 238000009966 trimming Methods 0.000 description 4
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 3
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 3
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 3
- 239000004642 Polyimide Substances 0.000 description 3
- 229910004298 SiO 2 Inorganic materials 0.000 description 3
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 3
- 239000002253 acid Substances 0.000 description 3
- 239000004964 aerogel Substances 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 239000005380 borophosphosilicate glass Substances 0.000 description 3
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 3
- 229910052794 bromium Inorganic materials 0.000 description 3
- 239000003990 capacitor Substances 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 238000000354 decomposition reaction Methods 0.000 description 3
- 239000008367 deionised water Substances 0.000 description 3
- 229910021641 deionized water Inorganic materials 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- 230000009977 dual effect Effects 0.000 description 3
- 229910052731 fluorine Inorganic materials 0.000 description 3
- 239000011737 fluorine Substances 0.000 description 3
- 229910052732 germanium Inorganic materials 0.000 description 3
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 3
- 229920000052 poly(p-xylylene) Polymers 0.000 description 3
- 229920001721 polyimide Polymers 0.000 description 3
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 3
- 229910052724 xenon Inorganic materials 0.000 description 3
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 3
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 2
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 2
- 229910004129 HfSiO Inorganic materials 0.000 description 2
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 2
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 2
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 2
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 2
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 2
- 229910004200 TaSiN Inorganic materials 0.000 description 2
- 229910010037 TiAlN Inorganic materials 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 2
- 238000001015 X-ray lithography Methods 0.000 description 2
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 2
- 239000012790 adhesive layer Substances 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- 230000003321 amplification Effects 0.000 description 2
- GZUXJHMPEANEGY-UHFFFAOYSA-N bromomethane Chemical compound BrC GZUXJHMPEANEGY-UHFFFAOYSA-N 0.000 description 2
- 229910052801 chlorine Inorganic materials 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 239000000470 constituent Substances 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- FJBFPHVGVWTDIP-UHFFFAOYSA-N dibromomethane Chemical compound BrCBr FJBFPHVGVWTDIP-UHFFFAOYSA-N 0.000 description 2
- 238000000226 double patterning lithography Methods 0.000 description 2
- 230000009969 flowable effect Effects 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- FFUAGWLWBBFQJT-UHFFFAOYSA-N hexamethyldisilazane Chemical compound C[Si](C)(C)N[Si](C)(C)C FFUAGWLWBBFQJT-UHFFFAOYSA-N 0.000 description 2
- 150000002431 hydrogen Chemical class 0.000 description 2
- 238000000671 immersion lithography Methods 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 229910052740 iodine Inorganic materials 0.000 description 2
- 239000011630 iodine Substances 0.000 description 2
- 230000000670 limiting effect Effects 0.000 description 2
- 230000015654 memory Effects 0.000 description 2
- 229910052750 molybdenum Inorganic materials 0.000 description 2
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 2
- 238000003199 nucleic acid amplification method Methods 0.000 description 2
- 239000011368 organic material Substances 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 230000036961 partial effect Effects 0.000 description 2
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 2
- 229920003229 poly(methyl methacrylate) Polymers 0.000 description 2
- 239000004926 polymethyl methacrylate Substances 0.000 description 2
- 229910021426 porous silicon Inorganic materials 0.000 description 2
- 239000002516 radical scavenger Substances 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- 239000002210 silicon-based material Substances 0.000 description 2
- 239000002356 single layer Substances 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- 239000013077 target material Substances 0.000 description 2
- 238000002207 thermal evaporation Methods 0.000 description 2
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- 238000000038 ultrahigh vacuum chemical vapour deposition Methods 0.000 description 2
- 238000000927 vapour-phase epitaxy Methods 0.000 description 2
- 229910019311 (Ba,Sr)TiO Inorganic materials 0.000 description 1
- 229910000838 Al alloy Inorganic materials 0.000 description 1
- AIRCTMFFNKZQPN-UHFFFAOYSA-N AlO Inorganic materials [Al]=O AIRCTMFFNKZQPN-UHFFFAOYSA-N 0.000 description 1
- 229910017121 AlSiO Inorganic materials 0.000 description 1
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 1
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- 229910019001 CoSi Inorganic materials 0.000 description 1
- 229910005540 GaP Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- 229910003855 HfAlO Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 229910018245 LaO Inorganic materials 0.000 description 1
- 229910016006 MoSi Inorganic materials 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- 229910005883 NiSi Inorganic materials 0.000 description 1
- 229910005881 NiSi 2 Inorganic materials 0.000 description 1
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- 229910002367 SrTiO Inorganic materials 0.000 description 1
- 229910004490 TaAl Inorganic materials 0.000 description 1
- 229910010038 TiAl Inorganic materials 0.000 description 1
- 229910010413 TiO 2 Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 1
- 229910006249 ZrSi Inorganic materials 0.000 description 1
- 229910006501 ZrSiO Inorganic materials 0.000 description 1
- VZPPHXVFMVZRTE-UHFFFAOYSA-N [Kr]F Chemical compound [Kr]F VZPPHXVFMVZRTE-UHFFFAOYSA-N 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 1
- MIQVEZFSDIJTMW-UHFFFAOYSA-N aluminum hafnium(4+) oxygen(2-) Chemical compound [O-2].[Al+3].[Hf+4] MIQVEZFSDIJTMW-UHFFFAOYSA-N 0.000 description 1
- 239000000908 ammonium hydroxide Substances 0.000 description 1
- ISQINHMJILFLAQ-UHFFFAOYSA-N argon hydrofluoride Chemical compound F.[Ar] ISQINHMJILFLAQ-UHFFFAOYSA-N 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 150000001721 carbon Chemical class 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 229910052681 coesite Inorganic materials 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 229910052906 cristobalite Inorganic materials 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000003618 dip coating Methods 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000005566 electron beam evaporation Methods 0.000 description 1
- 238000000609 electron-beam lithography Methods 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- 229940104869 fluorosilicate Drugs 0.000 description 1
- 238000011010 flushing procedure Methods 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 238000009434 installation Methods 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- WPBNNNQJVZRUHP-UHFFFAOYSA-L manganese(2+);methyl n-[[2-(methoxycarbonylcarbamothioylamino)phenyl]carbamothioyl]carbamate;n-[2-(sulfidocarbothioylamino)ethyl]carbamodithioate Chemical compound [Mn+2].[S-]C(=S)NCCNC([S-])=S.COC(=O)NC(=S)NC1=CC=CC=C1NC(=S)NC(=O)OC WPBNNNQJVZRUHP-UHFFFAOYSA-L 0.000 description 1
- 230000000873 masking effect Effects 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 229910017604 nitric acid Inorganic materials 0.000 description 1
- 229910052756 noble gas Inorganic materials 0.000 description 1
- 150000002835 noble gases Chemical class 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- 230000037361 pathway Effects 0.000 description 1
- 230000010363 phase shift Effects 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 239000002861 polymer material Substances 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 230000002000 scavenging effect Effects 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- 238000010561 standard procedure Methods 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 229910052682 stishovite Inorganic materials 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 238000004381 surface treatment Methods 0.000 description 1
- 239000004094 surface-active agent Substances 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 230000007704 transition Effects 0.000 description 1
- 229910052905 tridymite Inorganic materials 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3081—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02115—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0332—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31127—Etching organic layers
- H01L21/31133—Etching organic layers by chemical means
- H01L21/31138—Etching organic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/32055—Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76816—Aspects relating to the layout of the pattern or to the size of vias or trenches
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/10—Applying interconnections to be used for carrying current between separate components within a device
- H01L2221/1005—Formation and after-treatment of dielectrics
- H01L2221/101—Forming openings in dielectrics
Landscapes
- Engineering & Computer Science (AREA)
- Manufacturing & Machinery (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
Abstract
本公開是關於微影圖案化的方法。方法包括提供基板和形成目標層在基板上方。形成圖案化層是藉由沉積具有有機成分的第一層、沉積包括大於50原子百分比的矽的第二層和沉積光敏層在第二層上。
Description
無
半導體積體電路(integrated circuit,IC)工業經歷了指數性成長。IC材料和設計的技術進步產生了多個IC世代,其中各個IC世代比先前的IC世代具有更小和更複雜的電路。在IC發展的領域中,當幾何尺寸(亦即,使用製造製程可形成的最小組件(或導線))縮小時,功能密度(亦即,單位晶片面積的互連裝置數量)會普遍增加。這樣尺寸縮小的製程藉由增加生產效率和降低相關成本而普遍提供益處。這樣的尺寸縮小製程亦增加加工和製造IC的複雜度。
當半導體製造製程期望更小的製程窗口時,裝置的元件之間期望的空隙(亦即,間距)會減少並且越來越難使用傳統的光學遮罩和微影設備來達成。微影工具的進步可協助實現尺寸縮小的製程。例如,使用極紫外線(extreme ultraviolet,EUV)微影和浸沒(immersion)微影而提供較小裝置需要的臨界尺寸(critical dimension,CD)。此外,發展圖案化方法本身促使形成具有期望CD的特徵,此期望CD小於微影設備本身可以形成的CD。當微影設備和圖案化的進步在多種方面相配,可期望更進一步的優勢。
無
為了實現提及主題的不同特徵,以下公開內容提供了許多不同的實施例或示例。以下描述組件、配置等的具體示例以簡化本公開。當然,這些僅僅是示例,而不是限制性的。例如,在以下的描述中,在第二特徵之上或上方形成第一特徵可以包括第一特徵和第二特徵以直接接觸形成的實施例,並且還可以包括在第一特徵和第二特徵之間形成附加特徵,使得第一特徵和第二特徵可以不直接接觸的實施例。另外,本公開可以在各種示例中重複參考數字和/或字母。此重複是為了簡單和清楚的目的,並且本身並不表示所討論的各種實施例和/或配置之間的關係。
此外,本文可以使用空間相對術語,諸如「在…下面」、「在…下方」、「下部」、「在…上面」、「上部」等,以便於描述一個元件或特徵與如圖所示的另一個元件或特徵的關係。除了圖中所示的取向之外,空間相對術語旨在包括使用或操作中的裝置的不同取向。裝置可以以其他方式定向(旋轉90度或在其他方向上),並且同樣可以相應地解釋在此使用的空間相對描述符號。
當半導體裝置的元件的間距持續減小且特徵的尺寸持續縮小,需要改善圖案化方法和材料以提供高品質、增加的圖案密度以及縮小的臨界尺寸(critical dimension,CD)裝置。在一些本公開的實施例中,本公開提供材料組成、材料堆疊和實施組成與堆疊的方法以改善圖案化指標,包括線條寬度粗糙度(line width roughness,LWR)和局部臨界尺寸均勻性(local critical dimension uniformity,LCDU)。在一些實施例中,利用產生高密度材料、提升材料的硬度、改善材料的彈性模數及/或用於一或多種方面圖案化的材料的高蝕刻選擇性的組成及/或沉積方法,這樣的改善促使材料的形成。這些單獨或組合的性質可改善LWR和LCDU。
實現積極(aggressive)尺寸和間距需求的半導體裝置的特徵之一是後道製程(back-end-of-the-line,BEOL)特徵。BEOL特徵包括提供基板的獨立裝置之間的相互連結的導線或金屬化層。在一些實施例中,在介電層中圖案化溝槽或通孔開口。藉由控制溝槽和通孔開口的配置,在後續使用導電材料填充溝槽或開口時可提供裝置的互連途徑。
參考第1圖,其繪示將層圖案化的方法100。方法100可用於圖案化半導體裝置的層。半導體裝置可包括靜態隨機存取記憶體(static random access memory,SRAM)及/或其他的邏輯電路、被動組件或主動微電子裝置,例如電阻器、電容器、電感器、二極體、p型場效應電晶體(p-type field effect transistor,PFET)、n型場效應電晶體(n-type field effect transistor,NFET)、金屬氧化物半導體場效應電晶體(metal oxide semiconductor field effect transistor,MOSFET)、互補式金屬氧化物半導體(complementary metal oxide semiconductor,CMOS)電晶體、雙極性接面電晶體(bipolar junction transistor,BJT)、橫向擴散金屬氧化物半導體(laterally diffused metal oxide semiconductor,LDMOS)電晶體、高電壓電晶體、高頻率電晶體、其他適合的組件或上述的組合。NFET和PFET的示例包括多閘極裝置,例如鰭式場效應電晶體(fin field effect transistor,FinFET)、閘極全環繞(gate-all-around,GAA)裝置及/或其他適合的裝置類型。在微處理器、記憶體及/或其他的IC裝置中可包括半導體裝置。在一些實施例中,半導體裝置是IC晶片、單晶片系統(system on chip,SoC)或其一部分。
可以透過執行深紫外光(deep ultraviolet,DUV)微影、極紫外光(extreme ultraviolet,EUV)微影、電子束(electron beam,e-beam)微影、x射線微影和其他的微影製程的系統實施全部或部分的方法100,用以改善圖案尺寸精確度。在一個實施例中,使用EUV微影以提供圖案化層的積極尺寸/間距。可以在方法100之前、期間和之後提供額外的步驟,並且在其他的方法實施例中可以取代、刪減或移動所述的步驟。方法100是一個示例而非意圖將本公開限制於請求項明確敘述的內容。以下將結合第2圖至第16圖描述方法100。
方法100從方塊102開始,提供圖案化用的單一目標層或複數目標層。目標層是預期圖案化的任何單一層或複數層。藉由圖案化目標層,將目標層配置成多個特徵,包括具有介入特徵中的開口的目標層。圖案可指向各種半導體裝置特徵,例如互連導線、閘極結構、隔離結構、主動區域和類似者。在一些實施例中,由於例如多重圖案化技術的圖案化方法,提供的目標層的圖案可低於微影設備的解析度極限。
在一些實施例中,在半導體結構上方提供目標層。在一些實施例中,半導體結構包括半導體基板和設置在半導體基板上的各種層或特徵。在一個實施例中,半導體基板包括矽。額外地或替代地,基板包括另一種元素半導體(例如鍺)、化合物半導體(例如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦)、合金半導體(例如矽鍺(SiGe)、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP)或上述的組合。替代地,基板是絕緣體上半導體基板,例如絕緣體上矽(silicon-on-insulator,SOI)基板、絕緣體上矽鍺(silicon germanium -on-insulator,SGOI)基板或絕緣體上鍺(germanium-on-insulator,GOI)基板。可以使用佈植氧氣分離(separation by implantation of oxygen,SIMOX)、晶圓結合及/或其他的適合的方法製造絕緣體上半導體基板。在基板之中或之上可具有關於半導體裝置的各種特徵。特徵可包括電晶體特徵(例如閘極結構和源極/汲極區域)、隔離特徵、互連特徵(例如金屬化層和通孔)及/或其他的特徵。
在第2圖中繪示半導體結構202作為示例。半導體結構202包括具有多個主動裝置203形成於其上的基底基板201。所繪示的裝置包括閘極結構205和具有插入式隔離特徵209的源極/汲極特徵207。多層互連(multi-layer interconnect,MLI)結構213形成在主動裝置203上方。多層互連結構213可包括形成在裝置上方的介電層211和圖中所繪示的延伸進源極/汲極特徵207的接觸件215。多層互連結構213包括各種其他的金屬化層、垂直延伸的通孔和連結主動裝置203的各種特徵的插入式隔離層,如下文所述。
根據裝置的設計需求配置閘極結構205,用以達到期望的功能,例如提供p型功函數或n型功函數。閘極結構205可包括閘極介電層和閘極電極(例如,功函數層和塊材導電層)。閘極結構205可包括多個其他的層,例如覆蓋層、介面層、擴散層、阻障層、硬遮罩層或上述的組合。
閘極結構205的閘極介電層可包括具有高介電常數介電材料的高介電常數介電層,其中高介電常數介電材料代表具有介電常數大於二氧化矽(k≈3.9)的介電材料。例如,高介電常數介電層包括HfO
2、HfSiO、HfSiO
4、HfSiON、HfLaO、HfTaO、HfTiO、HfZrO、HfAlO
x、ZrO、ZrO
2、ZrSiO
2、AlO、AlSiO、Al
2O
3、TiO、TiO
2、LaO、LaSiO、Ta
2O
3、Ta
2O
5、Y
2O
3、SrTiO
3、BaZrO、BaTiO
3(BTO)、(Ba,Sr)TiO
3(BST)、Si
3N
4、二氧化鉿鋁合金(HfO
2-Al
2O
3)、其他適合金屬閘極堆疊的高介電常數介電材料或上述的組合。可藉由任何本文所述的製程形成高介電常數介電層,例如原子層沉積(atomic layer deposition,ALD)、化學氣相沉積(chemical vapor deposition,CVD)、物理氣相沉積(physial vapor deposition,PVD)、以氧化為基礎的沉積製程、其他適合的製程或上述的組合。閘極結構205的閘極電極層形成在閘極介電質上方。閘極電極包括導電材料,例如多晶矽、鋁、銅、鈦、鉭、鎢、鉬、鈷、TaN、NiSi、CoSi、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、其他的導電材料或上述的組合。在一些實施例中,閘極電極包括功函數層和塊材導電層。功函數層是調整為具有期望的功函數(例如n型功函數或p型功函數)的導電層,並且塊材導電層是形成在功函數層上方的導電層。在一些實施例中,功函數層包括n型功函數材料,例如Ti、銀、錳、鋯、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、其他適合的n型功函數材料或上述的組合。在一些實施例中,功函數層包括p型功函數材料,例如釕、Mo、Al、TiN、TaN、WN、ZrSi
2、MoSi
2、TaSi
2、NiSi
2、WN、其他適合的p型功函數材料或上述的組合。塊材(或填充)導電層包括適合的導電材料,例如Al、W、Ti、Ta、多晶矽、Cu、金屬合金、其他適合的材料或上述的組合。可藉由任何本文所述的製程形成閘極電極,例如ALD、CVD、PVD、電鍍、其他適合的製程或上述的組合。
源極/汲極特徵207包括摻雜的區域(例如,n型摻雜劑及/或p型摻雜劑),其適合用於提供半導體裝置的特徵。在一些實施例中,源極/汲極特徵207包括磊晶材料。磊晶製程可使用CVD沉積技術(例如,低壓CVD(low pressure CVD,LPCVD)、氣相磊晶(vapor phase epitaxy,VPE)及/或超高真空CVD(ultrahigh vacuum CVD,UHV-CVD))、分子束磊晶、其他適合的磊晶生長製程或上述的組合。在一些實施例中,針對n型電晶體,磊晶源極/汲極特徵207包括矽,其中可摻雜碳、磷、砷、其他的n型摻雜劑或上述的組合(例如,形成Si:C磊晶源極/汲極特徵、Si:P磊晶源極/汲極特徵或Si:C:P磊晶源極/汲極特徵)。在一些實施例中,針對p型電晶體,磊晶源極/汲極特徵207包括矽鍺或鍺,其中可摻雜硼、其他的p型摻雜劑或上述的組合(例如,形成Si:Ge:B磊晶源極/汲極特徵)。
在一些實施例中,隔離特徵209是淺溝槽隔離(shallow trench isolation,STI)結構、深溝槽隔離(deep trench isolation,DTI)結構、局部氧化矽(local oxidation of silicon,LOCOS)結構、其他適合的隔離結構或上述的組合。隔離特徵209可包括適合的介電質的多層結構,例如氧化物。
介電層211可以是部分MLI中的層間介電質(interlayer dielectric,ILD)。介電層211可包括介電材料,例如氧化矽、摻雜碳的氧化矽、氮化矽、氮氧化矽、四乙氧基矽烷(tetraethyl orthosilicate,TEOS)形成的氧化物、磷矽玻璃(phosphosilicate glass,PSG)、硼矽玻璃(borosilicate glass,BSG)、硼磷矽玻璃(phosphosilicate glass,BPSG)、氟矽玻璃(fluorosilicate glass,FSG)、乾凝膠、氣凝膠、非晶氟化碳、聚對二甲苯、苯並環丁烯(benzocyclobutene,BCB)為基礎的介電材料、聚醯亞胺、其他適合的介電材料或上述的組合。在一些實施例中,介電層211包括具有介電常數低於二氧化矽的介電材料(例如,k<3.9)。在一些實施例中,介電層211包括具有介電常數低於約2.5的介電材料(例如,極低介電常數(extreme low-k,ELK)介電材料),例如二氧化矽(SiO
2)(例如,多孔二氧化矽)、碳化矽(SiC)及/或摻雜碳的氧化物(舉例而言,SiCOH為基礎的材料(例如具有Si-CH
3鍵結)),上述各個材料可調整或配置以表現其介電常數低於約2.5。介電層211可包括具有多個介電材料的多層結構。
如上所述,多層互連結構213包括絕緣層和導電層。多層互連結構213電性耦接各種裝置(例如,p型電晶體及/或n型電晶體、電阻器、電容器及/或電感器)及/或設置在半導體結構202上方的組件(例如,閘極電極及/或p型電晶體及/或n型電晶體的磊晶源極/汲極特徵),使得各種裝置及/或組件可以執行半導體裝置特定的設計需求。多層互連結構213包括介電層和電性導電層(例如,金屬層)的組合,從而配置形成各種互連結構。配置導電層以形成垂直互連特徵(例如裝置等級接觸件及/或通孔)及/或水平互連特徵(例如導電導線)。垂直互連特徵通常連接在多層互連結構213的不同層(或不同平面)中的水平互連特徵。在操作期間,配置互連特徵以引導裝置之間及/或裝置的組件之間的訊號,以及/或者分布訊號(例如,定時器訊號、電壓訊號及/或接地訊號)到裝置及/或裝置的組件。
在一些實施例中,半導體結構202上表現的其他特徵包括其他部分的主動或被動裝置和其互連結構。在其他實施例中,可省略上方討論的各種單一或全部的特徵或裝置。例如,在一個實施例中,半導體結構202包括例如矽基板的基板201並且基板本身是將要圖案化的目標層。
在一些實施例中,方塊102包括在半導體結構上方形成單一目標層或複數目標層。在一個實施例中,目標層是多層互連結構的層間介電質,例如上述的層間介電層。目標層可以是較高的多層互連層的層間介電層,例如ILD-1、ILD-3和類似者,其編號代表在半導體裝置上方的層數。半導體裝置可具有任何數量的金屬化層/層間介電層,在很多實施例中可超過5或10層。目標層的其他示例亦可能包括半導體基板、其他的介電層、半導體層、導電層及/或在半導體製造實施中的其他適合的層。
參考第3圖的示例,在半導體結構202上提供目標層302。在一個實施例中,目標層302是MLI部分的層間介電質。可使用沉積製程(例如CVD、PVD、ALD、高密度電漿CVD(high density plasma CVD,HDPCVD)、有機金屬CVD(metal organic CVD,MOCVD)、遠距電漿CVD(remote plasma CVD,RPCVD)、電漿輔助CVD(plasma enhanced CVD,PECVD)、LPCVD、原子層CVD(atomic layer CVD,ALCVD)、常壓CVD(atmospheric pressure CVD,APCVD)、流動式CVD(flowable CVD,FCVD)、高深寬比製程(high aspect ratio process,HARP)、高密度電漿(high density plasma,HDP)、其他適合的方法或上述的組合)提供目標層。
在一個實施例中,目標層302是包括介電材料的層間介電層,例如氧化矽、摻雜碳的氧化矽、氮化矽、氮氧化矽、四乙氧基矽烷形成的氧化物、PSG、BSG、BPSG、FSG、乾凝膠、氣凝膠、非晶氟化碳、聚對二甲苯、苯並環丁烯、BCB為基礎的介電材料、聚醯亞胺、其他適合的介電材料或上述的組合。在一些實施例中,層間介電層包括具有低介電常數介電質或ELK介電質的介電材料。目標層302可包括具有多個介電材料的多層結構。
在其他實施例中,目標層302可以是另一個介電層、導電層(例如,互連結構、電容器的平板、記憶體的電極等)及/或半導體層(例如,閘極特徵、鰭片結構、磊晶層等)。在一個實施例中,目標層302是部分的半導體基板,例如基板201。在這樣的示例中,可不包括半導體結構202的額外元件,並且目標層是部分的基板201及/或直接地設置在基板201上。
方法100接著前進至方塊104,形成遮罩層在目標層上方。遮罩層可包括硬遮罩材料。在一些實施例中,遮罩層可以是用於圖案化及/或保護下方層的犧牲層。在一些實施例中,遮罩層可以是多層結構。在進一步的實施例中,遮罩層是包括硬遮罩層(例如,含金屬層、有機層)之間的介電材料層的三層結構。遮罩層可包括抗反射層。
參考第3圖的示例,形成遮罩層304在目標層302上方。在一個實施例中,遮罩層304包括第一層304A、第二層304B和第三層304C。在一些實施例中,第一層304A和第三層304C包括氧化矽或其他介電材料。在一些實施例中,第二層304B包括硬遮罩材料,例如金屬硬遮罩材料。在一個實施例中,金屬硬遮罩材料是TiN。其他示範性的金屬硬遮罩材料包括Ti、Ta、W、TaN、WN及/或其他適合的組成。在其他實施例中,第二層304B是有機硬遮罩。在其他實施例中,硬遮罩層基於微影的需求而具有不同的配置。
在一些實施例中,第一層304A及/或第三層304C的厚度在大約100Å和500Å之間。在進一步的實施例中,第一層304A及/或第三層304C的厚度在大約200Å和300Å之間。在一些實施例中,第二層304B的厚度在大約100Å和500Å之間。在進一步的實施例中,第二層304B的厚度在大約200Å和300Å之間。根據蝕刻膜選擇性和蝕刻製程的變數選擇厚度。例如,材料之間的蝕刻選擇性越接近,增加厚度可以有所助益。在一個實施例中,可省略方塊104的遮罩層。
方法100接著前進至方塊106,沉積多層圖案化堆疊的第一層。在一些實施例中,多層圖案化堆疊可包括下述的三層。例如,三層可包括頂層(或影像層)、中間層(或傳遞層)和底層(或基底層)。這些層以沉積的順序討論,亦即,根據方法100的方塊106、108和110描述從底部至頂部。在一些實施例中,多層圖案化堆疊提供層狀的有機/無機/有機堆疊。
在一個實施例中,方塊106中沉積多層圖案化堆疊的第一層(例如,基底層或底層)。第一層可包括有機材料。在一個實施例中,第一層是底部抗反射塗料(bottom anti-reflective coating,BARC)層。BARC材料可以是針對方塊112中執行的微影製程所選的有機材料。在一個實施例中,BARC材料是適合用於13.5nm的輻射(EUV)的抗反射塗料。在一個實施例中,旋塗形成BARC。在一個實施例中,第一層是旋塗有機硬遮罩(spin-on organic hard mask,SOHM)。
在其他實施例中,第一層可包括以碳為基底的材料,其具有碳(C)成分大於50原子百分比。除了碳之外,含碳層的其他成分包括氫(例如,非晶氫化碳)。在一個實施例中,碳基層是非晶碳(amorphous carbon,a-Carbon)。在一些實施例中,形成含碳層不包括有目的地沉積其他的原子成分,例如碳和氫之外的其他成分。例如,碳的原子百分比可以在大約50%至75%之間,並且剩餘的50%至25%可以是氫。在進一步的實施例中,碳的原子百分比大約是65原子百分比,且氫的原子百分比大約是35原子百分比。在一些實施例中,使用例如PECVD的化學氣相沉積製程沉積含碳材料的第一層。其他的CVD製程包括HDPCVD、MOCVD、RPCVD、PECVD、LPCVD、ALCVD、APCVD、次壓化學沉積(sub-atmospheric chemical vapor deposition,SACVD)或其他適合的方法。在一個實施例中,使用例如電漿增強(plasma enhanced,PE)PVD的物理氣相沉積製程沉積含碳材料的第一層。其他的PVD製程包括濺鍍、電子束、加熱蒸鍍或其他適合的方法。在一個實施例中,使用例如電漿增強ALD的原子層沉積製程沉積含碳材料的第一層。
在一些實施例中,提供第一層作為BARC層,其形成的厚度在500Å和1000Å之間。在進一步的實施例中,第一層是沉積(例如透過PVD、CVD、ALD)的含碳層且具有厚度在大約50Å和500Å之間。在進一步的實施例中,厚度在大約200Å和300Å之間。可基於和周圍層之間的蝕刻選擇性、蝕刻製程的調整、下方的形貌及/或其他的標準選擇含碳層的厚度。例如,當含碳的第一層下方的形貌具有高長寬比時,可能需要增加厚度,從而適合覆蓋其形貌。在一個實施例中,下方的形貌使得第一層需藉由旋塗沉積,用以提供形貌適合的覆蓋(例如填充間隙)。在一個實施例中,含碳層和下方層(例如,遮罩層、目標層、介入層)之間的蝕刻選擇性可影響厚度,例如,針對含碳層和目標層之間降低的選擇性,可能需要較大的厚度。
在一些實施例中,在形成第一層之前,形成另一個層在硬遮罩和第一層之間。在特定的實施例中,此層是心軸層(mandrel layer),例如在雙圖案化製程中用於形成心軸的層。在其他實施例中,含碳層直接地沉積在遮罩層(例如第三層304C)上,例如在單圖案化製程中。
參考第4圖的示例,形成底層402在半導體結構202上方。在一個實施例中,底層402是旋塗提供的BARC。在一個實施例中,底層402可以是上方討論的含碳層(例如非晶碳),其可使用PVD、ALD或CVD製程之一沉積而成。
方法100接著前進至方塊108,沉積多層圖案化堆疊的第二層。多層圖案化堆疊第二層可以是含矽層。
在一些實施例中,第二層可包括以矽為基底的材料,其具有矽(Si)成分大於50原子百分比。在一個實施例中,含矽的第二層是非晶矽(amorphous silicon,a-Si)。在非晶矽中,原子形成具有多個未連結的懸浮鍵(dangling bond)的連續隨機網路。含矽層的其他成分包括附著在懸浮鍵位置的氫,從而提供非晶氫化矽(例如a-Si:H)。在一些實施例中,沉積的第二層包括矽和氫,並且不提供其他的原子。在本公開中,非晶矽(a-Si)的代表可包括a-Si:H。在一些實施例中,a-Si中存在的H百分比取決於期望的層性質,例如增加H可增加其硬度。a-Si層的示範性組成包括大於50原子百分比至75原子百分比之間的Si和低於50原子百分比至25原子百分比之間的H。
在一些實施例中,使用例如PECVD的化學氣相沉積製程沉積含矽材料(例如,a-Si)的第二層。其他的CVD製程包括HDPCVD、MOCVD、RPCVD、PECVD、LPCVD、ALCVD、APCVD、SACVD、其他適合的方法。在一個實施例中,使用例如PEPVD的物理氣相沉積製程沉積含矽材料的第二層。其他的PVD製程包括濺鍍、電子束、加熱蒸鍍。在一個實施例中,使用例如PEALD的原子層沉積製程沉積含矽材料的第二層。含矽層的沉積(例如,PVD、ALD、CVD製程)可以指稱使用製程而傳送作為前驅物的化學化合物分子至基板表面,並且化學修飾以獲得期望的膜。這些沉積製程是相對於旋塗或浸塗(dip-coating)製程。
在一些實施例中,使用上述方法沉積含矽層包括提供前驅物活性氣體和惰性氣體。示例前驅物包括含矽化合物。在一個實施例中,前驅物包括矽源,例如矽烷(SiH
4)或二矽烷(Si
2H
6)之一。示例惰性氣體包括氮氣、氬氣、氦氣、氙氣、其他適合的承載氣體組成或上述的組合。在一個實施例中,惰性氣體包括氬氣(Ar)或氦氣(He)中至少一者。可引導氣體前驅物(以及惰性氣體)至設置加熱的半導體結構的腔室中沉積含矽層。前驅物之間(及/或和半導體結構表面之間)的反應形成含矽材料的固體膜層在半導體結構上。可使用第30圖繪示的工具執行這樣的製程,如下文所述。
多層圖案化堆疊的含矽第一層的沉積製程可包括製程溫度在室溫(room temperature,RT)至600℃之間。多層圖案化堆疊的含矽第一層的沉積製程可包括製程壓力在大約0torr至100torr之間。在一個實施例中,可決定溫度及/或壓力以提供適合的前驅物分解及/或矽原子激發。在一個實施例中,製程溫度是指晶圓及/或製程腔室的溫度。沉積的能量可以在大約10MHz和25MHz之間。選擇沉積的能量以提供適合前驅物的分解和反應。較大的能量提供較高的分解率,但可能在工具表現上造成危險的電弧放電或其他問題。
在一些實施例中,沉積含矽第二層的厚度在50Å至500Å。在進一步的實施例中,含矽膜的厚度在大約100Å和200Å之間。可基於和周圍層之間的蝕刻選擇性和蝕刻製程的調整而選擇含矽層的厚度,用以提供適合的圖案傳遞表現。
在一些實施例中,含矽層直接地沉積在第一層上。因此,在一些實施例中,旋塗有機塗料(例如BARC)直接地和非晶矽層形成介面,以提供三層堆疊的基底層和中間層。在一個實施例中,含碳層(例如具有大於50%原子碳,例如a-C)直接地和非晶矽層形成介面。在一些實施例中,原位執行方塊106和方塊108的沉積,例如在第30圖所繪示的工具中。在一些實施例中,當方塊106包括旋塗含矽層,在如第30圖繪示的工具中提供方塊108。
在一個實施例中,含矽層和含碳層之間的介面可以是從a-C轉變成a-Si的分離介面。在一些實施例中,形成的結構具有連續變化的C和Si比例。
在一些方法100的實施例中,透過例如CVD、PVD或ALD製程將如上所述的含矽層沉積為非晶矽。在一些實施例中,接續修飾a-Si材料的成分。例如,在後續的a-Si圖案化步驟期間,可使用蝕刻製程轉變部分或全部的成分(a-Si)。例如,當使用含氧蝕刻氣體(例如,O2),含矽層可從蝕刻劑氣體吸附氧氣原子。進一步地,當蝕刻製程(例如,包括含氧蝕刻氣體)蝕刻下方含碳層,含矽層可吸附氧氣及/或碳,使得部分或全部沉積的a-Si材料轉變成SiO
2聚合物成分。在一些實施例中,這樣的轉變(例如,氧氣或碳的添加)發生在含矽層表面在蝕刻製程期間的暴露部分。
參考第5圖的示例,中間層502形成在半導體結構202上方。在一個實施例中,中間層502是如上所述的含矽層,其包括大於50原子百分比的Si,並且使用PVD、ALD或CVD中至少一者沉積而成。例如,在一些實施例中,中間層502是非晶矽。
方法100接著前進至方塊110,沉積多層圖案化堆疊的光敏層。光敏層亦可代指光阻或單純阻劑。可使用旋塗製程沉積光阻層。例如,旋塗可包括施加液體聚合物材料在半導體結構202(例如中間層502)上。在一個實施例中,光阻層是對期望的波長輻射敏感的材料,例如光阻(包括I-line光阻)、DUV光阻(包括氟化氪(KrF)光阻和氟化氬(ArF)光阻)、EUV光阻、電子束光阻和離子束光阻。在進一步的實施例中,光阻是193-nm光阻。在進一步的實施例中,光阻是對在EUV微影製程中曝光用的13.5nm波長敏感的EUV光阻。在一個實施例中,光阻對EUV輻射敏感且進一步負顯影(negative-tone development,NTD),亦即,其在NTD顯影劑中的溶解度隨著EUV輻射而降低。
光阻層可包括聚合物主鏈和一或多個用於圖案化光阻層的輻射波長的光敏成份。波長包括微影製程有關的波長,例如DUV微影、EUV微影、e-beam微影、x-ray微影及/或其他的微影製程。在一個實施例中,利用化學增幅的光阻通常稱為化學放大光阻(chemically amplified resist,CAR)。光阻包括抗蝕刻或離子佈植的聚合物、酸產生化合物(例如,光致酸產生劑(photo acid generator,PAG))和溶劑。在一些示例中,聚合物亦包括和酸反應的酸敏基團(acid labile group,ALG)中至少一者。在一些實施例中,光阻包括聚合性化學增幅,例如對EUV微影敏感的聚羥基苯乙烯(polyhydroxystyrene,PHS)。在一些實施例中,提供非化學增幅的光阻,例如聚甲基丙烯酸甲酯(polymethylmethacrylate,PMMA)光阻。許多光阻選項是有機光阻,而無機光阻亦是可行的。
在一些實施例中,光阻層直接地形成在中間層或第二層(例如,a-Si)上。在一些實施例中,少許的氧化矽(例如,自然生成的SiO
2)形成在a-Si層的頂部上,並且光阻層形成於其上。在其他實施例中,如下所述,黏附層介入光阻層和中間層之間。
參考第6圖的示例,沉積光阻層602。光阻層602、中間層502和底層402作為多層圖案化堆疊604,特別是作為三層堆疊。
方法100接著前進至方塊112,使用微影技術圖案化光敏層。在一些實施例中,在沉積光阻層(可以是共形的)之後,微影製程包括執行曝光前烘烤製程、使用遮罩執行曝光製程、執行曝光後烘烤製程和執行顯影製程。在曝光製程期間,光阻層暴露於輻射能量(例如UV光、DUV光或EUV光),其中遮罩根據遮罩的遮罩圖案及/或遮罩類型(例如,二元遮罩、相位移遮罩或EUV遮罩)阻擋、傳遞及/或反射輻射至光阻層,使得對應於遮罩圖案的影像投射在光阻層上。在一些實施例中,方法包括使用浸漬(immersion)微影。由於光阻層對如上方討論的輻射能量敏感,光阻層的暴露部分產生化學變化,且根據在顯影製程中使用的光阻層的特性和顯影溶液的特性,光阻層的暴露(或未暴露)部分在顯影製程期間溶解。換而言之,在微影圖案化中,當光阻膜暴露於輻射(例如EUV輻射或替代的例如電子束的其他輻射)之後,光阻膜在顯影劑(化學溶液)中顯影。顯影劑移除部分的光阻膜(例如正光阻的暴露部分或負光阻的未暴露部分),從而形成可包括線條圖案及/或溝槽圖案的光阻圖案。在顯影之後,圖案化光阻層包括對應於遮罩的光阻圖案。應理解到,在一些實施例中,第一層和含矽層在曝光或顯影中未受到影響(例如,未發生化學變化)。在一個實施例中,第二層的a-Si成分和圖案化光阻層形成介面。
參考第7圖的示例,圖案化光阻層602以形成具有一系列的遮罩元件特徵的光阻層602′,包括經過曝光和顯影的光阻以及插入其中的開口。在一個實施例中,使用如上方討論的EUV微影技術提供圖案。
方法100接著前進至方塊114,將光敏層的圖案蝕刻進多層圖案化堆疊中剩餘的層,從而形成遮罩元件。第8圖、第9圖、第10圖和第11圖示例性的展示用於形成遮罩元件的蝕刻製程,其將光阻層602′的圖案蝕刻進下方的三層圖案化堆疊604的中間層502和底層402之中。蝕刻製程可包括反應離子蝕刻或電漿蝕刻系統。蝕刻製程可使用含氫蝕刻氣體(例如,H
2及/或CH
4)、含氮蝕刻氣體(例如,N
2及/或NH
3)、含氯蝕刻氣體(例如,Cl
2、CHCl
3、CCl
4及/或BCl
3)、含氧蝕刻氣體(例如,O
2)、含氟蝕刻氣體(例如,F
2、CH
3F、CH
2F
2、CHF
3、CF
4、C
2F
6、SF
6及/或NF
3)、含溴蝕刻氣體(例如,Br、HBr、CH
3Br、CH
2Br
2及/或CHBr
3)、含碘蝕刻氣體、其他適合的蝕刻氣體或上述的組合。在一些實施例中,乾式蝕刻製程可使用承載氣體傳遞蝕刻氣體。承載氣體包括氮氣、氬氣、氦氣、氙氣、其他適合的承載氣體成分或上述的組合。
在一些實施例中,方塊114的第一步驟是清除(descum)製程。舉例而言,如果目標圖案的保真度(fidelity)未達到,清除製程可從非目標區域移除光阻(殘餘)部分。這樣的製程移除未期望的殘留光阻材料,同時也減少期望的圖案化光阻的高度。在一些實施例中,清除製程可輕微蝕刻下方的多層圖案化堆疊的中間層(a-Si)。第8圖繪示在清除製程(包括輕微蝕刻進中間層502)之後的多層圖案化堆疊的配置。光阻層602′的高度減少,且在一些實施例中,其頂表面是圓弧的。在這清除步驟中可選擇多種的蝕刻條件,例如包括以O
2/Ar為基礎的清除劑、以CF
4/CHF
3為基礎的清除劑及/或其他的適合的蝕刻劑的蝕刻步驟。如上方討論,在一些實施例中,清除步驟引導可由a-Si中間層吸附的氧氣(或碳)原子至包括a-Si中間層的弧形、凹陷的頂表面的區域中。
方塊114可繼續包括中間層或含矽層的蝕刻製程。在一個實施例中,這樣的蝕刻製程提供含矽層的選擇性蝕刻,例如矽的選擇性。根據光阻層的圖案,蝕刻製程圖案化含矽中間層。參考第9圖的示例,蝕刻中間層502以提供圖案化中間層502′。中間層502蝕刻可停止在底層402的頂表面。在一些實施例中,圖案化的中間層502′包括錐形側壁,例如由於方向性蝕刻和後清除製程形成的輪廓。乾式(例如電漿)蝕刻製程可包括含氧蝕刻氣體(例如,O
2)、含氟蝕刻氣體(例如,F
2、CH
3F、CH
2F
2、CHF
3、CF
4、C
2F
6、SF
6及/或NF
3)、含溴蝕刻氣體(例如,Br、HBr、CH
3Br、CH
2Br
2及/或CHBr
3)及/或其他適合的蝕刻劑。如上方討論,在一些實施例中,蝕刻劑引導原子(例如,氧氣)至周邊,其由圖案化的第二層所吸附。
由於在沉積步驟期間可實現如上所述的成分上的優勢特性(例如密度、模數、硬度),圖案化中間層502′(例如圖案化a-Si層)可具有改善的線條邊緣粗糙度(line edge roughness,LER)。
方塊114可繼續包括底層的蝕刻製程。根據光阻層及/或圖案化的中間層的圖案,蝕刻製程圖案化有機底層。在一些實施例中,在底層402的蝕刻製程期間移除光阻層602′。例如,如果底層402的成分類似於光阻層602的成分(例如,以有機碳為基底),蝕刻劑可移除兩者的成分。如上方討論,在一些實施例中,蝕刻劑引導相鄰層的原子(例如,底層的碳)至周邊,其由圖案化的中間層所吸附。
參考第10圖的示例,蝕刻底層402以提供圖案化底層402′。底層402蝕刻可停止在遮罩層304的頂表面。
方法100的一些實施例的益處是在圖案化底層402的蝕刻製程之後或期間,因為中間層502的成分和沉積方法而可以減少圖案化中間層502′的損失。舉例而言,在一些實施例中,當沒有使用如上所述的ALD、PVD或CVD提供a-Si沉積層,可從裝置完全或實質上移除圖案化中間層502′(例如,SOG、SiOC、SiON)。在一個實施例中,當提供如上方討論的a-Si作為含矽層,至少可保留具有高度h1的圖案化中間層502′。在一些實施例中,高度h1至少5nm。在一些實施例中,保留大約5nm至15nm的高度h1。在一個實施例中,高度h1和高度h2的比例大約1:2.5至1:5。在一個實施例中,高度h1和距離d1的比例在2:1至10:1。中間層和底層之間改善的蝕刻選擇性可導致剩餘的高度h2。在一些實施例中,上方討論的原子(例如,氧氣)吸附進一步提供此蝕刻選擇性。
在一些方法100和方塊114的實施例中,可修整產物的圖案化含矽層(和底層),使得特徵的寬度減少。參考第11圖的示例,減少圖案化中間層502′和底層402′的寬度(x方向)以提供較窄的特徵(寬度w2)。可使用適合的蝕刻製程(例如各向同性蝕刻)執行修整,用以達到期望的尺寸(例如,臨界尺寸)。在一些實施例中,修整製程可將尺寸減少至低於方塊112中實施製程的微影極限。修整製程可減少例如10%至30%的寬度。應理解到,當產物的寬度w2太細窄時,圖案化特徵的長寬比可能具有坍塌的風險。
方法100接著前進至方塊116,在圖案化下方層(例如遮罩層)期間,產物的多層圖案化堆疊的圖案化特徵作為遮罩元件。下方層的圖案化仰賴於方法100實施的圖案化方法。在一些實施例中,可直接使用圖案化的多層圖案化堆疊作為遮罩元件圖案化目標層,因此方法100可忽略方塊116。在一些實施例中,可直接使用圖案化的多層圖案化堆疊作為遮罩元件圖案化例如下方遮罩層(例如,金屬硬遮罩)的其他圖案化層,其可接著用於圖案化下方層。蝕刻製程可包括反應離子蝕刻或電漿蝕刻系統。乾式蝕刻製程可使用含氫蝕刻氣體(例如,H
2及/或CH
4)、含氮蝕刻氣體(例如,N
2及/或NH
3)、含氯蝕刻氣體(例如,Cl
2、CHCl
3、CCl
4及/或BCl
3)、含氧蝕刻氣體(例如,O
2)、含氟蝕刻氣體(例如,F
2、CH
3F、CH
2F
2、CHF
3、CF
4、C
2F
6、SF
6及/或NF
3)、含溴蝕刻氣體(例如,Br、HBr、CH
3Br、CH
2Br
2及/或CHBr
3)、含碘蝕刻氣體、其他適合的蝕刻氣體或上述的組合。在一些實施例中,乾式蝕刻製程可使用承載氣體傳遞蝕刻氣體。承載氣體包括氮氣、氬氣、氦氣、氙氣、其他適合的承載氣體成分或上述的組合。濕式蝕刻製程可實施濕式蝕刻劑溶液,包括H
2SO
4(硫酸)、H
2O
2(過氧化氫)、NH
4OH(氫氧化銨)、HCl(鹽酸)、HF(氫氟酸)、DHF(稀釋氫氟酸)、HNO
3(硝酸)、H
3PO
4(磷酸)、H
2O(水)(可以是去離子水(deionized water,DIW)或臭氧水(ozonated deionized water,DIWO
3))、臭氧(O
3)、其他的適合的化學物或上述的組合。
參考第12圖的示例,使用圖案化底層402′作為遮罩元件蝕刻遮罩層304且特別是第三層304C(介電層),以形成圖案化第三層304C′。在一個實施例中,蝕刻製程對第三層304C的成分具有選擇性,例如對氧化矽的選擇性。在一些實施例中,在蝕刻第三層304C期間移除中間層502′(含矽層)。在一些實施例中,蝕刻製程停止在第二層304B(硬遮罩層),例如金屬硬遮罩成分。蝕刻製程可提供圖案化底層402′(含碳層)的圓弧頂表面。
參考第13圖的示例,在蝕刻遮罩層304且特別是第二層304B(金屬硬遮罩層)期間可使用圖案化底層402′(和圖案化第三層304C′)作為蝕刻遮罩,以形成圖案化第二層304B′。在一些實施例中,蝕刻第二層304B包括過蝕刻而延伸進下方第一層304A(介電層,例如氧化矽)。然而,蝕刻劑可通常對第二層304B′的材料有選擇性。
在蝕刻第二層304B之後,可執行沖淨或清洗製程。在一些實施例中,沖淨製程可移除圖案化底層402′,如第14圖所繪示。圖案化第二層304B′通常適合為圖案化下方目標層提供遮罩元件。
方法100繼續前進至方塊118,在蝕刻目標層期間使用先前方塊顯影的遮罩元件。參考第15圖的示例,使用蝕刻製程圖案化目標層302,其中使用圖案化第二層304B′作為遮罩元件定義形成的圖案。提供圖案化目標層302′的圖案相同於上方光阻層602所定義的圖案(在一些實施例中,圖案進一步由修整製程定義)。應理解到,上述方法100提供單圖案化製程(一次微影製程)。然而,實行方法100的其他實施例可能包括多次微影和蝕刻製程。例如,雙圖案化微影(double patterning lithography,DPL)製程(例如,微影-蝕刻-微影-蝕刻(lithography-etch-lithography-etch,LELE)製程、自對準雙圖案化(self-aligned double patterning,SADP)製程、隙壁介電(spacer-is-dielectric,SID) SADP製程、其他的雙圖案化製程或上述的組合)、三圖案化製程(例如,微影-蝕刻-微影-蝕刻-微影-蝕刻(lithography-etch-lithography-etch-lithography-etch,LELELE)製程、自對準三圖案化(self-aligned triple patterning,SATP)製程、其他的三圖案化製程或上述的組合)、其他的多圖案化製程(例如,自對準四圖案化(self-aligned quadruple patterning,SAQP)製程)或上述的組合。
在一些實施例中,下方第一層304A的成分類似於目標層302,且因此在蝕刻製程期間亦圖案化形成圖案化第一層304A′。在其他實施例中,第一層304A個別圖案化形成圖案化第一層304A′。在一個實施例中,圖案化目標層302之後可剝離硬遮罩層304的任何剩餘部分。
在一些實施例中,目標層302是層間介電層,且圖案化的目標層302′可定義開口或溝槽,其中可形成MLI的金屬化部分。在此類實施例中,方法100前進至使用導電材料(例如金屬)填充溝槽,並且使用例如化學機械平坦化(chemical mechanical planarization,CMP)製程研磨導電材料以暴露圖案化層間介電層,從而形成層間介電層中的金屬導線。這繪示在第16圖的導電特徵1602中,其可提供金屬互連導線。
在一些實施例中,目標層302是用於金屬導線的導電層且由銅、鋁、類似者或上述的組合所形成。在其他實施例中,目標層302是介電層,例如低介電常數介電層、聚合物層或類似者。在其他的實施例中,目標層是適合閘極結構形成裝置的閘極(或虛擬閘極結構)的材料,例如多晶矽。在又其他的實施例中,目標層302是基板且由半導體材料所形成,例如矽、鍺或其他適合的材料。在此類實施例中,形成在基板的目標層中的溝槽可定義隔離特徵之間鰭片類型的結構,其適合製造鰭式場效應電晶體。在一個實施例中,目標層302是心軸層。心軸層可以是遮罩材料,例如氮化矽、氧化物、矽、非晶矽、上述的組合或任何其他可圖案化並選擇性移除的材料。接著可將圖案化的心軸層用於進一步的圖案化製程,例如多圖案化製程的一部份以達成較小的尺寸(例如將方法100實施成雙圖案化技術)。根據各種本公開的觀點,上述是可使用方法100製造或改善的裝置/結構的非限制性示例。
在一些實施例中,由於上述的圖案化材料及/或步驟的特性,圖案化的目標層具有非常平滑的邊緣和側壁,從而導致低線條邊緣粗糙度和線條寬度粗糙度及/或改善的局部臨界尺寸均勻性。在一些實施例中,這是由於形成含矽膜的材料和沉積製程(例如,ALD、CVD、PVD)提供具有高密度、改善的硬度、期望的模數、高蝕刻選擇性及/或其他的益處的材料層。在一些實施例中,由於在沉積製程中可利用電漿的加入而分解材料層中的雜質及/或未期望的鍵結,可導致有利的性質(例如高密度)。可透過沉積製程的調整點(例如氣體流速(前驅物、惰性氣體)、能量、製程溫度、基板溫度和類似者)修飾膜的性質(包括密度、模數、硬度等)。
在一個方法100的實施例中,提供額外的方塊是在多層圖案化堆疊中形成黏附層。例如,在一個實施例中,可形成黏附層在中間層(例如,含矽層)和上方的光阻層之間。黏附層可包括例如六甲基二矽氮烷(hexamethyldisilazane,HDMS)或BARC的材料。在一些實施例中,根據形成用的微影製程選擇BARC材料,例如根據輻射的波長提供適合的抗反射性質。黏附層的厚度可以在大約0Å和100Å之間。在黏附層是表面活性劑的實施方式中,因為黏附層作為表面處理可將其厚度視為0Å。第17圖繪示黏附層1702插入圖案化的光阻層602′和中間層502(含矽層)之間。第17圖實質上類似於第7圖,且包括黏附層的方法100的進行實質上類似於上述。黏附層可以跟中間層502一起圖案化。
在方法100的一個實施例中,可設置形貌上不同的結構(例如圖案化層)在多層圖案化堆疊下方。參考第18圖的示例,包括光阻層602、中間層502和底層402的多層圖案化堆疊設置在遮罩層304上的多個特徵1802上方。
如第18圖中所繪示,底層402直接和多個特徵1802形成介面並填充特徵1802之間的間隙。在一些實施例中,底層402的厚度大於多個特徵1802約1.2倍至4倍的特徵1802的高度。在一個實施例中,可使用旋塗沉積底層402以提供適合多個特徵1802之間的間隙填充。在沉積底層402之後和形成中間層502之前,可使用例如化學機械研磨(chemical mechanical polish,CMP)的適合製程平坦化底層402。
在一個實施例中,多個特徵1802是用於多圖案化策略中的犧牲心軸(例如,矽或其他的犧牲材料),例如在雙圖案化技術中。例如,可使用設計形成多個特徵1802的目標材料層實施方法100。在目標材料上提供第一多層圖案化堆疊而圖案化多個特徵1802。可重複方法100而形成第二多層圖案化堆疊在多個特徵1802上方。第二多層圖案化堆疊可進一步不同於多個特徵1802及/或由已述的多個特徵形成的特徵圖案(例如,在多個特徵1802的側壁上形成的間隔元件)。
現在參考繪示方法100的實施例的第19圖至第29B圖,其實施包括多個微影和蝕刻製程以圖案化目標層的多圖案化策略。第19圖至第29B圖提供圖案化BEOL特徵的示例。具體而言,圖案化多層互連結構的金屬化層。上方關於方法100的描述可充分應用至第19圖至第29B圖所繪示的實施例的描述。
第19圖繪示裝置1900,裝置1900具有半導體結構202和多個層形成於其上。在一個實施例中,半導體結構202實質上類似於或是例如包括上方所述的主動裝置(例如電晶體)。在半導體結構202上方形成MLI的第一層間介電層1902和第一金屬化層1904,其互連半導體結構202上的主動裝置。層間介電層可包括介電材料,例如氧化矽、摻雜碳的氧化矽、氮化矽、氮氧化矽、四乙氧基矽烷形成的氧化物、PSG、BSG、BPSG、FSG、乾凝膠、氣凝膠、非晶氟化碳、聚對二甲苯、BCB為基礎的介電材料、聚醯亞胺、其他適合的介電材料或上述的組合。在一些實施例中,層間介電層1902包括具有介電常數低於二氧化矽(例如,k<3.9)的介電材料。在一些實施例中,層間介電層1902包括具有介電常數低於約2.5的介電材料(例如,ELK介電材料),例如二氧化矽(SiO
2)(例如,多孔的二氧化矽)、碳化矽(SiC)及/或摻雜碳的氧化物(例如,以SiCOH為基礎的材料(例如具有Si-CH
3鍵結)),上述各者可調整/配置以展現介電常數低於約2.5。層間介電層1902可包括具有多個介電材料的多層結構。第一金屬化層1904可以是導電材料,例如銅、鋁、鎢及/或其他適合的材料。第一金屬化層1904可以是例如包括內襯層、阻障層、黏附層及/或其他適合的層的多層結構。
設置蝕刻停止層1906在層間介電層1902和第一金屬化層1904上方。在一個實施例中,蝕刻停止層1906包括多個層。蝕刻停止層1906可包括SiC、SiN、TEOS、硬黑鑽石(hard black diamond,HBD)或其他適合的成分。在蝕刻停止層1906上方設置另一個層間介電層1908。層間介電層1908可以是用於圖案化的目標層。例如,在一些實施例中,可以在層間介電層1908中圖案化形成溝槽,其中可在溝槽中藉由鑲嵌或雙鑲嵌製程沉積導電材料(例如銅)以形成金屬化層。在一些實施例中,當第一金屬化層1904是金屬化層「M」,層間介電層1908和其中將形成的金屬化層則是金屬化層「M+1」。層間介電層1908可實質上類似於第一層間介電層1902,且可包括和第一層間介電層1902相同或不同的成分。
在目標層間介電層1908上方設置硬遮罩層1910。在一些實施例中,硬遮罩層1910實質上類似於遮罩層304。在一個實施例中,硬遮罩層1910包括第一層1910A、第二層1910B和第三層1910C。在一個實施例中,第三層1910C是例如TEOS的介電層。在一個實施例中,第二層1910B是例如TiN的金屬硬遮罩層。其他的示範性金屬硬遮罩材料包括Ti、Ta、W、TaN、WN及/或其他適合的成分。在一個實施例中,第一層1810A是不含氮抗反射層(nitrogen free anti-reflection layer,NFARL)。
心軸層1912設置在硬遮罩層1910上方。在一個實施例中,心軸層1912是矽,例如非晶矽、多晶矽或其他適合的成分。當心軸層1912經過圖案化,可藉由在特徵/心軸上方提供間隔材料、在心軸的側壁上提供間隔特徵和接續移除心軸以允許間隔特徵定義減小的間距,從而使用心軸層1912作為犧牲特徵來減少圖案化特徵的間距。心軸間隔技術包括將暴露圖案的間距減半的自對準雙圖案化製程、將暴露圖案的間距減少至四分之一的自對準四圖案化製程和其他的間隔圖案化製程。
現在參考第20A圖和第20B圖,執行第一微影製程,其中第20A圖取自第20B圖中的截面參考線。第一微影製程可代稱在一些實施例中的切割製程,用以定義後續形成的金屬化特徵之間的間隙。第20A圖繪示第一微影製程包括形成多層圖案化堆疊604在心軸層1912上方。多層圖案化堆疊604包括底層402(含碳層)、中間層502(含矽層)和藉由第一微影製程圖案化的光阻層而形成的圖案化光阻層602′′。多層圖案化堆疊604實質上類似於上方所述,包括提供底層402(有機層)。在一個實施例中,使用CVD、PVD或ALD製程中至少一者沉積中間層502(含矽層,例如a-Si)。在一個實施例中,使用EUV製程圖案化形成圖案化光阻層602′′。在其他實施例中,可實施其他的微影技術。
第21A圖和第21B圖繪示執行第20A圖和第20B圖的圖案的蝕刻製程之後的裝置1900,其中第21A圖取自第21B圖中的截面參考線。蝕刻製程圖案化心軸層1912以形成圖案化心軸層1912′。多層圖案化堆疊604在蝕刻期間作為遮罩元件以形成圖案化心軸層1912′。在蝕刻製程之後,可移除第一多層圖案化堆疊604。
現在參考第22圖,執行第二微影製程。第二微影製程可定義包括第一組金屬化導線的部分金屬化路徑布局。第22圖繪示第二微影製程包括形成另一個多層圖案化堆疊604在心軸層1912上方。多層圖案化堆疊604包括底層402(有機層)、中間層502(含矽層)和藉由第二微影製程圖案化光阻層而形成的圖案化光阻層602′′′。多層圖案化堆疊604實質上類似於上方所述,包括使用CVD、ALD或PVD製程中至少一者沉積的底層402及/或中間層502。多層圖案化堆疊604形成在形貌不同的特徵(圖案化心軸層1912′)上方。在一個實施例中,底層402直接和圖案化心軸層1912′形成介面。在一個實施例中,使用旋塗製程沉積底層402以提供適合的間隙填充。在一個實施例中,底層402是藉由CVD、ALD或PVD中一者形成的非晶碳層。在一個實施例中,使用EUV製程形成圖案化光阻層602′′′。在其他實施例中,可實施其他的微影技術。
第23A圖和第23B圖繪示執行第22圖的圖案的蝕刻製程之後的裝置1900,其中第23A圖取自第23B圖中的截面參考線。蝕刻製程進一步圖案化心軸層1912′以形成圖案化心軸層1912′′。第21圖的多層圖案化堆疊604在蝕刻期間作為遮罩元件以形成圖案化心軸層1912′′。在蝕刻製程之後,可移除多層圖案化堆疊604。
現在參考第24A圖和第24B圖,共形的間隔材料層2402形成在包括心軸層1912′′的半導體結構202上方,其中第24A圖取自第24B圖中的截面參考線。間隔材料層2402可包括介電材料,例如氮化鈦、氮化矽、氧化矽、氧化鈦及/或其他適合的材料。可使用各種製程形成間隔材料層2402,包括CVD或PVD製程的沉積製程。
現在參考第25A圖和第25B圖,回蝕刻第24A圖和第24B圖的共形的間隔材料層2402以形成間隔元件2502,其中第25A圖取自第25B圖中的截面參考線。蝕刻製程可以是各向異性蝕刻製程,例如電漿蝕刻。可減少間隔的寬度s,使得其寬度低於應用的微影技術的解析度。
現在參考第26A圖和第26B圖,執行第三微影製程,其中第26A圖取自第26B圖中的截面參考線。第三微影製程可定義包括第二組金屬化導線的部分金屬化路徑布局。第26A圖和第26B圖繪示第三微影製程包括形成另一個多層圖案化堆疊604在圖案化心軸層1912′′和間隔元件2502上方。多層圖案化堆疊604包括底層402(有機層)、中間層502(含矽層)和使用第三微影製程圖案化光阻層而形成的圖案化光阻層602′′′′。多層圖案化堆疊604實質上類似於上方所述,包括使用CVD、ALD或PVD製程中至少一者沉積的中間層502。多層圖案化堆疊604形成在形貌不同的特徵(圖案化心軸層1912′′和間隔元件2502)上方。在一個實施例中,旋塗沉積底層402以充分地填充心軸層1912′′之間的間隙。在進一步的實施例中,使用PVD、CVD或ALD形成底層402(含碳層,例如a-C)在各個圖案化心軸層1912′′和間隔元件2502上方。在一個實施例中,使用EUV製程形成圖案化光阻層602′′′′。在其他實施例中,可實施其他的微影技術。
第27A圖和第27B圖繪示第26A圖和第26B圖的圖案和先前形成的圖案化心軸層1912′′的蝕刻製程之後的裝置1900,其中第27A圖取自第27B圖中的截面參考線。具體而言,光阻層602′′′′提供移除圖案化心軸層1912′′的特定部分而成的開口(例如,在裝置1900的繪示部分中央的間隔元件2502之間)。隨後,可根據心軸和間隔元件(亦即,第一、第二和第三微影製程的加總)提供的圖案蝕刻遮罩層1910,從而提供圖案化遮罩層1910′。圖案化遮罩層1910′包括圖案化第二層1910B(硬遮罩層)。在圖案化形成圖案化遮罩層1910′之後,可移除上覆層。
第28A圖和第28B圖繪示接續步驟,其中第28A圖取自第28B圖中的截面參考線,根據遮罩層1910′的圖案延伸進目標層間介電層1908提供溝槽和通孔開口的圖案,從而提供圖案化目標層間介電層1908′。溝槽和通孔開口2802定義裝置1900的MLI結構的金屬化層及/或通孔的路徑。因此,接著使用導電材料填充溝槽和通孔開口2802以提供第29A圖和第29B圖的互連結構2902。互連結構2902可包括銅、鋁、合金及/或其他適合的導電材料。互連結構2902可包括多層結構,包括阻障層、種子層、內襯層和類似者。示範性的阻障層包括鈦、氮化鈦、鉭、氮化鉭或其他的替代物。在沉積導電材料之後,可執行例如平坦化製程(例如CMP)的各種製程。
因此,第19圖至第29B圖的系列提供方法100的示範性的實施方式。如圖所示,可多次實施方法100從而圖案化單一目標層。在一些實施例中,多層圖案化堆疊604的性質可提供圖案品質的改善。例如,可改善圖案化含矽層(且在一些實施例中是圖案化含碳層)的LWR及/或LCDU,從而允許改善的圖案產生保真度。在不意圖限制於任何理論的情況下,多層圖案化堆疊的沉積製程及/或材料成分應可以提供改善在圖案化製程中的表現的材料性質,例如增加密度、增加硬度、期望的模數或高蝕刻選擇性。
第30圖繪示可用於執行本文所述方法的一或多個步驟的製造工具3000。在一個實施例中,製造工具3000是化學氣相沉積工具。在一個實施例中,製造工具3000是原子層沉積工具。在一個實施例中,製造工具3000是物理氣相沉積工具。特定而言,製造工具3000可用於沉積如上所述的含矽層。在一些實施例中,製造工具3000可進一步用於沉積含碳層。在一些實施例中,提供氣態前驅物至腔室3002。在上部陰極和下部陽極之間,提供半導體結構(例如半導體結構202)。半導體結構202可以是晶圓型態。提供射頻(radio frequency,RF)電源至上部電極。施加至腔室3002的溫度、壓力及/或能量或電漿可協助前驅物氣體的反應。反應形成半導體結構202上的層。如上方所述,在一些實施例中,此層是非晶矽層。
第30圖繪示形成包括矽烷的含矽層的示範性前驅物。前驅物進入腔室3002而分解成沉積或從腔室3002排出任一者的矽和氫。
在一個示例觀點,本公開是關於微影圖案化的方法。方法包括提供基板和形成目標層在基板上方。形成圖案化層是藉由沉積具有有機成分的第一層、沉積包括大於50原子百分比的矽的第二層,和沉積在第二層上的光敏層。
在一個實施例中,目標層是形成在基板上方的層間介電層。在進一步的實施例中,方法包括定義形成在層間介電層中的金屬化層。在一個實施例中,藉由化學氣相沉積、原子層沉積或物理氣相沉積沉積第二層。在進一步的實施例中,旋塗沉積光敏層。在一個實施例中,沉積第二層包括形成非晶矽層。在一個實施例中,非晶矽層可以是氫化的非晶矽。
在一個實施例中,沉積第一層包括沉積旋塗抗反射塗層。在一個實施例中,沉積第一層包括沉積非晶碳。在進一步的實施例中,藉由原子層沉積、物理氣相沉積或化學氣相沉積中至少一者沉積非晶碳。在方法的一個實施例中,沉積黏附層在第二層和光敏層之間。
在另一個示例觀點,本公開是關於包括提供目標層的微影圖案化方法。沉積多層圖案化堆疊的有機底層。使用沉積製程向有機底層的表面傳遞提供前驅物且化學修飾前驅物以獲得在表面上的含矽層,以形成多層圖案化堆疊的含矽層在有機底層上方。含矽層具有至少50%矽。形成光阻層在含矽層上方。將部分的光阻層暴露於輻射以提供圖案化光阻層。蝕刻未由圖案化光阻層覆蓋的部分的含矽層以形成圖案化含矽層。在蝕刻部分的含矽層之後,蝕刻未由圖案化含矽層覆蓋的部分的有機底層以形成圖案化有機底層。使用圖案化的有機底層定義目標層中的圖案。
在方法的一個實施例中,沉積製程是化學氣相沉積或物理氣相沉積。在方法的一個實施例中,在使用圖案化有機底層定義圖案之前,修整圖案化有機底層。在一個實施例中,輻射是極紫外光波長。
在另一個示例觀點,本公開是關於圖案化半導體裝置的方法。方法包括沉積含碳層在基板上方。直接地沉積非晶矽層在含碳層上。旋塗光敏層在非晶矽層上方。使用微影製程圖案化光敏層以提供第一開口。方法接著包括穿過第一開口蝕刻非晶矽層,以及在蝕刻沉積的含碳層期間,使用經過蝕刻的非晶矽層作為遮罩元件。
在方法的一個實施例中,沉積含碳層包括形成非晶碳。在一個實施例中,沉積非晶矽層包括化學氣相沉積、原子層沉積或物理氣相沉積。在一個實施例中,蝕刻非晶矽層從蝕刻氣體引導原子進非晶矽層,以形成變化的矽層。在一些實施例中,引導的原子是氧氣。
前面概述一些實施例的特徵,使得本領域技術人員可更好地理解本公開的觀點。本領域技術人員應該理解,他們可以容易地使用本公開作為設計或修改其他製程和結構的基礎,以實現相同的目的和/或實現與本文介紹之實施例相同的優點。本領域技術人員還應該理解,這樣的等同構造不脫離本公開的精神和範圍,並且在不脫離本公開的精神和範圍的情況下,可以進行各種改變、替換和變更。
100:方法
102,104,106,108,110,112,114,116,118:方塊
201:基板
202:半導體結構
203:主動裝置
205:閘極結構
207:源極/汲極特徵
209:隔離特徵
211:介電層
213:多層互連結構
215:接觸件
302,302′:目標層
304:遮罩層
304A,304A′:第一層
304B,304B′:第二層
304C,304C′:第三層
402,402′:底層
502,502′:中間層
602,602′,602′′,602′′′,602′′′′:光阻層
604:圖案化堆疊
1602:導電特徵
1702:黏附層
1802:特徵
1900:裝置
1902:層間介電層
1904:第一金屬化層
1906:蝕刻停止層
1908,1908′:層間介電層
1910,1910′:遮罩層
1910A:第一層
1910B:第二層
1910C:第三層
1912,1912′,1912′′:心軸層
2402:間隔材料層
2502:間隔元件
2802:開口
2902:互連結構
3000:製造工具
3002:腔室
d1:距離
h1,h2:高度
s,w2:寬度
當結合附圖閱讀時,從以下詳細描述中可以最好地理解本公開的各方面。應注意,根據工業中的標準方法,各種特徵未按比例繪製。實際上,為了清楚地討論,可任意增加或減少各種特徵的尺寸。
第1圖根據本公開的各種態樣,繪示微影圖案化方法的實施例的流程圖。
第2圖、第3圖、第4圖、第5圖、第6圖、第7圖、第8圖、第9圖、第10圖、第11圖、第12圖、第13圖、第14圖、第15圖和第16圖提供根據第1圖的方法製造的示例裝置200的截面圖。
第17圖提供其他示例裝置的截面圖,其中示例裝置具有黏附層和根據第1圖的方法製造的態樣。
第18圖提供其他示例裝置的截面圖,其中示例裝置具有圖案化層和根據第1圖的方法製造的態樣。
第19圖、第20A圖、第20B圖、第21A圖、第21B圖、第22圖、第23A圖、第23B圖、第24A圖、第24B圖、第25A圖、第25B圖、第26A圖、第26B圖、第27A圖、第27B圖、第28A圖、第28B圖、第29A圖和第29B圖提供根據第1圖的方法製造的示例裝置1900的截面圖。
第30圖提供可用於本公開各種實施例的部份沉積工具的示意圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記)
無
國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記)
無
100:方法
102,104,106,108,110,112,114,116,118:方塊
Claims (20)
- 一種微影圖案化的方法,包括: 提供一基板; 形成一目標層在該基板上方;以及 形成一圖案化層,其中形成該圖案化層包括: 沉積具有一有機成分的一第一層; 沉積包括大於50原子百分比的矽的一第二層;以及 沉積一光敏層在該第二層上。
- 如請求項1所述之方法,其中該目標層是形成在該基板上方的一層間介電層。
- 如請求項2所述之方法,進一步包括: 圖案化該光敏層,其中圖案化定義形成在該層間介電層中的一金屬化層。
- 如請求項1所述之方法,其中沉積該第二層是藉由化學氣相沉積、原子層沉積或物理氣相沉積。
- 如請求項4所述之方法,其中沉積該光敏層是藉由旋塗。
- 如請求項1所述之方法,其中沉積該第二層包括形成一非晶矽層。
- 如請求項6所述之方法,其中該非晶矽層是氫化的非晶矽。
- 如請求項1所述之方法,其中沉積該第一層包括沉積一旋塗抗反射塗層。
- 如請求項1所述之方法,其中沉積該第一層包括沉積一非晶碳。
- 如請求項9所述之方法,其中沉積該非晶碳是藉由原子層沉積、物理氣相沉積或化學氣相沉積中至少一者。
- 如請求項1所述之方法,進一步包括: 沉積一黏附層在該第二層和該光敏層之間。
- 一種微影圖案化的方法,包括: 提供一目標層; 沉積一多層圖案化堆疊的一有機底層; 使用一沉積製程向該有機底層的一表面提供複數個前驅物且化學修飾該些前驅物以獲得在該表面上的一含矽層,以形成該多層圖案化堆疊的該含矽層在該有機底層上方,其中該含矽層具有至少50%矽; 形成一光阻層在該含矽層上方; 將部分的該光阻層暴露於一輻射以提供一圖案化光阻層; 蝕刻未由該圖案化光阻層覆蓋的部分的該含矽層,以形成一圖案化含矽層; 在蝕刻部分的該含矽層之後,蝕刻未由該圖案化含矽層覆蓋的部分的該有機底層,以形成一圖案化有機底層;以及 使用該圖案化有機底層定義該目標層中的一圖案。
- 如請求項12所述之方法,其中該沉積製程是化學氣相沉積或物理氣相沉積。
- 如請求項12所述之方法,進一步包括: 在使用該圖案化有機底層定義該圖案之前,修整該圖案化有機底層。
- 如請求項12所述之方法,其中該輻射是極紫外光波長。
- 一種圖案化半導體裝置的方法,包括: 沉積一含碳層在一基板上方; 直接地沉積一非晶矽層在該含碳層上; 旋塗一光敏層在該非晶矽層上方; 使用一微影製程圖案化該光敏層以提供一第一開口; 穿過該第一開口蝕刻該非晶矽層;以及 在蝕刻沉積的該含碳層期間,使用經過蝕刻的該非晶矽層作為遮罩元件。
- 如請求項16所述之方法,其中沉積該含碳層包括形成非晶碳。
- 如請求項16所述之方法,其中沉積該非晶矽層包括化學氣相沉積、原子層沉積或物理氣相沉積。
- 如請求項16所述之方法,其中蝕刻該非晶矽層從蝕刻氣體引導複數個原子進該非晶矽層,以形成變化的矽層。
- 如請求項19所述之方法,其中引導的該些原子是氧氣。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202063085519P | 2020-09-30 | 2020-09-30 | |
US63/085,519 | 2020-09-30 | ||
US17/213,723 US11715640B2 (en) | 2020-09-30 | 2021-03-26 | Patterning material including silicon-containing layer and method for semiconductor device fabrication |
US17/213,723 | 2021-03-26 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202215498A true TW202215498A (zh) | 2022-04-16 |
TWI817141B TWI817141B (zh) | 2023-10-01 |
Family
ID=79327378
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW110121720A TWI817141B (zh) | 2020-09-30 | 2021-06-15 | 微影圖案化和圖案化半導體裝置的方法 |
Country Status (5)
Country | Link |
---|---|
US (2) | US11715640B2 (zh) |
KR (1) | KR102628731B1 (zh) |
CN (1) | CN113948447A (zh) |
DE (1) | DE102021108017A1 (zh) |
TW (1) | TWI817141B (zh) |
Families Citing this family (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11715640B2 (en) * | 2020-09-30 | 2023-08-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Patterning material including silicon-containing layer and method for semiconductor device fabrication |
US11631585B2 (en) * | 2021-01-13 | 2023-04-18 | Nanya Technology Corporation | Etching mask, method for fabricating the same, and method for fabricating a semiconductor structure using the same |
US20230223261A1 (en) * | 2022-01-11 | 2023-07-13 | Nanya Technology Corporation | Method for preparing semiconductor device structure with features at different levels |
US20230223260A1 (en) * | 2022-01-11 | 2023-07-13 | Nanya Technology Corporation | Method for preparing semiconductor device structure having features of different depths |
Family Cites Families (24)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3228183B2 (ja) * | 1996-12-02 | 2001-11-12 | 日本電気株式会社 | 絶縁膜ならびにその絶縁膜を有する半導体装置とその製造方法 |
JP3259704B2 (ja) * | 1998-12-30 | 2002-02-25 | 日本電気株式会社 | 半導体装置の製造方法 |
US6573030B1 (en) * | 2000-02-17 | 2003-06-03 | Applied Materials, Inc. | Method for depositing an amorphous carbon layer |
JP2002194547A (ja) * | 2000-06-08 | 2002-07-10 | Applied Materials Inc | アモルファスカーボン層の堆積方法 |
JP3383794B2 (ja) * | 2000-08-28 | 2003-03-04 | 茂徳科技股▲フン▼有限公司 | 酸化を防止する窒化方法 |
JP4045731B2 (ja) * | 2000-09-25 | 2008-02-13 | 株式会社日立製作所 | 薄膜半導体素子の製造方法 |
US20030116531A1 (en) * | 2001-12-20 | 2003-06-26 | Kamins Theodore I. | Method of forming one or more nanopores for aligning molecules for molecular electronics |
US7064078B2 (en) * | 2004-01-30 | 2006-06-20 | Applied Materials | Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme |
JP2009094279A (ja) * | 2007-10-09 | 2009-04-30 | Elpida Memory Inc | ホールパターンの形成方法および半導体装置の製造方法 |
US8901016B2 (en) * | 2010-12-28 | 2014-12-02 | Asm Japan K.K. | Method of forming metal oxide hardmask |
JP2013008732A (ja) * | 2011-06-22 | 2013-01-10 | Elpida Memory Inc | 半導体装置の製造方法 |
US8921225B2 (en) | 2013-02-13 | 2014-12-30 | Globalfoundries Inc. | Method for off-grid routing structures utilizing self aligned double patterning (SADP) technology |
US9123776B2 (en) | 2013-12-04 | 2015-09-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned double spacer patterning process |
US9368349B2 (en) | 2014-01-14 | 2016-06-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Cut last self-aligned litho-etch patterning |
US9582629B2 (en) | 2014-04-04 | 2017-02-28 | Globalfoundries Inc. | Methods of generating circuit layouts using self-alligned double patterning (SADP) techniques |
US9679850B2 (en) | 2015-10-30 | 2017-06-13 | Taiwan Semiconductor Manufacturing Company Ltd. | Method of fabricating semiconductor structure |
KR20180097763A (ko) * | 2016-01-20 | 2018-08-31 | 어플라이드 머티어리얼스, 인코포레이티드 | 측방향 하드마스크 리세스 감소를 위한 하이브리드 탄소 하드마스크 |
WO2017151622A1 (en) * | 2016-03-04 | 2017-09-08 | Tokyo Electron Limited | Trim method for patterning during various stages of an integration scheme |
US9997369B2 (en) * | 2016-09-27 | 2018-06-12 | International Business Machines Corporation | Margin for fin cut using self-aligned triple patterning |
JP6842159B2 (ja) * | 2016-12-13 | 2021-03-17 | サムコ株式会社 | プラズマ処理方法 |
US10340141B2 (en) | 2017-04-28 | 2019-07-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Patterning method for semiconductor device and structures resulting therefrom |
US10692769B2 (en) | 2017-08-29 | 2020-06-23 | Taiwan Semiconductor Manufacturing Co., Ltd | Fin critical dimension loading optimization |
US11131919B2 (en) * | 2018-06-22 | 2021-09-28 | International Business Machines Corporation | Extreme ultraviolet (EUV) mask stack processing |
US11715640B2 (en) * | 2020-09-30 | 2023-08-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Patterning material including silicon-containing layer and method for semiconductor device fabrication |
-
2021
- 2021-03-26 US US17/213,723 patent/US11715640B2/en active Active
- 2021-03-30 DE DE102021108017.7A patent/DE102021108017A1/de active Pending
- 2021-05-25 KR KR1020210066990A patent/KR102628731B1/ko active IP Right Grant
- 2021-05-31 CN CN202110598282.XA patent/CN113948447A/zh active Pending
- 2021-06-15 TW TW110121720A patent/TWI817141B/zh active
-
2023
- 2023-07-21 US US18/357,038 patent/US20230377897A1/en active Pending
Also Published As
Publication number | Publication date |
---|---|
US20230377897A1 (en) | 2023-11-23 |
DE102021108017A1 (de) | 2022-03-31 |
US11715640B2 (en) | 2023-08-01 |
KR20220044081A (ko) | 2022-04-06 |
TWI817141B (zh) | 2023-10-01 |
CN113948447A (zh) | 2022-01-18 |
KR102628731B1 (ko) | 2024-01-23 |
US20220102150A1 (en) | 2022-03-31 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR102237258B1 (ko) | 핀 폭 균일성을 달성하기 위한 핀형 전계 효과 트랜지스터 패터닝 방법 | |
US11004747B2 (en) | Fin critical dimension loading optimization | |
TWI817141B (zh) | 微影圖案化和圖案化半導體裝置的方法 | |
US11798843B2 (en) | Conductive feature formation and structure | |
CN110783256A (zh) | 集成电路装置的形成方法 | |
US10692720B2 (en) | Methods for controlling an end-to-end distance in semiconductor device | |
CN112151502A (zh) | 半导体装置 | |
US20220044941A1 (en) | Methods Of Etching Metals In Semiconductor Devices | |
CN111092080A (zh) | 半导体装置 | |
CN113658907A (zh) | 半导体结构的形成方法 | |
KR102470490B1 (ko) | 핀형 전계효과 트랜지스터용 게이트 에어 스페이서 | |
CN110957271A (zh) | 集成电路装置的形成方法 | |
CN110970307A (zh) | 半导体装置的形成方法 | |
TW202127617A (zh) | 半導體結構 | |
TWI809404B (zh) | 微影圖案化的方法與製造半導體裝置的方法 | |
TW202018861A (zh) | 半導體結構之製造方法 | |
TWI832135B (zh) | 半導體裝置的形成方法 | |
TWI783357B (zh) | 電晶體與其形成方法 | |
TW202242971A (zh) | 積體電路結構之製造方法 | |
KR102718037B1 (ko) | 탄소-함유층을 포함하는 패터닝 재료 및 반도체 디바이스 제조 방법 |