TW202242971A - 積體電路結構之製造方法 - Google Patents

積體電路結構之製造方法 Download PDF

Info

Publication number
TW202242971A
TW202242971A TW110130587A TW110130587A TW202242971A TW 202242971 A TW202242971 A TW 202242971A TW 110130587 A TW110130587 A TW 110130587A TW 110130587 A TW110130587 A TW 110130587A TW 202242971 A TW202242971 A TW 202242971A
Authority
TW
Taiwan
Prior art keywords
layer
source
gate
dummy gate
drain
Prior art date
Application number
TW110130587A
Other languages
English (en)
Inventor
林育賢
葉長青
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202242971A publication Critical patent/TW202242971A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/4175Source or drain electrodes for field effect devices for lateral devices where the connection to the source or drain region is done through at least one part of the semiconductor substrate thickness, e.g. with connecting sink or with via-hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Nanotechnology (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Geometry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一種方法包含:形成在基板上方延伸的鰭結構;形成橫跨鰭結構延伸的偽閘極結構,偽閘極結構中的每一者包含偽閘電極層及偽閘電極層上方的硬遮罩層;執行離子植入製程以對偽閘極結構的硬遮罩層進行摻雜;在執行離子植入製程以對偽閘極結構的硬遮罩層進行摻雜之後,執行第一蝕刻製程以對偽閘極結構之間的鰭結構的源極/汲極區域進行蝕刻以在鰭結構的源極/汲極區域中形成凹槽;在凹槽中形成磊晶結構;及用金屬閘極結構替換偽閘極結構。

Description

積體電路結構及其製造方法
IC材料及設計的技術進步催生了幾代IC,其中每一代都具有比上一代更小且更複雜的電路。在IC演進過程中,功能密度(即每晶片面積的互連元件數量)普遍增加,而幾何大小(即可以使用製造製程來創建的最小組件(或線))已減小。該按比例縮小製程通常藉由提高生產效率及降低相關成本來提供益處。
以下揭露內容提供了用於實施所提供的主題的不同特徵的許多不同的實施例或範例。下文描述組件及配置的特定範例以簡化本揭露。當然,這些特定範例僅為範例,而不旨在進行限制。例如,在以下描述中第一特徵在第二特徵上方或上的形成可以包含第一特徵及第二特徵直接接觸地形成的實施例,且亦可以包含額外特徵可以形成於第一特徵與第二特徵之間以使得第一特徵及第二特徵可以不直接接觸的實施例。另外,本揭露可以在各種範例中重複附圖標記及/或字母。此重複係出於簡單及清楚的目的,且其本身並不指示所述的各種實施例及/或組態之間的關係。
另外,為了便於描述,本文中可以使用空間相對術語(諸如「在...之下」、「在...下方」、「底部」、「在...上方」、「上部」及其類似者),以描述如圖式中所示的一個元件或特徵與另一元件或特徵的關係。除了在圖式中所描繪的定向之外,空間相對術語亦旨在涵蓋元件在使用或操作中的不同定向。設備可以以其他方式定向(旋轉90度或位於其他定向),且因此可以相應地解釋本文中所使用的空間相對描述詞。如本文中所使用,「大約」、「約」、「大致」或「實質上」通常意謂在給定值或範圍的20%內、或10%內、或5%內。本文中所給出的數值為近似值,意謂,若無明確陳述,則可以推斷術語「大約」、「約」、「大致」或「實質上」。
本揭露通常係關於積體電路(integrated circuit,IC)結構及其形成方法,且更特定而言,係關於製造電晶體(例如鰭式場效電晶體(fin field-effect transistor,FinFET)、全環繞閘極(gate-all-around,GAA)電晶體)及電晶體的閘極結構上方的硬遮罩。亦可注意,本揭露以多閘極電晶體的形式呈現實施例。多閘極電晶體包含閘極結構形成在通道區域的至少兩側的那些電晶體。這些多閘極元件可以包含p型金屬氧化物半導體元件或n型金屬氧化物半導體元件。考慮到這些多閘極元件的鰭狀結構,具體範例在本文中可以被呈現且稱為FinFET。FinFET具有形成在通道區域的三個側面(例如圍繞在半導體鰭中的通道區域的上部部分周圍)的閘極結構。本文中亦呈現了一種稱為GAA元件的多閘極電晶體的實施例。GAA元件包含任何元件,該元件的閘極結構或一部分形成在通道區域的4側(例如圍繞通道區域的一部分)的。本文中所呈現的元件亦包含具有設置在奈米片通道、奈米線通道及/或其他合適的通道形態中的通道區域的實施例。
為了給具有大量GAA電晶體的積體電路(integrated circuit,IC)結構創建更多佈線空間,使用背側金屬通孔連接至GAA電晶體的源極區域及/或汲極區域的背側的背側金屬線(例如背側電源軌)正在被研究作為形成在電晶體的前側上的一些前側金屬線(例如前側電源軌)的替代方案。背側金屬通孔可以藉由使用以下方式製造:例如,在前段製程(front-end-of-line;FEOL)處理中首先在基板中形成犧牲磊晶插塞,隨後在形成多層互連結構的後段製程(back-end-of-line,BEOL)處理之後用金屬通孔替換犧牲磊晶插塞。在一些實施例中,犧牲磊晶插塞的形成包含例如藉由使用合適的微影及蝕刻技術在偽閘極結構之間的基板中蝕刻凹槽及藉由使用磊晶生長在基板中的凹槽中形成犧牲磊晶插塞。然而,蝕刻基板中的凹槽可能導致偽閘極結構的偽閘極硬遮罩(例如氧化物遮罩)的不可忽略的損失,此係因為偽閘極硬遮罩對該蝕刻步驟的抗蝕刻性不足。解決該問題的一個解決方案係形成更厚的偽閘極硬遮罩。然而,加厚的偽閘極硬遮罩會導致偽閘極結構的深寬比(即偽閘極結構的高度對寬度的比值)增加,這種情況進而可能導致偽閘極結構坍塌風險增加。偽閘極結構的坍塌可能進一步導致形成源極/汲極磊晶結構及/或形成犧牲磊晶插塞的後續蝕刻處理中的蝕刻不足。
因此,本揭露在各種實施例中提供了額外的離子植入步驟,以提高偽閘極硬遮罩的抗蝕刻性。例如,離子植入步驟在偽閘極硬遮罩中形成摻雜區域,這些摻雜區域具有與原始偽閘極硬遮罩不同的材料成分及因此不同的蝕刻選擇性。偽閘極硬遮罩中的摻雜區域因此允許在使基板凹陷的蝕刻步驟期間減緩偽閘極硬遮罩的蝕刻速率,這種情況進而減少使基板凹陷的蝕刻步驟所造成的硬遮罩損失。
第1圖至第29圖為根據本揭露的一些實施例的形成具有多閘極元件的積體電路的中間階段的立體圖及剖面圖。如本文中所使用,術語「多閘極元件」用於描述一種元件(例如半導體電晶體),該元件具有設置在元件的至少一個通道的多個側上的至少一些閘極材料。在一些範例中,多閘極元件可以被稱為GAA元件或具有設置在元件的至少一個通道的至少四個側上的閘極材料的奈米片元件。通道區域可以稱為「奈米線」,如本文中所使用,該奈米線包含各種幾何形狀(例如圓柱形、條形)及各種尺寸的通道區域。
第1圖、第2圖、第3圖、第4圖、第5A圖、第6A圖、第7A圖、第8A圖及第9A圖為根據本揭露的一些實施例的積體電路結構100製造過程的中間階段的立體圖。第5B圖、第6B圖、第7B圖、第8B圖、第9B圖、第9C圖、第10A圖至第17A及第18圖至第29圖為製造積體電路結構的中間階段的沿第一切面(例如第5A圖中的切面X-X)的剖面圖,第一切面沿半導體通道的長度方向且垂直於基板的頂表面。第9D圖繪示根據本揭露的一些實施例的傾斜離子植入的示例性離子分佈圖。第17B圖為製造積體電路結構100的中間階段的沿第二切面(例如第5A圖中的切面Y-Y)的剖面圖,第二切面位於閘極區域中且垂直於半導體通道的長度方向。
與本文中所述的其他方法實施例及示例性元件一樣,應理解,積體電路結構100的部分可以藉由CMOS技術製程流程製造,且由此本文中僅簡要描述一些製程。另外,示例性積體電路結構可以包含各種其他元件及特徵,諸如其他類型的元件,諸如額外電晶體、雙極接面電晶體、電阻器、電容器、電感器、二極體、熔絲、靜態隨機存取記憶體(static random access memory,SRAM)及/或其他邏輯電路等,但為了較佳地理解本揭露的概念而被簡化。在一些實施例中,示例性積體電路結構包含複數半導體元件(例如電晶體),這些半導體元件包含可以互連的PFET、NFET等。此外,應注意,製造積體電路結構100的製程步驟(包括任何參考第1圖至第29圖所給出的描述,與本揭露中所提供的方法及示例性圖式的其餘部分一樣)僅為示例性的且不旨在限制超出所附申請專利範圍中具體陳述的內容。
第1圖繪示初始結構的立體圖。初始結構包含基板110。在一些實施例中,基板110為絕緣體上半導體(semiconductor-on-insulator,SOI)基板,該基板包括基底基板111、掩埋絕緣體層113及半導體層115。基底基板111可以包括矽、砷化鎵、氮化鎵、應變矽、矽鍺、碳化矽、碳化物、金剛石、磊晶層及/或其他材料。掩埋絕緣體層113可以包括氧化矽、氮化矽、氮氧化矽及/或其他介電材料。半導體層115可以包括矽、砷化鎵、氮化鎵、應變矽、矽鍺、碳化矽、碳化物、金剛石及/或其他材料。掩埋絕緣體層113及半導體層115可以使用各種SOI技術形成。例如,可以藉由稱為植入氧分離(separation by implanted oxygen,SIMOX)的製程在半導體晶圓上形成掩埋絕緣體層113。SIMOX技術係基於將高劑量的氧離子離子植入矽晶圓中,以使得峰值濃度位於矽表面之下。在植入之後,晶圓經過高溫退火,以形成連續的化學計量二氧化矽亞表面層。由此形成的介電層113 (亦稱為掩埋氧化物(buried oxide,BOX))將半導體層115與基底基板111電分離。
第2圖繪示形成在基板110上方的磊晶堆疊120的立體圖。磊晶堆疊120包含第一成分的磊晶層122與第二成分的磊晶層124的交替層疊。第一及第二成分可以不同。在一些實施例中,磊晶層122為SiGe,且磊晶層124為矽(Si)。然而,其他實施例為可能的,包含提供具有不同氧化速率及/或蝕刻選擇性的第一成分及第二成分的那些實施例。在一些實施例中,磊晶層122包含SiGe,且在磊晶層124包含Si的情況下,磊晶層124的Si氧化速率小於磊晶層122的SiGe氧化速率。
磊晶層124或其部分可以形成多閘極電晶體的奈米片通道。術語奈米片在本文中用於表示具有奈米級或甚至微米級尺寸且具有細長形狀的任何材料部分,而不管該部分的橫截面形狀如何。由此,該術語表示圓形及實質上圓形的橫截面的細長材料部分以及包含例如圓柱形形狀或實質上矩形橫截面的梁或條形材料部分兩者。使用磊晶層124來定義元件的一個或多個通道將在下文進一步論述。
應注意,如第2圖中所示,三層磊晶層122及三層磊晶層124交替配置,這種情況僅出於說明性的目的而不旨在限制超出申請專利範圍中具體陳述的內容。可以瞭解,任何數目的磊晶層可以形成在磊晶堆疊120中;層數取決於電晶體所需的通道區域數。在一些實施例中,磊晶層124的數目位於2與10之間。
在一些實施例中,每個磊晶層122具有範圍介於約1奈米(nm)至約10 nm的厚度,但其他範圍在本揭露的各種實施例的範疇內。磊晶層122的厚度可以實質上均勻。在一些實施例中,每個磊晶層124具有範圍介於約1 nm至約10 nm的厚度,但其他範圍在本揭露的各種實施例的範疇內。在一些實施例中,堆疊的磊晶層124的厚度實質上均勻。如下文更詳細地描述,磊晶層124可以用作後續形成的多閘極元件的通道區域且基於元件效能考慮來選擇厚度。通道區域中的磊晶層122最終可能被去除,且用於定義後續形成的多閘極元件的相鄰通道區域之間的豎直距離,且基於元件效能考慮來選擇厚度。相應地,磊晶層122亦可以稱為犧牲層,且磊晶層124亦可以稱為通道層。
藉助於範例,堆疊120的層的磊晶生長可以藉由分子束磊晶(molecular beam epitaxy,MBE)製程、金屬有機化學氣相沉積(metalorganic chemical vapor deposition,MOCVD)製程及/或其他合適的磊晶生長製程來執行。在一些實施例中,磊晶生長層(諸如磊晶層124)包含與基板110的半導體層115相同的材料。在一些實施例中,磊晶生長層122及124包含與基板110的半導體層115不同的材料。如上所述,在至少一些範例中,磊晶層122包含磊晶生長的矽鍺(SiGe)層,且磊晶層124包含磊晶生長的矽(Si)層。替代地,在一些實施例中,磊晶層122及124中的任一者可以包含其他材料,諸如鍺、化合物半導體(諸如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦)、合金半導體(諸如SiGe、GaAsP、AlInAs、AlGaAs、InGaAs、GaInP及/或GaInAsP)或其組合。如所述,可以基於提供不同的氧化及/或蝕刻選擇性特性來選擇磊晶層122及124的材料。在一些實施例中,磊晶層122及124實質上不含摻雜劑(即,具有約0 cm 3至約1×10 18cm 3的外質摻雜劑濃度),其中例如在磊晶生長製程期間未執行故意摻雜。
第3圖繪示形成自基板110的掩埋絕緣體層113延伸的複數半導體鰭130的立體圖。在各種實施例中,鰭130中的每一者包含自掩埋絕緣體層113突出的半導體層115的一部分及包含磊晶層122及124的磊晶堆疊的磊晶層中的每一者的部分。鰭130可以使用合適的製程(包含雙圖案化或多圖案化製程)製造。通常,雙圖案化或多圖案化製程將微影與自對準製程相結合,從而允許創建具有例如小於以其他方式使用單個直接微影製程可獲得的間距的間距的圖案。例如,在一個實施例中,犧牲層在基板上方形成且使用微影製程進行圖案化。使用自對準製程,在圖案化的犧牲層旁邊形成間隔物。隨後去除犧牲層,且隨後可以使用剩餘的間隔物或心軸藉由蝕刻初始磊晶堆疊120 (在第2圖中所示)來圖案化鰭130。蝕刻製程可以包含乾式蝕刻、濕式蝕刻、反應離子蝕刻(reactive ion etching,RIE)及/或其他合適的製程。
在如第2圖及第3圖中所示的實施例中,在圖案化鰭130之前,鰭硬遮罩(hard mask,HM)層810形成在磊晶堆疊120上方。在一些實施例中,鰭HM層包含形成在氧化物層上方的氧化物層812 (例如可以包含SiO 2的襯墊氧化物層)及氮化物層814 (例如可以包含Si 3N 4的襯墊氮化物層)。氧化物層812可以做為磊晶堆疊120與氮化物層814之間的黏附層,且可以做為用於蝕刻氮化物層814的蝕刻終止層。在一些範例中,HM氧化物層812包含熱生長氧化物、經化學氣相沉積(chemical vapor deposition,CVD)沉積的氧化物及/或經原子層沉積(atomic layer deposition,ALD)沉積的氧化物。在一些實施例中,HM氮化物層814藉由CVD及/或其他合適的技術沉積在HM氧化物層812上。
隨後可以使用合適的製程(包含微影及蝕刻製程)來製造鰭130。微影製程可以包含在鰭HM層810上方形成光阻層(未示出),將光阻曝光於圖案,執行曝光後烘烤製程,及對抗蝕劑進行顯影以形成包含抗蝕劑的圖案化遮罩。在一些實施例中,對抗蝕劑進行圖案化以形成圖案化遮罩元件可以使用電子束(electron beam,e-beam)微影製程或使用極紫外(ultraviolet,EUV)區域(具有例如約1至100 nm的波長)中的光的EUV微影製程來執行。隨後可以使用圖案化的遮罩來保護基板110的區域及在其上形成的層,而蝕刻製程在未受保護的區域中通過鰭HM層810、通過磊晶堆疊120及至基板110中形成溝槽102,從而留下複數延伸鰭130。可以使用乾式蝕刻(例如反應性離子蝕刻)、濕式蝕刻及/或其組合來蝕刻溝槽102。亦可以使用在基板上形成鰭的方法的許多其他實施例,包括例如限定鰭區域(例如藉由遮罩或隔離區域)及外延生長呈鰭130形式的磊晶堆疊120。
第4圖繪示形成橫向圍繞鰭130的底部部分的淺溝槽隔離(shallow trench isolation,STI)結構140的立體圖。藉助於範例而非限制,首先在基板110上方沉積介電層,從而用介電材料填充溝槽102。在一些實施例中,介電層可以包含氧化矽、氮化矽、氮氧化矽、摻氟矽酸鹽玻璃(fluorine-doped silicate glass,FSG)、低k介電質、其組合及/或其他合適的材料。在各種範例中,可以藉由CVD製程、次大氣壓CVD (subatmospheric CVD,SACVD)製程、可流動CVD製程、ALD製程、物理氣相沉積(physical vapor deposition,PVD)製程及/或其他合適的製程來沉積介電層。在一些實施例中,在沉積介電層之後,可以對積體電路結構100進行退火,例如,以提高介電層的品質。在一些實施例中,介電層(及後續形成的STI結構140)可以包含例如具有一個或多個襯墊層的多層結構。
在形成STI特徵的一些實施例中,在沉積介電層之後,沉積的介電材料例如藉由化學機械研磨(chemical mechanical polishing,CMP)製程來薄化及平坦化。在一些實施例中,鰭HM層810 (如第3圖所示)用作CMP終止層。隨後使鰭130周圍的STI結構140凹陷。參考第4圖的範例,STI結構140為凹陷的,從而提供在STI結構140上方延伸的鰭130。在一些實施例中,凹陷製程可以包含乾式蝕刻製程、濕式蝕刻製程及/或其組合。鰭HM層810亦可以在使STI結構140凹陷之前、期間及/或之後去除。HM層810的氮化物層814可以例如藉由使用H 3PO 4或其他合適蝕刻劑的濕式蝕刻製程去除。在一些實施例中,HM層810的氧化物層812藉由用於使STI結構140凹陷的相同蝕刻劑去除。在一些實施例中,控制凹陷深度(例如藉由控制蝕刻時間)以便產生鰭130的曝光的上部部分的目標高度。在所示的實施例中,目標高度暴露鰭130中的磊晶堆疊120的層中的每一者。
第5A圖及第5B圖繪示形成閘極結構150的立體圖及剖面圖。在一些實施例中,閘極結構150為隨後去除的偽(犧牲)閘極結構。由此,在使用後閘極製程的一些實施例中,閘極結構150為偽閘極結構且將在積體電路結構100的後續處理階段由最終閘極結構替換。特定而言,偽閘極結構150可以在稍後的處理階段處藉由高k介電層(high-k dielectric layer,HK)及金屬閘電極(metal gate electrode,MG)替換,如下文所述。在一些實施例中,偽閘極結構150形成在基板110上方且至少部分地設置在鰭130上方。下伏於偽閘極結構150的鰭130的部分可以稱為通道區域。偽閘極結構150亦可以限定鰭130的源極/汲極(source/drain,S/D)區域,例如鰭130的與通道區域的相對側相鄰及位於其上的區域。
在所示的實施例中,偽閘極製造首先在鰭130上方形成偽閘極介電層152。在一些實施例中,偽閘極介電層152可以包含SiO 2、氮化矽、高k介電材料及/或其他合適的材料。在各種範例中,可以藉由CVD製程、次大氣壓CVD (subatmospheric CVD,SACVD)製程、可流動CVD製程、ALD製程、PVD製程或其他合適的製程來沉積偽閘極介電層152。例如,偽閘極介電層152可以用於防止後續製程(例如偽閘極結構的後續形成)對鰭130的損壞。隨後,形成偽閘結構150的其他部分,這些其他部分包括偽閘電極層154及可以包含多個硬遮罩156及158 (例如氮化矽層156及氧化矽層158)的硬遮罩結構。在一些實施例中,偽閘極結構150藉由各種製程步驟(諸如層沉積、圖案化、蝕刻以及其他合適的處理步驟)形成。示例性層沉積製程包含CVD (包含低壓CVD及電漿增強CVD)、PVD、ALD、熱氧化、電子束蒸發或其他合適的沉積技術,或其組合。以形成閘極結構為例,圖案化製程包含微影製程(例如微影或電子束微影),該微影製程可以進一步包含光阻塗覆(例如旋塗)、軟烘烤、遮罩對準、曝光、曝光後烘烤、光阻顯影、沖洗、乾燥(例如旋轉乾燥及/或硬烘烤)、其他合適的微影技術及/或其組合。在一些實施例中,蝕刻製程可以包含乾式蝕刻(例如RIE蝕刻)、濕式蝕刻及/或其他蝕刻方法。在一些實施例中,偽閘電極層154可以包含多晶矽(polycrystalline silicon/polysilicon)。在一些實施例中,硬遮罩結構包含:氮化物遮罩156,諸如可以包含Si 3N 4或氮氧化矽的襯墊氮化物層;及氧化物遮罩158,諸如可以包含SiO 2的襯墊氧化物層。在一些實施例中,在對偽閘電極層154進行圖案化之後,自鰭130的S/D區域去除偽閘極介電層152。蝕刻製程可以包含濕式蝕刻、乾式蝕刻及/或其組合。選擇蝕刻製程,以選擇性蝕刻偽閘極介電層152,而實質上不對鰭130、偽閘電極層154、氮化物層156及氧化物層158進行蝕刻。
在一些實施例中,如第5A圖中所示,偽閘電極層154具有約50 nm至約60 nm的範圍內的高度154H,氮化物遮罩156具有約15 nm至約25 nm(例如約20 nm)的範圍內的厚度156T,且氧化物遮罩158具有約20 nm至約30 nm的範圍內的厚度158T。若氧化物遮罩厚度158T過大(例如大於約30 nm),則偽閘極結構150可能具有過大的深寬比,該過大的深寬比進而可能導致偽閘極結構150的坍塌風險增加。若氧化物遮罩厚度158T過小(例如小於約20 nm),則氧化物遮罩158可能太薄而不能抵抗後續的蝕刻處理。
在一些實施例中,偽閘極結構150具有偽閘極高度(即,偽閘電極高度154H、氮化物遮罩厚度156T及氧化物遮罩厚度158T之和)與偽閘極寬度的比率的深寬比。偽閘極結構150的深寬比在約5:1至約10:1的範圍內。若偽閘極結構150的深寬比過大(例如大於約10:1),則偽閘極結構150可能坍塌。若偽閘極結構150的深寬比過小(例如小於約5:1),則氧化物遮罩厚度158T可能太薄而不能抵抗後續的蝕刻處理。
第5A圖及第5B圖亦繪示了閘極間隔物160的形成。在一些實施例中,間隔物材料層沉積在基板上。間隔物材料層可以為保形層,該保形層隨後回蝕形成閘極側壁間隔物。在所示的實施例中,間隔物材料層160保形地設置在偽閘極結構150的頂部及側壁上。間隔物材料層160可以包含介電材料,諸如氧化矽、氮化矽、碳化矽、氮氧化矽、SiCN膜、碳氧化矽、SiOCN膜及/或其組合。在一些實施例中,間隔物材料層160包含多個層,諸如第一間隔層162及形成在第一間隔層162上方的第二間隔層164 (如第5B圖中所示),其中第一間隔層162具有比第二間隔層164低的介電常數。例如,第一間隔層162包含多孔氧化矽,且第二間隔層164包含氮化矽或氮氧化矽。間隔物材料層160可以藉由使用製程(諸如CVD製程、次大氣壓CVD(subatmospheric CVD,SACVD)製程、可流動CVD製程、ALD製程、PVD製程或其他合適的製程)在閘極結構150上方沉積介電材料來形成。隨後對沉積的間隔物材料層160執行非等向性蝕刻製程,以暴露鰭130的未被偽閘極結構150覆蓋(例如在鰭130的源極/汲極區域中)的部分。藉由該非等向性蝕刻製程可以完全去除位於偽閘極結構150正上方的間隔物材料層的部分。偽閘極結構150的側壁上的間隔物材料層的部分可以保留,從而形成閘極側壁間隔物,為簡單起見,將該閘極側壁間隔物表示為閘極間隔物160。應注意,儘管閘極間隔物160在第5B圖的剖面圖中為多層結構,但為了簡單起見,在第5A圖的立體圖中,將這些閘極間隔物160說明為單層結構。
在第6A圖及第6B圖中,藉由使用例如非等向性蝕刻製程來蝕刻半導體鰭130的橫向延伸超過閘極間隔物160 (例如在鰭130的源極/汲極區域中)的暴露部分,該非等向性蝕刻製程使用偽閘極結構150及閘極間隔物160作為蝕刻遮罩,從而在半導體鰭130中及對應的偽閘極結構150之間產生凹槽R1。在非等向性蝕刻之後,由於非等向性蝕刻,犧牲層122及通道層124的端面與閘極間隔物160的相應的最外側壁實質上對準。在一些實施例中,非等向性蝕刻可以藉由使用電漿源及反應氣體的乾式化學蝕刻來執行。電漿源可以為電感耦合電漿(inductively coupled plasma,ICR)源、變壓器耦合電漿(transformer coupled plasma,TCP)源、電子迴旋共振(electron cyclotron resonance,ECR)源或其類似者,且反應氣體可以為例如氟基氣體(諸如SF 6、CH 2F 2、CH 3F、CHF 3或其類似者)、氯基氣體(例如Cl 2)、溴化氫氣體(HBr)、氧氣(O 2)、其類似者或其組合。
接下來,在第7A圖及第7B圖中,犧牲層122藉由使用合適的蝕刻技術橫向或水平地凹陷,從而在對應的通道層124之間各自產生橫向凹槽R2。該步驟可以藉由使用選擇性蝕刻製程來執行。藉助於範例而非限制,犧牲層122為SiGe,且通道層124為矽,從而允許選擇性蝕刻犧牲層122。在一些實施例中,選擇性濕式蝕刻包含以比蝕刻Si快的蝕刻速率蝕刻SiGe的APM蝕刻(例如氫氧化氨-過氧化氫-水混合物)。在一些實施例中,選擇性蝕刻包含SiGe氧化,隨後為SiGeO x去除。例如,可以藉由O 3清潔提供氧化,且隨後藉由蝕刻劑(諸如NH 4OH)去除SiGeO x,該蝕刻劑以比蝕刻Si快的蝕刻速率選擇性蝕刻SiGeO x。此外,因為Si的氧化速率比SiGe的氧化速率低很多(有時低30倍),所以通道層124不會藉由使犧牲層122橫向凹陷的製程而明顯蝕刻。因此,通道層124橫向延伸超過犧牲層122的相對端面。
在第8A圖及第8B圖中,形成內間隔物材料層170,以填充上文參考第7A圖及第7B圖所述的犧牲層122的橫向蝕刻所留下的凹槽R2。內間隔物材料層170可以為低K介電材料,諸如SiO 2、SiN、SiCN或SiOCN,且可以藉由合適的沉積方法(諸如ALD)形成。在沉積內間隔物材料層170之後,可以執行非等向性蝕刻製程以修整沉積的內間隔物材料170,以使得僅留下沉積的內間隔物材料170的填充犧牲層122的橫向蝕刻所留下的凹槽R2的部分。在修整製程之後,為了簡單起見,沉積的內間隔物材料的剩餘部分表示為內間隔物170。內間隔物170與通道層124交替配置,且用於將金屬閘極與後續處理中所形成的源極/汲極磊晶結構隔離。在第8A圖及第8B圖的範例中,內間隔物170的側壁與通道層124的側壁實質上對準。
在第9A圖至第9C圖中,執行離子植入製程IMP1以將一種或多種雜質(例如摻雜劑離子)摻雜至偽閘極硬遮罩158中,由此形成具有與原始硬遮罩158不同的蝕刻選擇性的修改後的硬遮罩158’。例如,如第9C圖的放大視圖中所示,電離摻雜劑DP (例如硼、磷、其他III族元素、其他V族元素及/或能夠創建與原始偽閘極硬遮罩158不同的蝕刻選擇性的其他合適物種)可以植入偽閘極硬遮罩158中,由此在修改後的偽閘極硬遮罩158’中形成摻雜區域1581。
在一些實施例中,離子植入製程IMP1包含一個或多個傾斜植入,以便防止不慎在植入偽閘極結構150下方的通道層124及/或偽閘極結構150之間的源極/汲極區域。例如,離子束由離子植入器產生且以與基板110的頂表面的法線(即本實施例中的「z」軸)傾斜的角度α1指向基板110。在本揭露的一些實施例中,該入射角稱為「傾斜角」。非零傾斜角α1可以允許將足夠劑量的離子植入硬遮罩158中,由於由密集配置的偽閘極結構150引起的陰影效應,而不向基板110的源極/汲極區域及/或通道層124中植入離子或植入可忽略的離子。例如,離子束的傾斜角α1可以在約3度至約10度的範圍內。過大的傾斜角α1 (例如大於約10度)可能導致修改後的硬遮罩158’中的摻雜劑深度不足。過小的傾斜角α1 (例如小於約3度)可能不慎將離子化的摻雜劑DP植入基板110的源極/汲極區域及/或通道層124中,這種情況進而可能對後續形成的GAA元件產生負面影響。在一些實施例中,控制傾斜角α1,以使得半導體層122、124、內間隔物170及鰭130具有比修改後的硬遮罩158’及閘極間隔物160’低的摻雜劑DP的濃度。例如,可以控制傾斜角α1,以使得半導體層122、124、內間隔物170及鰭130不藉由離子植入製程IMP1摻雜,且由此具有摻雜劑DP的實質上為零的濃度。
在一些實施例中,離子束具有雙峰分佈900 (如第9D圖中所示)的傾斜角,在雙峰分佈900中兩個能量峰值位於零度的相對側上。實際上,離子束具有以不同的傾斜角同時指向基板110的兩個部分。藉助於範例而非限制,雙峰分佈900具有兩個單峰分佈分量910及920,兩個單峰分佈分量910及920各自對應於離子束的兩個部分中的一者。單峰分佈910在約+X 0度處具有離子能量峰值,且具有實質上受限於+X 1度至約+X 2度的範圍內的離子能量。單峰分佈920在約-X 0度處具有離子能量峰值,且具有實質上受限於-X 1度至約-X 2度的範圍內的離子能量。在一些實施例中,X 1度為至少約3度,且X 2度為至多約10度,這種情況進而在修改後的硬遮罩158’中產生足夠的摻雜劑深度以及使得沒有或可忽略的摻雜劑DP進入偽閘極結構150之間的源極/汲極區域及/或偽閘極結構150下方的通道層124中
在一些實施例中,離子植入製程IMP1以約2E14離子/cm 2至約4E14離子/cm 2的劑量且在約2 keV至約5 keV的能量下執行。修改後的硬遮罩158’中的所得摻雜區域1581的摻雜劑濃度及/或摻雜劑深度取決於離子植入製程IMP1的製程條件。若離子植入製程IMP1的製程條件在上文選擇的範圍之外,則修改後的硬遮罩158’中的所得摻雜區域1581中的摻雜劑濃度及/或摻雜劑深度可能不足以達到對在源極區域及/或汲極區域中形成凹槽的以下蝕刻製程的目標抗蝕刻性。
在一些實施例中,離子植入製程IMP1將離子化的摻雜劑DP植入硬遮罩158中,從而產生修改後的硬遮罩158’中的摻雜區域1581,同時使修改後的硬遮罩158’的下部區域1582實質上未摻雜。因此,摻雜區域1581具有比未摻雜區域1582高的摻雜劑濃度。藉助於範例而非限制,摻雜區域1581具有在約1E18原子/cm 3至約3E20原子/cm 3的範圍內的摻雜劑濃度,且未摻雜區域1582具有實質上為零的摻雜劑濃度。若摻雜區域1581具有過低的摻雜劑濃度,則修改後的硬遮罩158’可能對在源極區域及/或汲極區域中形成凹槽的以下蝕刻製程的抗蝕刻性不足。在一些實施例中,由於離子植入製程IMP1,摻雜區域1581具有摻雜劑濃度梯度。更詳細地,摻雜區域1581的摻雜劑濃度隨著摻雜區域1581內的深度而變地改變。第9E圖為說明了摻雜區域1581中的摻雜劑濃度隨摻雜區域1581內的深度而變的範例曲線圖。如第9E圖中所示,離子植入製程IMP1可以在修改後的硬遮罩158’中創建摻雜劑DP的高斯分佈或誤差函數分佈。類似地,離子植入製程IMP1亦可以在修改後的閘極間隔物160’中創建摻雜劑DP的高斯分佈或誤差函數分佈。
在一些實施例中,摻雜區域1581具有自修改後的硬遮罩158’的頂表面延伸至修改後的硬遮罩158’中的摻雜劑深度D1。在一些實施例中,摻雜劑深度D1在約10 nm至約15 nm的範圍內。若摻雜劑深度D1過小,則摻雜區域1581可能太薄而不能抵抗以下蝕刻製程。在一些實施例中,摻雜劑深度D1等於修改後的硬遮罩158’的厚度。在該情況下,硬遮罩158實質上完全摻雜且由此不包含未摻雜區域。在一些實施例中,在離子植入製程IMP1中亦摻雜下方遮罩層156及甚至偽閘電極156。
在一些實施例中,離子植入製程IMP1亦將離子化摻雜劑DP植入閘極間隔物160的外間隔層164及甚至內間隔層162中,這種情況進而亦可以提高對以下蝕刻製程的抗蝕刻性。修改後的閘極間隔物160’可以具有與修改後的硬遮罩158’的摻雜區域1581中的摻雜劑濃度相當的摻雜劑濃度,該摻雜劑濃度先前已詳細論述。在一些實施例中,由於由密集配置的偽閘極結構150引起的陰影效應,修改後的閘極間隔物160’具有自閘極間隔物160’的頂表面至閘極間隔物160’的底表面降低的摻雜劑濃度梯度。換言之,修改後的閘極間隔物160’中的摻雜劑濃度可以自其頂表面向其底表面降低。在一些實施例中,由於由密集配置的偽閘極結構150引起的陰影效應,修改後的閘極間隔物160’的底部部分可以沒有摻雜劑濃度或可以具有可忽略的摻雜劑濃度。在一些實施例中,由於離子植入製程IMP1,修改後的外間隔層164’可以具有隨著與修改後的內間隔層162’的距離增加而降低的摻雜劑濃度梯度。類似地,修改後的內間隔層162’可以具有隨著與修改後的外間隔層164’的距離增加而降低的摻雜劑濃度梯度。
在一些實施例中,離子植入製程IMP1在整個IC結構100上全域地執行,這種情況意謂NFET元件及PFET元件兩者的偽閘極硬遮罩及閘極間隔物經歷相同的離子植入製程IMP1。在一些實施例中,在完成離子植入製程IMP1之後,可以執行退火製程以修復修改後的硬遮罩158及閘極間隔物160中的植入損壞。在一些其他實施例中,可以跳過退火製程,以使得摻雜區域1581可以不經歷退火。
在第10A圖中,遮罩層180隨後覆蓋形成在基板110上方。遮罩層可以藉由CVD製程、PECVD製程及/或其他合適的沉積製程形成。在一些實施例中,遮罩層180包含氧化鋁(Al 2O 3)、氮化矽、氮氧化矽及/或其他合適的材料。遮罩層180可以在用於在基板110中形成凹槽的稍後的蝕刻製程期間用作硬遮罩。光阻P1隨後形成在遮罩層180上方且圖案化以形成孔O1,該孔O1與鰭130的源極/汲極區域的第一部分(例如汲極區域)重疊但不與鰭130的源極/汲極區域的第二部分(例如源極區域)重疊。在一些實施例中,光阻P1為使用旋塗製程所形成的有機材料,隨後使用合適的微影技術圖案化有機材料以形成延伸穿過圖案化光阻P1的孔O1。例如,對光阻材料進行輻照(曝光)及顯影,以去除光阻材料的部分。更詳細地,光罩或倍縮光罩(未示出)可以放置在光阻材料上方,隨後該光罩或倍縮光罩可以曝光於可以為紫外線(ultraviolet,UV)或準分子雷射(諸如氟化氪(Krypton Fluoride,KrF)準分子雷射或氟化氬(Argon Fluoride,ArF)準分子雷射)的輻射束。光阻材料的曝光可以例如使用浸潤微影設備或極紫外光(extreme ultraviolet light,EUV)設備執行以提高解析度且減小最小可實現間距。可以執行烘烤或固化操作以使曝光的光阻材料硬化,且可以使用顯影劑取決於使用正性抑或負性抗蝕劑而去除光阻材料的曝光或未曝光部分。
在一些替代實施例中,在形成光阻P1之前,底部抗反射塗層(bottom anti-reflective coating,BARC)層181可以額外地形成在遮罩層180上方,如第10B圖所示。BARC層181用於減少微影曝光製程期間的反射。在一些實施例中,BARC層181可以包含藉由旋塗技術形成的有機BARC材料。如第10A圖所示,若遮罩層180可以用作遮罩層及抗反射層兩者,則可以除去BARC層181。
在第11圖中,藉由使用圖案化的光阻P1作為蝕刻遮罩來對基板110執行蝕刻製程ET1。蝕刻製程ET1蝕刻光阻孔O1所暴露的遮罩層181的一部分,且隨後蝕刻基板110的下伏部分,由此形成在基板110中延伸的凹槽R3。蝕刻製程ET1可以為非等向性蝕刻製程。在一些實施例中,非等向性蝕刻可以藉由使用電漿源及反應氣體的乾式化學蝕刻來執行。藉助於範例而非限制,電漿源可以為電感耦合電漿(inductively coupled plasma,ICR)源、變壓器耦合電漿(transformer coupled plasma,TCP)源、電子迴旋共振(electron cyclotron resonance,ECR)源或其類似者。
蝕刻製程ET1的蝕刻劑及/或蝕刻條件被選擇以使得修改後的硬遮罩158’表現出比原始硬遮罩158 (即在經歷離子植入製程IMP1之前的硬遮罩158)慢的蝕刻速率。換言之,與原始硬遮罩158相比,修改後的硬遮罩158對蝕刻製程ET1中所使用的蝕刻劑具有更高的抗蝕刻性。以此方式,蝕刻製程ET1可以在修改後的硬遮罩158’的暴露部分中不導致損失或導致可忽略的損失,以使得修改後的硬遮罩158’可以保護下伏的偽閘電極156不由蝕刻製程ET1損壞。
在一些實施例中,蝕刻製程ET1以比蝕刻修改後的硬遮罩158’快的蝕刻速率蝕刻光阻P1。例如,光阻P1 (即有機材料)與修改後的硬遮罩158’ (摻雜氧化物材料)的蝕刻速率比率在約10:1至約20:1的範圍內。例如,蝕刻製程ET1可能在光阻P1中產生蝕刻量EA1,且在修改後的硬遮罩158’的暴露部分中產生蝕刻量EA2。光阻P1的蝕刻量EA1與修改後的硬遮罩158’的蝕刻量EA2的比率在約10:1至約20:1的範圍內。在一些實施例中,修改後的硬遮罩158’的蝕刻量EA2接近於零。在一些其他實施例中,修改後的硬遮罩158’沒有蝕刻量且在蝕刻製程ET1中保持基本完整。
以電漿蝕刻作為蝕刻製程ET1的範例,具有第10A圖或第10B圖中所示的結構的基板110裝載至電漿設備中,且以足以在基板110中形成具有目標深度的凹槽R3的持續時間暴露於在一種或多種氯基氣體(例如SiCl 4、Cl 2或其類似者)、氟基氣體(諸如SF 6、CH 2F 2、CH 3F、CHF 3或其類似者)、二氧化硫(SO 2)、甲烷(CH 4)、溴化氫氣體(HBr)、氧氣(O 2)、氦氣(He)、氬氣(Ar)的氣態混合物中由RF或微波功率產生的電漿環境,同時在修改後的硬遮罩158’中沒有產生損失或產生可忽略的損失。藉助於範例而非限制,電漿蝕刻可以在約10與約100毫托之間的壓力、約20與約1000瓦之間的RF功率下執行約20秒至約500秒的持續時間。
一旦完成蝕刻製程ET1,即例如使用電漿灰化製程去除光阻P1 (及BARC,若存在)。所得結構在第12圖中所示。在一些實施例中,執行電漿灰化製程,以使得光阻P1的溫度升高,直至光阻P1經歷熱分解且可以被去除為止。然而,可以利用任何其他合適的製程,諸如濕式剝離。
在去除光阻P1 (及BARC,若存在)之後,在凹槽R3中形成犧牲磊晶插塞190。在該步驟的一些實施例中,執行磊晶生長製程,以在凹槽R3中生長磊晶材料,直至磊晶材料建立填充凹槽R3的犧牲磊晶插塞190為止。磊晶材料可以具有與基板110的半導體層115不同的成分,由此產生犧牲磊晶插塞190與半導體層115之間的不同蝕刻選擇性。例如,半導體層115為Si,且犧牲磊晶插塞190為SiGe,或替代地,半導體層115為SiGe,氣且犧牲磊晶插塞190為Si。在一些實施例中,犧牲磊晶插塞190為未摻雜或無意摻雜的,且由此可以不含p型摻雜劑(例如硼)及n型摻雜劑(例如磷),此係因為犧牲磊晶插塞190將在後續處理中被去除,且在最終IC產品中不用作電晶體的源極/汲極。
為了防止磊晶材料不慎形成在通道層124的端面上,根據本揭露的一些實施例,犧牲磊晶插塞190可以以自下而上的方式生長。藉助於範例而非限制,犧牲磊晶插塞190可以藉由磊晶沉積/部分蝕刻製程生長,該生長重複磊晶沉積/部分蝕刻製程至少一次。此重複沉積/部分蝕刻製程亦稱為循環沉積-蝕刻(cyclic deposition-etch,CDE)製程。
在CDE製程中,以使得凹槽R3的底表面上的磊晶生長速率比通道層124的豎直端面上的磊晶生長速率快的方式(例如藉由調諧關於前驅氣體的流速比)控制沉積條件,此係因為凹槽R3的底表面及通道層124的豎直端面具有不同的晶向面。因此,併入蝕刻步驟的沉積步驟促進自下而上的生長。例如,磊晶材料自凹槽R3的底表面生長的速度比自通道層124的端面生長的速率快。蝕刻氣體以相當的蝕刻速率蝕刻自通道層124的端面生長的磊晶材料以及自凹槽R3的底表面生長的磊晶材料。然而,由於自凹槽R3的底表面的磊晶生長速率比自通道層124的端面的磊晶生長速率快,因此最終的效果為,磊晶材料將實質上自凹槽R3的底表面以自下而上的方式生長。藉助於範例而非限制,在CDE製程的每個沉積-蝕刻循環中,一旦暴露出通道層124的端面且自凹槽R3的底表面生長的磊晶材料因為該磊晶材料比自通道層124的端面生長的磊晶材料厚而保持在區域凹槽R3中,蝕刻步驟即終止。以該方式,可以實現自下而上的生長。以SiGe插塞為例,該磊晶材料可以藉由CDE製程使用反應氣體(諸如HCl Cl 2、BCl 3、BiCl 3、BiBr 3、其類似者及/或其組合)作為蝕刻氣體、GeH 4作為Ge前驅氣體、DCS及/或SiH 4作為Si前驅氣體、H 2及/或N 2作為載氣以自下而上的方式磊晶生長。如上文所述的CDE製程僅為解釋如何在凹槽R3中形成磊晶插塞190而不存在於通道層124的端面的一個範例,且亦可以使用其他合適的技術來形成磊晶插塞190。
在如上文所述的實施例中,在形成犧牲磊晶插塞190之前去除光阻P1 (及BARC層,若存在)。亦應理解,在一些替代實施例中,在形成犧牲磊晶插塞190之後去除光阻P1 (及BARC,若存在)。一旦形成了犧牲磊晶插塞190,即藉由使用例如選擇性蝕刻製程去除遮罩層180。所得結構如第13圖所示。
第14圖繪示源極/汲極磊晶結構200在半導體基板110的源極/汲極區域上方的形成。源極/汲極磊晶結構200可以藉由在犧牲磊晶插塞190及基板110上執行提供磊晶材料的磊晶生長製程來形成。在磊晶生長製程期間,偽閘極結構150及閘極側壁間隔物160將源極/汲極磊晶結構200限制在源極/汲極區域S/D。合適的磊晶製程包含CVD沉積技術(例如氣相磊晶(vapor-phase epitaxy,VPE)及/或超高真空CVD (ultra-high vacuum CVD,UHV-CVD))、分子束磊晶及/或其他合適的製程。磊晶生長製程可以使用氣態及/或液態前驅物,這些氣態及/或液態前驅物與基板110、犧牲磊晶插塞190及通道層124的半導體材料的成分相互作用。
在一些實施例中,源極/汲極磊晶結構200可以包含Ge、Si、GaAs、AlGaAs、SiGe、GaAsP、SiP或其他合適的材料。源極/汲極磊晶結構200可以在磊晶製程期間藉由引入摻雜物種進行原位摻雜,摻雜物種包含:p型摻雜劑,諸如硼或BF 2;n型摻雜劑,諸如磷或砷;及/或包含其組合的其他合適的摻雜劑。若源極/汲極磊晶結構200沒有原位摻雜,則執行植入製程(即接面植入製程)以對源極/汲極磊晶結構200進行摻雜。在一些示例性實施例中,NFET元件中的源極/汲極磊晶結構200包含SiP,而PFET元件中的源極/汲極磊晶結構200包含GeSnB及/或SiGeSnB。
一旦形成了源極/汲極磊晶結構200,即可以執行退火製程,以激活源極/汲極磊晶結構200中的p型摻雜劑或n型摻雜劑。退火製程可以為例如快速熱退火(rapid thermal anneal,RTA)、雷射退火、毫秒熱退火(millisecond thermal annealing,MSA)製程或其類似者。
第15圖繪示前側層間介電(interlayer dielectric,ILD)層210在基板110上方的形成。ILD層210在本上下文中稱為「前側」ILD層,此係因為該ILD層210形成在多閘極電晶體的前側(即多閘極電晶體的閘極自源極/汲極區域200突出的一側)上。在一些實施例中,在形成ILD層210之前視情況形成接觸蝕刻終止層(contact etch stop layer,CESL)。在一些範例中,CESL包含氮化矽層、氧化矽層、氮氧化矽層及/或具有與前側ILD層210不同的蝕刻選擇性的其他合適材料。CESL可以藉由電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD)製程及/或其他合適的沉積或氧化製程形成。在一些實施例中,前側ILD層210包含諸如正矽酸四乙酯(tetraethylorthosilicate,TEOS)氧化物、未摻雜矽酸鹽玻璃或經摻雜氧化矽(諸如硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、熔融石英玻璃(fused silica glass,FSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、摻硼矽玻璃(boron doped silicon glass,BSG))的材料及/或具有與CESL不同的蝕刻選擇性的其他合適的介電材料。前側ILD層210可以藉由PECVD製程或其他合適的沉積技術沉積。在一些實施例中,在形成前側ILD層210之後,積體電路結構100可以經受高熱預算製程以對前側ILD層210進行退火。
在一些範例中,在形成前側ILD層210之後,可以執行平坦化製程以去除前側ILD層210的過量材料。例如,平坦化製程包含化學機械平坦化(chemical mechanical planarization,CMP)製程,該化學機械平坦化製程去除覆蓋在偽閘極結構150上的前側ILD層210 (及CESL層,若存在)的部分且使積體電路結構100的頂表面平坦化。在一些實施例中,CMP製程亦去除硬遮罩層156、158’ (如第14圖中所示出)且暴露偽閘電極層154。
接下來,去除偽閘極結構150,隨後去除犧牲層122。所得結構在第16圖中繪示。在所示的實施例中,藉由使用選擇性蝕刻製程(例如選擇性乾式蝕刻、選擇性濕式蝕刻或其組合)來去除偽閘極結構150,該選擇性蝕刻製程以比蝕刻其他材料(例如閘極間隔物160及/或前側ILD層210)快的蝕刻速率蝕刻偽閘極結構150中的材料,由此在對應的閘極間隔物160之間產生閘極溝槽GT1,其中犧牲層122暴露在閘極溝槽GT1中。隨後,藉由使用另一選擇性蝕刻製程來去除閘極溝槽GT1中的犧牲層122,該另一選擇性蝕刻製程以比蝕刻通道層124快的蝕刻速率蝕刻犧牲層122,由此在鄰近通道層124之間形成開口O2。以此方式,通道層124成為懸浮在基板110上方以及源極/汲極磊晶結構200之間的奈米片。該步驟亦稱為通道釋放製程。在該中間處理步驟處,奈米片124之間的開口O2可以用周圍環境條件(例如空氣、氮氣等)填充。在一些實施例中,奈米片124可取決於其幾何形狀而互換地稱為奈米線、奈米板、奈米環或具有奈米級大小(例如數奈米)的奈米結構。例如,在一些其他實施例中,由於用於完全去除犧牲層122的選擇性蝕刻製程,通道層124可以被修整以具有基本圓形的形狀(即圓柱形)。在該情況下,所得通道層124可以稱為奈米線。
在一些實施例中,藉由使用選擇性濕式蝕刻製程來去除犧牲層122。在一些實施例中,犧牲層122為SiGe,且通道層124為矽,從而允許選擇性去除犧牲層122。在一些實施例中,選擇性濕式蝕刻包含APM蝕刻(例如氫氧化氨-過氧化氫-水混合物)。在一些實施例中,選擇性去除包含SiGe氧化,然後為SiGeO x去除。例如,可以藉由O 3清潔提供氧化,且隨後藉由蝕刻劑(諸如NH 4OH)去除SiGeO x,該蝕刻劑以比蝕刻Si快的蝕刻速率選擇性蝕刻SiGeO x。此外,因為Si的氧化速率遠低於SiGe的氧化速率(有時低30倍),所以在通道釋放製程期間通道層124可以保持基本完整。在一些實施例中,通道釋放步驟及先前使犧牲層橫向凹陷的步驟(即如第7A圖及第7B圖中所示的步驟)均使用選擇性蝕刻製程,該選擇性蝕刻製程以比蝕刻Si快的蝕刻速率蝕刻SiGe,且因此在一些實施例中這兩個步驟可以使用相同的蝕刻劑化學。在該情況下,通道釋放步驟的蝕刻時間/持續時間比先前使犧牲層橫向凹陷的步驟的蝕刻時間/持續時間長,以便完全去除犧牲SiGe層。
第17A圖及第17B圖繪示替換閘極結構220的形成。替換閘極結構220分別形成在閘極溝槽GT1中,以圍繞懸浮在閘極溝槽GT1中的奈米片124中的每一者。閘極結構220可以為GAA FET的最終閘極。最終閘極結構可以為高k/金屬閘極堆疊,然而,其他成分亦有可能。在一些實施例中,閘極結構220中的每一者形成與由複數奈米片124提供的多通道相關聯的閘極。例如,高k/金屬閘極結構220形成在由奈米片124的釋放提供的開口O2 (如第16圖中所示)內。在各種實施例中,高k/金屬閘極結構220包含形成在奈米片124周圍的閘極介電層222、形成在閘極介電層222周圍的功函數金屬層224及形成在功函數金屬層224周圍且填充剩餘的閘極溝槽GT1的填充金屬226。閘極介電層222包含界面層(例如氧化矽層)及界面層上方的高k閘極介電層。如本文中所使用及描述的高k閘極介電質包含具有高介電常數(例如大於熱氧化矽的介電常數(約3.9))的介電材料。在高k/金屬閘極結構220內所使用的功函數金屬層224及/或填充金屬層226可以包含金屬、金屬合金或金屬矽化物。高k/金屬閘極(high-k/metal gate,HKMG)結構220的形成可以包含形成各種閘極材料、一個或多個襯墊層的沉積以及去除過量閘極材料的一個或多個CMP製程。如第17B圖的沿高k/金屬閘極結構220的縱軸截取的剖面圖所示,高k/金屬閘極結構220圍繞奈米片124中的每一者,且由此被稱為GAA FET的閘極。
在一些實施例中,閘極介電層222的界面層可以包含介電材料,諸如氧化矽(SiO 2)、HfSiO或氮氧化矽(SiON)。界面層可以藉由化學氧化、熱氧化、原子層沉積(atomic layer deposition,ALD)、化學氣相沉積(chemical vapor deposition,CVD)及/或其他合適的方法形成。閘極介電層222的高k介電層可以包含氧化鉿(HfO 2)。替代地,閘極介電層222可以包含其他高k介電質,諸如氧化鉿矽(HfSiO)、氮氧化鉿矽(HfSiON)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、氧化鉿鋯(HfZrO)、氧化鑭 (LaO)、氧化鋯(ZrO)、氧化鈦(TiO)、氧化鉭(Ta 2O 5)、氧化釔(Y 2O 3)、氧化鍶鈦(SrTiO 3、STO)、氧化鋇鈦(BaTiO 3,BTO)、氧化鋇鋯(BaZrO)、氧化鉿鑭(HfLaO)、氧化鑭矽(LaSiO)、氧化鋁矽(AlSiO)、氧化鋁(Al 2O 3)、氮化矽(Si 3N 4)、氮氧化物(SiON)及其組合。
功函數金屬層224可以包含功函數金屬,以為高k/金屬閘極結構220提供合適的功函數。針對n型GAA FET,功函數金屬層224可以包含一種或多種n型功函數金屬(N金屬)。n型功函數金屬可以示例性地包含但不限於鋁化鈦(TiAl)、氮化鋁鈦(TiAlN)、碳氮化鉭(TaCN)、鉿(Hf)、鋯(Zr) 、鈦(Ti)、鉭(Ta)、鋁(Al)、金屬碳化物(例如碳化鉿(HfC)、碳化鋯(ZrC)、碳化鈦(TiC)、碳化鋁(AlC))、鋁化物及/或其他合適的材料。另一方面,針對p型GAA FET,功函數金屬層224可以包含一種或多種p型功函數金屬(P金屬)。p型功函數金屬可以示例性地包含但不限於氮化鈦(TiN)、氮化鎢(WN)、鎢(W)、釕(Ru)、鈀(Pd)、鉑(Pt)、鈷(Co)、鎳(Ni)、導電金屬氧化物及/或其他合適的材料。
在一些實施例中,填充金屬226可以示例性地包含但不限於鎢、鋁、銅、鎳、鈷、鈦、鉭、氮化鈦、氮化鉭、矽化鎳、矽化鈷、TaC、TaSiN 、TaCN、TiAl、TiAlN或其他合適的材料。
隨後參考第18圖。執行一個或多個蝕刻製程以回蝕替換閘極結構220,從而在回蝕閘極結構220上方以及閘極間隔物160之間產生凹槽R4。若替換閘極結構220為HKMG結構,則該步驟可稱為金屬閘極回蝕(metal gate etch back,MGEB)步驟。與閘極間隔物160及ILD層210相比,MGEB步驟中所執行的一個或多個蝕刻製程對HKMG結構220的材料具有選擇性,且因此HKMG結構220的蝕刻速率比閘極間隔物160及ILD層210的蝕刻速率快。因此,一個或多個蝕刻製程使得閘極介電層222、功函數金屬層224及填充金屬226的頂表面低於閘極間隔物160及ILD層210的頂表面。MGEB步驟中所使用的一個或多個蝕刻製程包含乾式蝕刻、濕式蝕刻、原子層蝕刻(atomic layer etching,ALE)、電漿蝕刻、其他回蝕技術或其組合。在一些實施例中,對高k閘極介電材料、功函數金屬及填充金屬有選擇性的一個或多個蝕刻製程可以為例如採用一種或多種蝕刻劑(諸如含氟氣體(例如CF 4、SF 6、CH 2F 2、CHF 3及/或C 2F 6)及/或含氯氣體(例如Cl 2、CHCl 3、CCl 4及/或BCl 3))的電漿蝕刻製程。
隨後,在第19圖中,在回蝕的替換閘極結構220的頂部分別形成替換閘極硬遮罩230。在一些實施例中,若替換閘極結構220為HKMG結構,則替換閘極硬遮罩230可以稱為金屬閘極硬遮罩(metal gate hard mask,MGHM)。替換閘極硬遮罩230包含SiN、SiC、SiCN、SiON、SiCON、其組合或其類似者。替換閘極硬遮罩230可以藉由以下操作形成:在基板110上方沉積帽蓋材料層,直至凹槽R4過填充為止,隨後執行CMP製程以去除凹槽R4外部的過量帽蓋材料,同時留下凹槽R4中的帽蓋材料層的部分以用作替換閘極硬遮罩230。
第20圖繪示源極/汲極接觸240在源極/汲極磊晶結構200上方的形成。藉助於範例而非限制,源極/汲極接觸240的形成包含執行一個或多個蝕刻製程以形成延伸穿過前側ILD層210 (及CESL,若存在)的接觸開口以暴露源極/汲極磊晶結構200,沉積過度填充接觸開口的一種或多種金屬材料,及隨後執行CMP製程去除接觸開口外部的過量金屬材料。在一些實施例中,一個或多個蝕刻製程為選擇性蝕刻,該選擇性蝕刻以比蝕刻替換閘極硬遮罩230及閘極間隔物160快的蝕刻速率蝕刻前側ILD層210。因此,使用替換閘極硬遮罩230及閘極間隔物160作為蝕刻遮罩來執行選擇性蝕刻,以使得形成與源極/汲極磊晶結構200自對準的接觸開口及因此源極/汲極接觸240而不使用額外的微影製程。在該情況下,源極/汲極接觸240可稱為自對準接觸(self-aligned contact,SAC),且允許形成自對準接觸240的替換閘極硬遮罩230可稱為SAC硬遮罩230。
第20圖亦說明了前側多層互連(multilayer interconnection,MLI)結構250在基板110上方的形成。前側MLI結構250可以包含複數前側金屬化層252。前側金屬化層252的數目可以根據積體電路結構100的設計規格而變化。為了簡單起見,第20圖中僅說明了兩個前側金屬化層252。前側金屬化層252各自包含第一前側金屬間介電(inter-metal dielectric,IMD)層253及第二前側IMD層254。第二前側IMD層254形成在對應的第一前側IMD層253上方。前側金屬化層252包括:一個或多個水平互連,諸如前側金屬線255,分別在第二前側IMD層254中水平或橫向延伸;及豎直互連,諸如前側金屬通孔256,分別在第一前側IMD層253中豎直延伸。
在一些實施例中,最底部的前側金屬化層252中的前側金屬通孔256與源極/汲極接觸240接觸以與對應的源極/汲極磊晶結構200電連接。在一些實施例中,最底部的前側金屬化層252中沒有金屬通孔與源極/汲極接觸240 (與犧牲磊晶插塞190重疊)接觸。相反,犧牲磊晶插塞190將用背側金屬通孔替換,以將對應的源極/汲極磊晶結構200電連接至隨後形成的背側互連結構。
前側金屬線255及前側金屬通孔256可以使用例如單鑲嵌製程、雙鑲嵌製程、其類似者或其組合來形成。在一些實施例中,前側IMD層253至254可以包含低k介電材料,該低k介電材料具有例如低於約3.9或甚至2.0的k值,設置在這些導電特徵之間。在一些實施例中,前側IMD層253至254可以由例如藉由任何合適的方法(諸如旋塗、化學氣相沉積(vapor deposition,CVD)、電漿增強CVD(plasma-enhanced CVD,PECVD)或其類似者)形成的磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、氟矽酸鹽玻璃(fluorosilicate glass,FSG)、SiO xC y、旋塗玻璃、旋塗聚合物、氧化矽、氮氧化矽、其組合或其類似者製成。前側金屬線255及前側金屬通孔256可以包括金屬材料,諸如銅、鋁、鎢、其組合或其類似者。在一些實施例中,前側金屬線255及前側金屬通孔256可以更包含一個或多個阻擋/黏附層(未示出)以保護相應的前側IMD層253至254免於金屬擴散(例如銅擴散)及金屬中毒。一個或多個阻擋/黏附層可以包括鈦、氮化鈦、鉭、氮化鉭或其類似者,且可以使用物理氣相沉積(physical vapor deposition,PVD)、CVD、ALD或其類似者形成。
在第21圖中,根據本揭露的一些實施例,載體基板260接合至前側MLI結構250。載體基板260可以為經摻雜或未摻雜的矽,或可以包含其他半導體材料,諸如鍺;化合物半導體;或其組合。載體基板260可以在積體電路結構100的背側上的後續處理期間提供結構支撐,且在一些實施例中可以保持在最終產品中。在一些其他實施例中,可以在積體電路結構100的背側上的後續處理完成之後去除載體基板260。在一些實施例中,載體基板260藉由例如熔接接合至MLI結構250的最頂部介電層。一旦載體基板260接合至前側MLI結構250,積體電路結構100即倒置,以使得基板110的背側朝上,如第22圖中所示。
接下來,如第23圖中所示,將基板110薄化以暴露犧牲磊晶插塞190。在一些實施例中,薄化步驟藉由CMP製程、磨削製程或其類似者完成。薄化步驟去除基底基板111及掩埋絕緣體層113,同時留下覆蓋GAA元件的背側的半導體層115。
接下來,如第24圖中所示,去除半導體層115。在一些實施例中,藉由使用選擇性蝕刻製程來去除半導體層115,該選擇性蝕刻製程以比蝕刻犧牲磊晶插塞190快的蝕刻速率蝕刻半導體層115。在一些實施例中,選擇性蝕刻製程可以為使用濕式蝕刻溶液(諸如四甲基氫氧化銨(tetramethylammonium hydroxide,TMAH)、氫氧化鉀(KOH)、NH 4OH、其類似者或其組合)的濕式蝕刻製程。作為選擇性蝕刻製程的結果,開口O3形成在STI結構140中且暴露GAA元件的背側,同時在對應的源極/汲極磊晶結構200的背側上留下犧牲磊晶插塞190。
第25圖繪示背側介電層270在STI結構140中的開口O3中及橫向圍繞犧牲磊晶插塞190的形成。在一些實施例中,第25圖的步驟首先藉由使用合適的沉積技術(諸如CVD)來沉積過度填充STI結構140中的開口O3的背側介電層270的介電材料。隨後,藉由使用例如回蝕製程、CMP製程或其類似者將沉積的介電材料薄化,直至暴露出犧牲磊晶插塞190為止。介電層270在本上下文中稱為「背側」介電層,此係因為該介電層270形成在多閘極電晶體的背側上,該背側與替換閘極220自源極/汲極磊晶結構200突出的多閘極電晶體的前側相對。在一些實施例中,背側介電層270包含諸如正矽酸四乙酯(tetraethylorthosilicate,TEOS)氧化物、未摻雜矽酸鹽玻璃或經摻雜氧化矽(諸如硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、熔融石英玻璃(fused silica glass,FSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、摻硼矽玻璃(boron doped silicon glass,BSG))的材料及/或其他合適的介電材料。在一些實施例中,背側介電層270具有與前側ILD層210相同的材料。
接下來,如第26圖中所示,去除犧牲磊晶插塞190以形成延伸穿過背側介電層270的背側通孔開口O4以暴露源極/汲極磊晶結構200中的對應一者(例如源極磊晶結構)。在一些實施例中,藉由使用選擇性蝕刻製程來去除犧牲磊晶插塞190,該選擇性蝕刻製程以比蝕刻背側介電層270的介電材料快的蝕刻速率蝕刻犧牲磊晶插塞190的半導體材料。換言之,選擇性蝕刻製程使用攻擊犧牲磊晶插塞190且幾乎不攻擊背側介電層270的蝕刻劑。因此,在選擇性蝕刻製程完成之後,其他源極/汲極磊晶結構200 (例如汲極磊晶結構)的背側仍被背側介電質270覆蓋。藉助於範例而非限制,藉由選擇性濕式蝕刻(諸如APM蝕刻(例如氫氧化氨-過氧化氫-水混合物))來去除犧牲磊晶插塞190,該選擇性濕式蝕刻以比蝕刻介電材料快的蝕刻速率選擇性蝕刻半導體材料。
在第27圖中,一種或多種金屬材料(鎢、鈷、銅、其類似者或其組合)藉由使用合適的沉積技術(例如CVD、PVD、ALD、其類似者或其組合)沉積以形成過度填充背側通孔開口O4的金屬層280。隨後,金屬層280薄化以形成限制在背側通孔開口O4中的背側通孔285。所得結構在第28圖中所示。在該步驟的一些實施例中,執行CMP製程以薄化金屬層280,直至暴露出背側介電層270為止,同時在背側通孔開口O4中留下金屬層280的一部分以用作背側通孔(backside via,VB) 285。
第29圖繪示在背側金屬通孔285及背側介電層270上方所形成的背側MLI結構290。背側MLI結構290可以包括最底部的背側金屬化層291 (亦稱為背側M0層)及位於最底部的背側金屬化層291上方的複數上部背側金屬化層292。上部背側金屬化層292的數目可以根據積體電路結構100的設計規格而變化。為了簡單起見,第29圖中僅說明了兩個背側金屬化層292 (亦稱為背側M1層及背側M2層)。
最底部的背側金屬化層291包括背側介電層270上方的背側IMD層293及一個或多個水平互連(諸如背側金屬線295,分別在背側IMD層293中水平或橫向延伸)。最底部的背側金屬化層291中的金屬線295為背側電源軌,該背側電源軌延伸穿過且接觸一個或多個背側通孔285,以便與一個或多個源極/汲極磊晶結構200 (例如一個或多個源極磊晶結構)電連接。因為電源軌形成在背側MLI結構290中,所以可以為積體電路結構100提供更多的佈線空間。
上部背側金屬化層(例如背側M1層及M2層) 292各自包括第一背側金屬間介電(inter-metal dielectric,IMD)層294及第二背側IMD層296。第二背側IMD層296形成在對應的第一背側IMD層294上方。上部背側金屬化層292包括:一個或多個水平互連,諸如背側金屬線297,分別在第二背側IMD層296中水平或橫向延伸;及豎直互連,諸如背側金屬通孔298,分別在第一背側IMD層294中豎直延伸。在一些實施例中,由於在IC結構100顛倒之後在背側IMD層294中蝕刻通孔開口的性質,背側金屬通孔298具有錐形輪廓,該錐形輪廓的寬度隨著與背側介電層270的距離減小而減小。
在如上文所述的實施例中,實現離子植入製程IMP1以「硬化」偽閘硬遮罩(即增加偽閘硬遮罩的抗蝕刻性),這種情況進而防止偽閘電極不慎受在基板中形成背側通孔凹槽的蝕刻步驟損壞。亦應理解,在一些替代實施例中,藉由使用離子植入製程來硬化硬遮罩的概念亦可以整合至其他半導體元件的製造製程中。例如,第30圖至第41圖繪示以自對準方式形成源極/汲極接觸的方法,其中方法包含藉由使用離子植入製程來硬化SAC硬遮罩及/或下伏的閘極間隔物。
第30圖至第41圖繪示根據本揭露的一些實施例的形成積體電路結構300的中間階段的立體圖及剖面圖。根據一些示例性實施例,所形成的電晶體可以包含p型電晶體(諸如p型FinFET)及n型電晶體(諸如n型FinFET)。貫穿各個視圖及說明性實施例,相似的附圖標記用於指示相似的元件。應理解,可以在藉由第30圖至第41圖所示出的製程之前、期間及之後提供額外的操作,且針對方法的額外實施例,可以將下文所描述的一些操作替換或除去。操作/製程的次序可以互換。
第30圖繪示初始結構的立體圖。初始結構包含基板32。基板32可以為半導體基板(在一些實施例中亦稱為晶圓),該半導體基板可以為矽基板、矽鍺基板或由其他半導體材料形成的基板。根據本揭露的一些實施例,基板32包含塊材矽基板及在塊材矽基板上方的磊晶矽鍺(SiGe)層或鍺層(其中沒有矽)。基板32可以摻雜有p型或n型雜質。可以形成隔離區域34 (諸如淺溝槽隔離(shallow trench isolation,STI)區域)以延伸至基板32中。鄰近STI區域34之間的基板32的部分稱為半導體帶302。關於STI區域34的材料與如先前所述的STI區域140的材料類似,且由此為簡潔起見不再重複這些材料。
在第31A圖及第31B圖中,STI區域34凹陷,以使得半導體帶302的頂部部分突出高於鄰近STI區域34的頂表面以形成突出鰭304。第31B圖繪示自含有第31A圖中的線B-B的豎直平面獲得的剖面圖。關於使STI區域34凹陷的製程細節與如先前所述的STI區域140的製程細節類似,且由此為簡潔起見不再重複這些製程細節。
在上文所示的示例性實施例中,可以藉由任何合適的方法對鰭進行圖案化。例如,鰭可以使用一個或多個微影製程來圖案化,該一或多個微影製程包含雙圖案化或多圖案化製程。通常,雙圖案化或多圖案化製程將微影與自對準製程相結合,從而允許創建具有例如小於以其他方式使用單個直接微影製程可獲得的間距的圖案。例如,在一個實施例中,犧牲層在基板上方形成且使用微影製程進行圖案化。使用自對準製程,在圖案化的犧牲層旁邊形成間隔物。隨後去除犧牲層,且隨後可以使用剩餘的間隔物或心軸來圖案化鰭。
突出鰭304的材料亦可以用與基板32的材料不同的材料替換。例如,若突出鰭304用於n型電晶體,則突出鰭304可以由Si、SiP、SiC、SiPC或III至V族化合物半導體(諸如InP、GaAs、AlAs、InAs、InAlAs、InGaAs或其類似者)形成。另一方面,若突出鰭304用於p型電晶體,則突出鰭304可以由Si、SiGe、SiGeB、Ge或III至V族化合物半導體(諸如InSb、GaSb、InGaSb或其類似者)形成。
接下來,如第31A圖及第31B圖中所示,偽閘極結構306形成在突出鰭304的頂表面及側壁上。偽閘極結構306的形成包含橫跨鰭304依次沉積閘極介電層及偽閘電極層,隨後圖案化閘極介電層及偽閘電極層。作為圖案化的結果,偽閘極結構306可以具有垂直於相應突出鰭304的長度方向的長度方向。遮罩圖案可以形成在偽閘電極層上方以幫助圖案化。在一些實施例中,硬遮罩圖案包含多晶矽的覆蓋層上方的底部遮罩312及底部遮罩312上方的頂部遮罩314。硬遮罩圖案由一層或多層SiO 2、SiCN、SiON、Al 2O 3、SiN或其他合適的材料製成。在某些實施例中,底部遮罩312包含氮化矽,且頂部遮罩314包含氧化矽。藉由使用遮罩圖案作為蝕刻遮罩,將偽電極層圖案化為偽閘電極310,且將覆蓋閘極介電層圖案化為閘極介電層308。關於偽閘極介電層308及偽閘電極310的材料與如先前所述的偽閘極介電層152極偽閘電極154的材料類似,且由此為簡潔起見不再重複這些材料。
接下來,如第32圖中所示,藉由使用沉積步驟隨後為非等向性蝕刻步驟來在偽閘極結構306的側壁上形成的閘極間隔物316,如先前關於閘極間隔物160所述。在一些實施例中,間隔物材料層包含多個層,諸如第一間隔層318及形成在第一間隔層318上方的第二間隔層320。第一間隔層318及第二間隔層320各自由合適的材料(諸如氧化矽、氮化矽、碳化矽、氮氧化矽、SiCN、碳氧化矽、SiOCN及/或其組合)製成。例如,第一間隔層318為氧化矽層,且第二間隔層320為氮化矽層。在一些實施例中,閘極間隔物316可用於使後續形成的摻雜區域(諸如源極/汲極區域)偏移。閘極間隔物316可以進一步用於設計或修改源極/汲極區域輪廓。
在第33圖中,源極/汲極磊晶結構322形成在鰭304的未被偽閘極結構306及閘極側壁間隔物316覆蓋的源極/汲極區域上。在一些實施例中,源極/汲極磊晶結構322的形成包含使鰭304的源極/汲極區域凹陷,隨後在鰭304的凹陷的源極/汲極區域中磊晶生長半導體材料。關於源極/汲極磊晶結構322的材料及製程細節與如先前所述的源極/汲極磊晶結構200的材料及製程細節類似,且由此為簡潔起見不再重複這些材料及製程細節。
在第34圖中,層間介電(interlayer dielectric,ILD)層326形成在基板32上。在一些實施例中,在形成ILD層326之前視情況形成接觸蝕刻終止層(contact etch stop layer,CESL)。ILD層326可以藉由PECVD製程或其他合適的沉積技術沉積。在形成ILD層326之後,可以執行平坦化製程以去除ILD層326的過量材料。例如,平坦化製程包含化學機械平坦化(chemical mechanical planarization,CMP)製程,該化學機械平坦化製程去除覆蓋在偽閘極結構306上的ILD層326 (及CESL層,若存在)的部分。在一些實施例中,CMP製程亦去除硬遮罩層312、314 (如第33圖中所示出)且暴露偽閘電極310。關於ILD層326的材料與如先前所述的前側ILD層210的材料類似,且由此為簡潔起見不再重複這些材料。
接下來,如第35圖中所示,去除剩餘的偽閘極結構306,從而在對應的閘極側壁間隔物316之間產生閘極溝槽GT2。使用選擇性蝕刻製程(例如選擇性乾式蝕刻、選擇性濕式蝕刻或其組合)來去除偽閘極結構306,該選擇性蝕刻製程以比蝕刻其他材料(例如閘極側壁間隔物316及/或ILD層326)快的蝕刻速率蝕刻偽閘極結構306中的材料。
在下文中,在閘極溝槽GT2中分別形成替換閘極結構330,如第36圖中所示。閘極結構330可以為FinFET的最終閘極。最終閘極結構各自可以為高k/金屬閘極(high-k/metal gate,HKMG)堆疊,然而,其他成分亦有可能。在一些實施例中,閘極結構330中的每一者形成與由鰭304提供的通道區域的三側相關聯的閘極。換言之,閘極結構330中的每一者在三個側面上環繞鰭304。在各種實施例中,高k/金屬閘極結構330包含對閘極溝槽GT2加襯的閘極介電層332、形成在閘極介電層332上方的功函數金屬層334及形成在功函數金屬層334上方且填充剩餘的閘極溝槽GT2的填充金屬336。關於HKMG結構330的材料及製程細節與如先前所述的HKMG結構220的材料及製程細節類似,且由此為簡潔起見不再重複這些材料及製程細節。
在第37圖中,執行MGEB步驟以回蝕HKMG結構330,且隨後在回蝕的替換閘極結構330的頂部分別形成替換閘極硬遮罩340。替換閘極硬遮罩340可以藉由例如以下來形成:在回蝕的HKMG結構330上方沉積一層硬遮罩層,隨後藉由使用例如CMP製程來薄化硬遮罩層。替換閘極硬遮罩340包含SiN、SiC、SiCN、SiON、SiCON、其組合或其類似者。在一些實施例中,若替換閘極結構330為HKMG結構,則替換閘極硬遮罩340可以稱為金屬閘極硬遮罩(metal gate hard mask,MGHM)。硬遮罩340在以自對準方式形成源極/汲極接觸的以下處理中用作自對準接觸(self-aligned contact,SAC)硬遮罩。
在第38A圖至第38B圖中,執行離子植入製程IMP2以將一種或多種雜質(例如摻雜劑離子)摻雜至SAC硬遮罩340中,由此形成具有與原始SAC硬遮罩340不同的蝕刻選擇性的修改後的SAC硬遮罩340’。例如,如第38B圖的放大視圖中所示,電離摻雜劑DP (例如硼、磷、其他III族元素、其他V族元素及/或能夠創建與原始偽SAC硬遮罩340不同的蝕刻選擇性的其他合適物種)可以植入SAC硬遮罩340中,由此在修改後的SAC硬遮罩340’中形成摻雜區域3401。
在一些實施例中,離子植入製程IMP2包含一個或多個傾斜植入,以便防止在替換閘極結構330之間不慎植入源極/汲極磊晶結構322。例如,離子束由離子植入器產生且以非零傾斜角α2指向基板32,這種情況進而允許將足夠劑量的離子植入SAC硬遮罩340中,由於由密集配置的替換閘極結構330引起的陰影效應,同時不向源極/汲極磊晶結構322植入離子或植入可忽略的離子。例如,離子束的傾斜角α2可以在約3度至約10度的範圍內。過大的傾斜角α2可能導致修改後的SAC硬遮罩340’中的摻雜劑深度不足。過小的傾斜角α2可能在源極/汲極磊晶結構322中不慎植入離子化摻雜劑DP,這種情況進而可能對FinFET產生負面影響。在一些實施例中,離子束具有傾斜角,這些傾斜角具有與先前關於第9D圖所述的離子植入製程IMP1的離子束的雙峰分佈類似的雙峰分佈。由此,在離子植入製程IMP2中,離子束具有以不同的傾斜角同時指向基板32的兩個部分。
在一些實施例中,離子植入製程IMP2以約2E14離子/cm 2約4E14離子/cm 2的劑量且在約2 keV至約5 keV的能量下執行。修改後的SAC硬遮罩340’中的所得摻雜區域3401的摻雜劑濃度及/或摻雜劑深度取決於離子植入製程IMP2的製程條件。若離子植入製程IMP2的製程條件在上文選擇的範圍之外,則修改後的SAC硬遮罩340’中的所得摻雜區域3401中的摻雜劑濃度及/或摻雜劑深度可能不足以達到對以下自對準接觸蝕刻製程的目標抗蝕刻性。
在一些實施例中,離子植入製程IMP2將離子化的摻雜劑DP植入SAC硬遮罩340中,從而產生修改後的SAC硬遮罩340’中的摻雜區域3401,同時使修改後的SAC硬遮罩340’的下部區域3402實質上未摻雜。因此,摻雜區域3401具有比未摻雜區域3402高的摻雜劑濃度。藉助於範例而非限制,摻雜區域3401具有在約1E18原子/cm 3至約3E20原子/cm 3的範圍內的摻雜劑濃度,且未摻雜區域3402具有實質上為零的摻雜劑濃度。若摻雜區域3401具有過低的摻雜劑濃度,則修改後的SAC硬遮罩340’可能對以下自對準接觸蝕刻製程的抗蝕刻性不足。在一些實施例中,由於離子植入製程IMP2,摻雜區域3401具有摻雜劑濃度梯度。更詳細地,摻雜區域3401的摻雜劑濃度隨著摻雜區域3401內的深度而變地改變。藉助於範例而非限制,離子植入製程IMP2可以在修改後的SAC硬遮罩340’中創建摻雜劑的高斯分佈或誤差函數分佈,如第9E圖的放大視圖中所示。
在一些實施例中,摻雜區域3401具有自修改後的SAC硬遮罩340’的頂表面延伸至修改後的SAC硬遮罩340’中的摻雜劑深度D2。在一些實施例中,修改後的SAC硬遮罩340’的摻雜劑深度D2在約10 nm至約15 nm的範圍內。若摻雜劑深度D2過小,則摻雜區域3401可能太薄而不能抵抗以下自對準接觸蝕刻製程。在一些實施例中,摻雜劑深度D2等於修改後的SAC硬遮罩340’的厚度。在該情況下,SAC硬遮罩340實質上完全摻雜且由此不包含未摻雜區域。
在一些實施例中,離子植入製程IMP2亦將離子化摻雜劑DP植入閘極間隔物316的外間隔層320及甚至內間隔層318中,這種情況進而亦可以提高對以下自對準接觸蝕刻製程的抗蝕刻性。修改後的閘極間隔物316’可以具有與修改後的硬遮罩340’的摻雜區域3401中的摻雜劑濃度相當的摻雜劑濃度。在一些實施例中,由於由密集配置的替換閘極結構330引起的陰影效應,修改後的閘極間隔物316’具有自閘極間隔物316’的頂表面至閘極間隔物316’的底表面降低的摻雜劑濃度梯度。換言之,修改後的閘極間隔物316中的摻雜劑濃度可以自其頂表面向其底表面降低。在一些實施例中,由於由密集配置的替換閘極結構330引起的陰影效應,修改後的閘極間隔物316’的底部部分可以沒有摻雜劑濃度或可以具有可忽略的摻雜劑濃度。在一些實施例中,修改後的外間隔層320’可以具有隨著與修改後的內間隔層318’的距離增加而降低的摻雜劑濃度梯度。類似地,修改後的內間隔層318’可以具有隨著與修改後的外間隔層320’的距離增加而降低的摻雜劑濃度梯度。
在一些實施例中,離子植入製程IMP2在整個IC結構300上全域地執行,這種情況意謂NFET元件及PFET元件兩者的SAC硬遮罩及閘極間隔物經歷相同的離子植入製程IMP2。在一些實施例中,在完成離子植入製程IMP2之後,可以執行退火製程以修復修改後的SAC硬遮罩340’及閘極間隔物316’中的植入損壞。在一些其他實施例中,可以跳過退火製程。
在第39圖中,藉由合適的沉積技術在基板32上方依次沉積第一犧牲層350、終止層360及第二犧牲層370。隨後藉由使用合適的微影及蝕刻技術對第二犧牲層370執行圖案化製程以形成延伸穿過第二犧牲層370的開口O5。犧牲層350、370及終止層360可以共同用作以下蝕刻製程的圖案化遮罩380。在一些實施例中,終止層360具有與第一犧牲層350及第二犧牲層370不同的材料且因此具有不同的蝕刻選擇性。因此,可以以使得終止層360表現出比覆蓋的犧牲層370慢的蝕刻速率的方式選擇形成開口O5的蝕刻劑及蝕刻條件。以此方式,終止層360可以做為可偵測的蝕刻終點。例如,形成開口O5的蝕刻製程可以在蝕刻穿過下伏的犧牲層350之前終止。
在第40圖中,使用圖案化遮罩380作為蝕刻遮罩來執行蝕刻製程ET2,從而使得開口O5向下延伸穿過第一犧牲層350以暴露在遮罩開口O5正下方的ILD層326的部分,且隨後去除ILD層326的暴露部分以形成源極/汲極接觸開口O6。在一些實施例中,蝕刻製程ET2為選擇性蝕刻,該選擇性蝕刻以比蝕刻修改後的SAC硬遮罩340’及閘極間隔物316’快的蝕刻速率蝕刻ILD層326。因此,儘管遮罩開口O5為橫向跨越多個源極/汲極磊晶結構322的「大」開口,但該遮罩開口O5允許形成與相應的源極/汲極磊晶結構322自對準的多個接觸開口O6,此係因為修改後的SAC硬遮罩340’及閘極間隔物316’兩者對蝕刻製程ET2的抗蝕刻性增強。由此,蝕刻製程ET2可以稱為自對準接觸蝕刻製程。
在一些實施例中,蝕刻製程ET2的蝕刻劑及/或蝕刻條件被選擇以使得修改後的SAC硬遮罩340’及閘極間隔物316’表現出比原始SAC硬遮罩340及閘極間隔物316 (即在經歷離子植入製程IMP2之前的SAC硬遮罩及閘極間隔物)慢的蝕刻速率。換言之,與原始SAC硬遮罩340及閘極間隔物316相比,修改後的SAC硬遮罩340’及閘極間隔物316’對蝕刻製程ET2中所使用的蝕刻劑具有更高的抗蝕刻性。以此方式,蝕刻製程ET1可以在修改後的硬遮罩340’及閘極間隔物316’的暴露部分中不導致損失或導致可忽略的損失,以使得修改後的SAC硬遮罩340’及閘極間隔物316’可以保護替換閘極結構330不由蝕刻製程ET2損壞。
在一些實施例中,第二犧牲層370及終止層360在蝕刻製程ET2中被消耗,且由此在蝕刻製程ET2完成之後暴露出第一犧牲層350的頂表面。在一些實施例中,蝕刻製程ET2以比蝕刻修改後的SAC硬遮罩340’及閘極間隔物316’快的蝕刻速率蝕刻第二犧牲層370及終止層360。例如,在第二犧牲層370及終止層360為有機材料的一些實施例中,第二犧牲層370及終止層360與修改後的硬遮罩340’及閘極間隔物316’ (即摻雜介電材料)的蝕刻速率比率在約10:1至約20:1的範圍內。在一些實施例中,修改後的SAC硬遮罩340’及閘極間隔物316沒有蝕刻量且在蝕刻製程ET2中保持基本完整。
在第41圖中,源極/汲極接觸390例如藉由使用以下操作形成在源極/汲極磊晶結構322上方:沉積過度填充接觸開口O6的一種或多種金屬材料,且隨後執行CMP製程以去除接觸開口O6外部的過量金屬材料,直至修改後的SAC硬遮罩340’及閘極間隔物316’暴露為止。在一些實施例中,CMP製程亦去除第一犧牲層350。
基於上文論述,可以看出本揭露在各種實施例中提供了優點。然而,應理解,其他實施例亦可以提供額外的優點,且並非所有的優點都必須在本文中揭露,且所有實施例都不需要特別的優點。一個優點在於,可以「硬化」偽閘極硬遮罩(即具有增加的抗蝕刻性),這種情況進而減少偽閘極硬遮罩在後續蝕刻處理中的損失。另一優點在於,SAC硬遮罩亦可以硬化,這種情況進而減少SAC硬遮罩在後續自對準接觸蝕刻製程中的損失,由此防止閘極結構與源極/汲極接觸之間的短路。
在一些實施例中,一種方法包含:形成在基板上方延伸的鰭結構;形成橫跨鰭結構延伸的偽閘極結構,偽閘極結構中的每一者包含偽閘電極層及偽閘電極層上方的硬遮罩層;執行離子植入製程以對偽閘極結構的硬遮罩層進行摻雜;在執行離子植入製程以對偽閘極結構的硬遮罩層進行摻雜之後,執行第一蝕刻製程以對偽閘極結構之間的鰭結構的源極/汲極區域進行蝕刻以在鰭結構的源極/汲極區域中形成凹槽;在凹槽中形成磊晶結構;及用金屬閘極結構替換偽閘極結構。在一些實施例中,離子植入製程係以傾斜角執行。在一些實施例中,鰭結構沒有藉由離子植入製程摻雜。在一些實施例中,離子植入製程在偽閘極結構的硬遮罩層中形成摻雜區域,且偽閘極結構的硬遮罩層的其他區域未摻雜。在一些實施例中,硬遮罩層的摻雜區域比硬遮罩層的未摻雜區域對第一蝕刻製程具有更高的抗蝕刻性。在一些實施例中,方法更包含:在執行離子植入製程之後及執行第一蝕刻製程之前,在基板上方形成圖案化有機材料,圖案化有機材料在鰭結構的源極/汲極區域上方具有開口,其中第一蝕刻製程以比蝕刻摻雜硬遮罩層快的蝕刻速率蝕刻圖案化有機材料。在一些實施例中,方法更包含:在磊晶結構上形成源極/汲極磊晶結構;及在於磊晶結構上形成源極/汲極磊晶結構之後,用背側金屬通孔替換磊晶結構。在一些實施例中,方法更包含:在形成偽閘極結構之後及執行離子植入製程之前,執行第二蝕刻製程以蝕刻鰭結構的源極/汲極區域,其中鰭結構包括交替的第一半導體層及第二半導體層的堆疊,且執行第二蝕刻製程,直至鰭結構的源極/汲極區域的頂表面低於第二半導體層的最底層為止。在一些實施例中,第一半導體層及第二半導體層未藉由離子植入製程摻雜。在一些實施例中,方法更包含:在執行第二蝕刻製程之後,使第一半導體層橫向凹陷;及在橫向凹陷的第一半導體層的端面上形成內間隔物,其中在形成內間隔物之後執行離子植入製程。在一些實施例中,內間隔物沒有藉由離子植入製程摻雜。在一些實施例中,用金屬閘極結構替換偽閘極結構包括:去除偽閘極結構;在去除偽閘極結構之後,去除第一半導體層以使得第二半導體層懸浮在基板上方;及形成圍繞懸浮的第二半導體層中的每一者的金屬閘極結構。
在一些實施例中,一種積體電路(integrated circuit,IC)結構包括:閘極結構;閘極間隔物,位於閘極結構的任一側上;源極/汲極磊晶結構,分別與閘極間隔物相鄰;前側互連結構,位於源極/汲極磊晶結構的前側上;背側介電層,位於源極/汲極磊晶結構的背側上;及背側通孔,穿過背側介電層延伸至源極/汲極磊晶結構中的第一源極/汲極磊晶結構的背側,其中閘極間隔物具有朝向背側通孔降低的摻雜劑的濃度。在一些實施例中,IC結構更包含:複數半導體通道層,以間隔方式上下配置,複數半導體通道層自源極/汲極磊晶結構中的第一源極/汲極磊晶結構橫向延伸至源極/汲極磊晶結構中的第二源極/汲極磊晶結構,且閘極結構圍繞複數半導體通道層中的每一者。在一些實施例中,IC結構更包含:複數內間隔物,與複數半導體通道層交替配置,其中複數內間隔物具有閘極間隔物的摻雜劑的較低濃度。在一些實施例中,複數半導體通道層具有閘極間隔物的摻雜劑的較低濃度。在一些實施例中,摻雜劑為III族元素或V族元素。
在一些實施例中,方法包括:在基板上方形成偽閘極結構且在偽閘極結構的相對側上形成閘極間隔物;在偽閘極結構及閘極間隔物之外的基板的區域上磊晶生長源極/汲極結構;在源極/汲極結構上方形成層間介電(interlayer dielectric,ILD)層;用金屬閘極結構替換偽閘極結構;使金屬閘極結構凹陷以降至低於閘極間隔物的頂端;在凹陷的金屬閘極結構上方形成閘極硬遮罩;執行離子植入製程以對閘極硬遮罩進行摻雜;在執行離子植入製程以對閘極硬遮罩進行摻雜之後,蝕刻ILD層以分別在源極/汲極結構上方形成源極/汲極接觸開口;及分別在源極/汲極接觸開口中形成源極/汲極接觸。在一些實施例中,以傾斜角執行離子植入製程。在一些實施例中,離子植入製程亦對閘極間隔物進行摻雜。
 前述概述了若干實施例的特徵,以使得熟習此項技術者可以較佳地理解本揭露的態樣。熟習此項技術者應當瞭解,其可以容易地將本揭露用作設計或修改其他製程及結構的基礎,以供實現本文中所引入的實施例的相同目的及/或達成相同優點。熟習此項技術者亦應該認識到,這些等效構造不脫離本揭露的精神及範疇,且在不脫離本揭露的精神及範疇的情況下,熟習此項技術者可以進行各種改變、取代及變更。
32、110:基板 34:隔離區域 100、300:積體電路結構 102:溝槽 111:基底基板 113:掩埋絕緣體層 115:半導體層 120:磊晶堆疊 122:磊晶層 124:磊晶層 130:半導體鰭 140:淺溝槽隔離結構 150:閘極結構 152:偽閘極介電層 154:偽閘電極層 154H:高度 156、158、158’:硬遮罩 156T、158T:厚度 160、160’:閘極間隔物 162:第一間隔層 162’:內間隔層 164:第二間隔層 164’:外間隔層 170:內部間隔物材料層 180:遮罩層 181:底部抗反射塗層層 190:犧牲磊晶插塞 200、322:源極/汲極磊晶結構 210:前側層間介電層 220、330:替換閘極結構 222、308、332:閘極介電層 224、334:功函數金屬層 226、336:填充金屬 230、340:替換閘極硬遮罩 240、390:源極/汲極接觸 250:前側多層互連結構 252:前側金屬化層 253:第一前側金屬間介電層 254:第二前側IMD層 255:前側金屬線 256:前側金屬通孔 260:載體基板 270:背側介電層 280:金屬層 285:背側通孔 290:背側MLI結構 291:最底部的背側金屬化層 292:上部背側金屬化層 293:背側IMD層 294:第一背側金屬間介電層 295:背側金屬線 296:第二背側IMD層 297:背側金屬線 298:背側金屬通孔 302:半導體帶 304:突出鰭 306:偽閘極結構 310:偽閘電極 312:底部遮罩 314:頂部遮罩 316:閘極間隔物 318:第一間隔層 320:第二間隔層 326:層間介電層 340’:修改後的SAC硬遮罩 350:第一犧牲層 360:終止層 370:第二犧牲層 380:圖案化遮罩 810:鰭硬遮罩層 812:氧化物層 814:氮化物層 900:雙峰分佈 910、920:單峰分佈分量 1581、3401:摻雜區域 1582、3402:下部區域 B-B:線 D1、D2:摻雜劑深度 DP:電離摻雜劑 EA1、EA2:蝕刻量 ET1、ET2:蝕刻製程 GT1、GT2:閘極溝槽 IMP1、IMP2:離子植入製程 O1:孔 O2、O3、O5:開口 O4:背側通孔開口 O6:源極/汲極接觸開口 P1:光阻 R1、R2、R3、R4:凹槽 S/D:源極/汲極區域 α1、α2:角度
當結合隨附圖式閱讀時,根據以下詳細描述最佳地理解本揭露的態樣。應注意,根據行業中的標準實踐,未按比例繪製各種特徵。實務上,為了論述清楚起見,各種特徵的尺寸可以任意增加或減小。 第1圖、第2圖、第3圖、第4圖、第5A圖、第6A圖、第7A圖、第8A圖及第9A圖為根據本揭露的一些實施例的製造積體電路結構的中間階段的立體圖。 第5B圖、第6B圖、第7B圖、第8B圖、第9B圖、第9C圖、第10A圖至第17A及第18圖至第29圖為沿第一切面製造積體電路結構的中間階段的剖面圖,第一切面沿半導體通道的長度方向且垂直於基板的頂表面。 第9D圖繪示根據本揭露的一些實施例的傾斜離子植入的示例性離子分佈圖。 第9E圖為說明了根據本揭露的一些實施例的摻雜區域中的摻雜劑濃度隨摻雜區域內的深度而變的範例曲線圖。 第17B圖為沿第二切面製造積體電路結構的中間階段的剖面圖,第二切面位於閘極區域中且垂直於半導體通道的長度方向。 第30圖及第31A圖為根據本揭露的一些實施例的製造積體電路結構的中間階段的立體圖。 第31B圖及第32圖至第41圖為根據本揭露的一些實施例的製造積體電路結構的中間階段的剖面圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
124:磊晶層
160’:閘極間隔物
162’:內間隔層
164’:外間隔層
170:內部間隔物材料層
200:源極/汲極磊晶結構
220:替換閘極結構
222:閘極介電層
224:功函數金屬層
226:填充金屬
230:替換閘極硬遮罩
240:源極/汲極接觸
250:前側多層互連結構
253:第一前側金屬間介電層
254:第二前側IMD層
255:前側金屬線
256:前側金屬通孔
260:載體基板
270:背側介電層
285:背側通孔
290:背側MLI結構
291:最底部的背側金屬化層
292:上部背側金屬化層
293:背側IMD層
294:第一背側金屬間介電層
295:背側金屬線
296:第二背側IMD層
297:背側金屬線
298:背側金屬通孔

Claims (20)

  1. 一種方法,包含: 形成在一基板上方延伸的一鰭結構; 形成橫跨該鰭結構延伸的多個偽閘極結構,該些偽閘極結構中的每一者包含一偽閘電極層及該偽閘電極層上方的一硬遮罩層; 執行一離子植入製程以對該些偽閘極結構的該些硬遮罩層進行摻雜; 在執行該離子植入製程以對該些偽閘極結構的該些硬遮罩層進行摻雜之後,執行一第一蝕刻製程以對該些偽閘極結構之間的該鰭結構的一源極/汲極區域進行蝕刻以在該鰭結構的該源極/汲極區域中形成一凹槽; 在該凹槽中形成一磊晶結構;及 用多個金屬閘極結構替換該些偽閘極結構。
  2. 如請求項1所述之方法,其中該離子植入製程係以一傾斜角執行。
  3. 如請求項1所述之方法,其中該鰭結構未被該離子植入製程摻雜。
  4. 如請求項1所述之方法,其中該離子植入製程在該些偽閘極結構的該些硬遮罩層中形成多個摻雜區域,且該些偽閘極結構的該些硬遮罩層的多個其他區域未摻雜。
  5. 如請求項4所述之方法,其中該些硬遮罩層的該些摻雜區域比該些硬遮罩層的該些未摻雜區域對該第一蝕刻製程具有一更高的抗蝕刻性。
  6. 如請求項1所述之方法,更包含: 在執行該離子植入製程之後及執行該第一蝕刻製程之前,在該基板上方形成一圖案化有機材料,該圖案化有機材料在該鰭結構的該源極/汲極區域上方具有一開口, 其中該第一蝕刻製程以比蝕刻該些摻雜硬遮罩層快的一蝕刻速率蝕刻該圖案化有機材料。
  7. 如請求項1所述之方法,更包含: 在該磊晶結構上形成一源極/汲極磊晶結構;及 在於該磊晶結構上形成該源極/汲極磊晶結構之後,用一背側金屬通孔替換該磊晶結構。
  8. 如請求項1所述之方法,更包含: 在形成該些偽閘極結構之後及執行該離子植入製程之前,執行一第二蝕刻製程以蝕刻該鰭結構的該源極/汲極區域,其中該鰭結構包括交替的多個第一半導體層及多個第二半導體層的一堆疊,且該第二蝕刻製程係執行直至該鰭結構的該源極/汲極區域的一頂表面低於該些第二半導體層的一最底層為止。
  9. 如請求項8所述之方法,其中該些第一半導體層及該些第二半導體層未藉由該離子植入製程摻雜。
  10. 如請求項8所述之方法,更包含: 在執行該第二蝕刻製程之後,使該些第一半導體層橫向凹陷;及 在該些橫向凹陷的第一半導體層的多個端面上形成多個內間隔物,其中該離子植入製程係在形成該些內間隔物之後執行的。
  11. 如請求項10所述之方法,其中該些內間隔物未藉由該離子植入製程摻雜。
  12. 如請求項8所述之方法,其中用該些金屬閘極結構替換該些偽閘極結構之步驟包含: 去除該些偽閘極結構; 在去除該些偽閘極結構之後,去除該些第一半導體層以使得該些第二半導體層懸浮在該基板上方;及 形成圍繞該些懸浮的第二半導體層中的每一者的該些金屬閘極結構。
  13. 一種積體電路(IC)結構,包括: 一閘極結構; 多個閘極間隔物,位於該閘極結構的任一側上; 多個源極/汲極磊晶結構,分別與該些閘極間隔物相鄰; 一前側互連結構,位於該些源極/汲極磊晶結構的一前側上; 一背側介電層,位於該些源極/汲極磊晶結構的一背側上;及 一背側通孔,穿過該背側介電層延伸至該些源極/汲極磊晶結構中的一第一源極/汲極磊晶結構的該背側,其中該些閘極間隔物具有朝向該背側通孔降低的一摻雜劑的一濃度。
  14. 如請求項13所述之積體電路結構,更包含: 複數半導體通道層,以一間隔方式上下配置,該些半導體通道層自該些源極/汲極磊晶結構中的該第一源極/汲極磊晶結構橫向延伸至該些源極/汲極磊晶結構中的一第二源極/汲極磊晶結構,該閘極結構圍繞該些半導體通道層中的每一者。
  15. 如請求項14所述之積體電路結構,更包含: 複數內間隔物,與該些半導體通道層交替配置,其中該些內間隔物具有該些閘極間隔物的該摻雜劑的一較低濃度。
  16. 如請求項14所述之積體電路結構,其中該些半導體通道層具有該些閘極間隔物的該摻雜劑的一較低濃度。
  17. 如請求項13所述之積體電路結構,其中該摻雜劑為一III族元素或一V族元素。
  18. 一種方法,包含: 在一基板上方形成一偽閘極結構,且在該偽閘極結構的多個相對側上形成多個閘極間隔物; 在該偽閘極結構及該些閘極間隔物之外的該基板的多個區域上磊晶生長多個源極/汲極結構; 在該些源極/汲極結構上方形成一層間介電層; 用一金屬閘極結構替換該偽閘極結構; 使該金屬閘極結構凹陷以降至低於該些閘極間隔物的多個頂端; 在該凹陷的金屬閘極結構上方形成一閘極硬遮罩; 執行一離子植入製程以對該閘極硬遮罩進行摻雜; 在執行該離子植入製程以對該閘極硬遮罩進行摻雜之後,蝕刻該層間介電層以分別在該些源極/汲極結構上方形成多個源極/汲極接觸開口;及 分別在該些源極/汲極接觸開口中形成多個源極/汲極接觸。
  19. 如請求項18所述之方法,其中該離子植入製程係以一傾斜角執行。
  20. 如請求項18所述之方法,其中該離子植入製程亦摻雜該些閘極間隔物。
TW110130587A 2021-04-22 2021-08-19 積體電路結構之製造方法 TW202242971A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/237,694 US11456182B1 (en) 2021-04-22 2021-04-22 Integrated circuit structure and fabrication thereof
US17/237,694 2021-04-22

Publications (1)

Publication Number Publication Date
TW202242971A true TW202242971A (zh) 2022-11-01

Family

ID=82862182

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110130587A TW202242971A (zh) 2021-04-22 2021-08-19 積體電路結構之製造方法

Country Status (3)

Country Link
US (2) US11456182B1 (zh)
CN (1) CN114937634A (zh)
TW (1) TW202242971A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116779611B (zh) * 2023-08-17 2023-11-28 合肥晶合集成电路股份有限公司 一种半导体结构及其制备方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9455200B2 (en) * 2014-08-11 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for semiconductor device fabrication
US10714621B2 (en) * 2016-12-14 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming doped channel thereof
US10644125B2 (en) * 2018-06-14 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gates and manufacturing methods thereof
US10692773B2 (en) * 2018-06-29 2020-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Forming nitrogen-containing low-K gate spacer

Also Published As

Publication number Publication date
CN114937634A (zh) 2022-08-23
US20220384202A1 (en) 2022-12-01
US11456182B1 (en) 2022-09-27

Similar Documents

Publication Publication Date Title
US11302825B2 (en) Self-aligned spacers for multi-gate devices and method of fabrication thereof
US11854791B2 (en) Metal source/drain features
US11652043B2 (en) Integrated circuit structure with backside via
US11158545B2 (en) Methods of forming isolation features in metal gates
US11935781B2 (en) Integrated circuit structure with backside dielectric layer having air gap
US20230343855A1 (en) Integrated circuit structure
US20230187270A1 (en) Etch profile control of gate contact opening
US11705505B2 (en) Gate spacer structure and method of forming same
TW202213539A (zh) 製造半導體裝置的方法和半導體裝置
US20230335435A1 (en) Integrated circuit structure and manufacturing method thereof
TW202213527A (zh) 半導體裝置與其製造方法
US20220384202A1 (en) Integrated circuit structure and fabrication thereof
US20230253313A1 (en) Integrated circuit structure with backside via
TWI769879B (zh) 半導體元件及其製造方法
US20220262649A1 (en) Semiconductor Device and Method
US20240047546A1 (en) Integrated circuit structure with backside via
US20230163186A1 (en) Epitaxial features in semiconductor devices and manufacturing method of the same
US20240194678A1 (en) Semiconductor device and manufacturing method thereof
TW202213632A (zh) 半導體裝置及其製造方法