TW202213527A - 半導體裝置與其製造方法 - Google Patents

半導體裝置與其製造方法 Download PDF

Info

Publication number
TW202213527A
TW202213527A TW110112431A TW110112431A TW202213527A TW 202213527 A TW202213527 A TW 202213527A TW 110112431 A TW110112431 A TW 110112431A TW 110112431 A TW110112431 A TW 110112431A TW 202213527 A TW202213527 A TW 202213527A
Authority
TW
Taiwan
Prior art keywords
layer
source
drain
etch
stop layer
Prior art date
Application number
TW110112431A
Other languages
English (en)
Other versions
TWI762265B (zh
Inventor
熊德智
張亦諄
王誼珍
涂元添
林煥哲
吳俊德
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202213527A publication Critical patent/TW202213527A/zh
Application granted granted Critical
Publication of TWI762265B publication Critical patent/TWI762265B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts

Abstract

一種方法包含:在源極/汲極區之上形成源極/汲極接觸件;在源極/汲極接觸件之上形成蝕刻停止層且在蝕刻停止層之形成一層間介電質層;執行第一蝕刻製程以形成延伸貫穿層間介電質層的通孔件開口及蝕刻停止層中的凹陷部;氧化蝕刻停止層中的凹陷部的側壁;在氧化蝕刻停止層中的凹陷部的側壁之後,執行第二蝕刻製程以使通孔件開口向下延伸至源極/汲極接觸件;及在執行第二蝕刻製程之後,在通孔件開口中形成源極/汲極通孔件。

Description

通孔件開口的蝕刻輪廓控制
積體電路(IC)材料及設計中的技術進步已產生幾代IC,其中每一代具有比前一代更小且更複雜的電路。在IC演進過程中,功能密度(即,每晶片面積的互連裝置數)通常增大,而幾何大小(即,使用製造製程可創造的最小組件(或線))減小。此按比例縮小製程通常藉由增大生產效率及降低相關聯的成本來提供益處。
以下揭露內容提供用於實現所提供標的物的不同特徵的許多不同的實施例或實例。下文描述組件及配置的特定實例以簡化本揭露。當然,此等僅為實例,且不意欲具有限制性。例如,以下描述中在第二特徵之上或第二特徵上形成第一特徵可包含其中第一特徵及第二特徵形成為直接接觸的實施例,且亦可包含其中額外特徵可形成於第一特徵與第二特徵之間以使得第一特徵及第二特徵可能不直接接觸的實施例。另外,本揭露可在各種實例中重複參考數字及/或字母。此重複係為了簡單及清楚的目的且本身並不表示所論述的各種實施例及/或組態之間的關係。
此外,為便於描述,本文可使用諸如「下方」、「之下」、「下部」、「上方」、「上部」等等空間相對術語來描述一個元件或特徵與另一(些)元件或特徵的關係,如圖中所例示。除圖中所描繪的定向之外,空間相對術語還意欲涵蓋裝置在使用或操作中的不同定向。可以其他方式來定向設備(旋轉90度或以其他定向),並且同樣地可相應地解釋本文所使用的空間相對描述詞。如本文所使用,「大約」、「約」、「近似」或「大體上」通常應意味著在給定值或範圍的20%內或10%內或5%內。本文中給出的數值係近似的,意味著若未明確說明,則可推斷術語「大約」、「約」、「近似」或「大體上」。
本揭露大體而言係關於積體電路結構及形成積體電路結構的方法,且更特定而言係關於製造電晶體(例如,鰭式場效電晶體(fin field-effect transistor,FinFET)、全環繞閘極(gate-all-around,GAA)電晶體)及電晶體的源極/汲極接觸件上的源極/汲極通孔件。亦應注意,本揭露呈現多閘極電晶體形式的實施例。多閘極電晶體包含閘極結構形成於通道區的至少兩側上的電晶體。此等多閘極裝置可包含p型金屬氧化物半導體裝置或n型金屬氧化物半導體裝置。本文中可呈現具體實例且將其稱為FinFET(由於其鰭狀結構)。FinFET具有形成於通道區的三側上(例如,環繞著半導體鰭中的通道區的上部分)的閘極結構。本文中亦呈現一種多閘極電晶體的實施例,此種多閘極電晶體被稱為GAA裝置。GAA裝置包含具有形成於通道區的4側上(例如,圍繞通道區的一部分)的閘極結構或其部分的任何裝置。本文中呈現的裝置亦包含具有置於奈米片通道、奈米線通道及/或其他適合的通道組態中的通道區的實施例。
在用於製造電晶體的前段製程(front-end-of-line,FEOL)製程完成之後,在電晶體的源極/汲極區之上形成源極/汲極接觸件。隨後在源極/汲極接觸件之上形成源極/汲極通孔件以將源極/汲極接觸件電連接至隨後形成的互連金屬線。源極/汲極通孔件的形成通常包含在源極/汲極接觸件之上沉積層間介電質(interlayer dielectric,ILD)層、藉由使用非等向性蝕刻形成延伸貫穿ILD層的通孔件開口,及隨後在通孔件開口中沉積一或多個金屬層以充當源極/汲極通孔件。為了防止在非等向性蝕刻製程期間過度蝕刻源極/汲極接觸件,在形成ILD層之前,在源極/汲極接觸件之上形成額外的蝕刻停止層(亦稱為中間接觸蝕刻停止層(middle contact etch stop layer,MCESL))。MCESL具有與ILD層不同的蝕刻選擇性,因此MCESL可減緩形成通孔件開口的蝕刻製程,其繼而防止過度蝕刻源極/汲極接觸件。
在貫穿ILD層蝕刻通孔件開口之後,執行另一蝕刻製程(有時稱為襯墊移除(liner removal,LRM)蝕刻,因為MCESL可充當給源極/汲極接觸件的頂表面加襯墊的襯墊)以貫穿MCESL。然而,LRM蝕刻可能在MCESL中造成橫向蝕刻。此係因為LRM蝕刻的蝕刻持續時間被控制以允許足夠的蝕刻量可在遍及晶圓的每個目標位置中貫穿MCESL。然而,橫向蝕刻擴大MCESL中的通孔件開口的橫向尺寸,從而在MCESL中的通孔件開口中造成彎曲輪廓,其繼而可使得造成漏電流(例如,自源極/汲極通孔件至閘極接觸件及/或閘極結構的漏電流)的風險增大。因此,本揭露在各種實施例中提供額外電漿處理以用於MCESL側壁氧化。因為MCESL側壁氧化創造的MCESL中的氧化區具有與MCESL中的未氧化區不同的材料組成,因此不同的蝕刻選擇性,所以MCESL中的氧化區允許在貫穿MCESL期間抑制或減緩橫向蝕刻,其繼而降低漏電流的風險。
第1圖至第20B圖例示根據本揭露的一些實施例的形成積體電路結構100的中間階段的立體圖及橫截面視圖。根據一些例示性實施例,形成的電晶體可包含p型電晶體(諸如p型FinFET)及n型電晶體(諸如n型FinFET)。貫穿各種視圖及說明性實施例,相似的參考數字用於表示相似的元件。應理解,在第1圖至第20B圖所示的製程之前、期間及之後,可提供額外的操作,且針對方法的額外實施例,可替換或消除下文描述的操作中的一些。操作/製程的次序可互換。
第1圖例示初始結構的立體圖。初始結構包含基板12。基板12可為半導體基板(在一些實施例中亦稱為晶圓),半導體基板可為矽基板、矽鍺基板或由其他半導體材料形成的基板。根據本揭露的一些實施例,基板12包含塊材矽基板及塊材矽基板之上的磊晶矽鍺(silicon germanium,SiGe)層或鍺層(其中沒有矽)。基板12可摻雜有p型或n型雜質。可將諸如淺溝槽隔離(shallow trench isolation,STI)區的隔離區14形成為延伸至基板12中。基板12的介於相鄰STI區14之間的部分被稱為半導體條帶102。
STI區14可包含襯墊氧化物(未圖示)。襯墊氧化物可由經由對基板12的表面層進行熱氧化而形成的熱氧化物製成。襯墊氧化物亦可為使用例如原子層沉積(Atomic Layer Deposition,ALD)、高密度電漿化學氣相沉積(High-Density Plasma Chemical Vapor Deposition,HDPCVD)或化學氣相沉積(Chemical Vapor Deposition,CVD)形成的沉積氧化矽層。STI區14亦可包含襯墊氧化物之上的介電材料,且介電材料可使用流動化學氣相沉積(flowable chemical vapor deposition,FCVD)、旋轉式塗佈或類似者形成。
參考第2圖,凹陷STI區14,使得半導體條帶102之頂部分比相鄰STI區14的頂表面突出更高,以形成突出鰭片104。可使用乾式蝕刻製程執行蝕刻,其中使用NH 3及NF 3作為蝕刻氣體。在蝕刻製程期間,可產生電漿。蝕刻製程亦可包含氬氣。根據本揭露的替代實施例,使用濕式蝕刻製程凹陷STI區14。蝕刻化學品可包含例如稀釋的HF。
在上文所例示的例示性實施例中,可藉由任何適合的方法圖案化鰭片。例如,可使用一或多種微影製程(包含雙圖案化或多圖案化製程) 圖案化鰭片。通常,雙圖案化或多圖案化製程結合微影製程與自對準製程,從而允許創造出的圖案具有較小的間距,例如小於使用單一、直接微影製程可獲得的間距。例如,在一個實施例中,在基板之上形成犧牲層且使用微影製程圖案化犧牲層。使用自對準製程在經圖案化的犧牲層旁邊形成間隔物。隨後移除犧牲層,且剩餘的間隔物或心軸隨後可用來圖案化鰭片。
突出鰭片104的材料亦可替換成不同於基板12的材料。例如,若突出鰭片104用於n型電晶體,則突出鰭片104可由Si、SiP、SiC、SiPC或諸如InP、GaAs、AlAs、InAs、InAlAs、InGaAs或類似物的三-五(III-V)族化合物半導體形成。另一方面,若突出鰭片104用於p型電晶體,則突出鰭片104可由Si、SiGe、SiGeB、Ge或諸如InSb、GaSb、InGaSb或類似物的III-V族化合物半導體形成。
參考第3A圖及第3B圖,在突出鰭片104的頂表面及側壁上形成假性閘極結構106。第3B圖例示自第3A圖中含有線B-B的垂直平面獲得的橫截面視圖。假性閘極結構106的形成包含橫跨鰭片104依序沉積閘極介電質層及假性閘電極層,然後圖案化閘極介電質層及假性閘電極層。作為圖案化的結果,假性閘極結構106包含閘極介電質層108及閘極介電質層108之上的假性閘電極110。閘極介電質層108可為任何可接受的介電質層,諸如氧化矽、氮化矽、類似物或其組合,且可使用任何可接受的製程(諸如熱氧化、旋轉製程、CVD或類似者)形成。假性閘電極110可為諸如包含多晶矽、金屬、類似物或其組合的任何可接受的電極層。閘電極層可藉由任何可接受的沉積製程(諸如CVD、電漿增強CVD (plasma enhanced CVD,PECVD)或類似者)沉積。每個假性閘極結構106中與一個或複數個突出鰭片104交叉。假性閘極結構106可具有垂直於相應突出鰭片104的縱向方向的縱向方向。
可在假性閘電極層之上形成遮罩圖案以輔助圖案化。在一些實施例中,硬遮罩圖案包含在多晶矽包覆層上的底部遮罩112及在底部遮罩112上的頂部遮罩114。硬遮罩圖案由一或多層SiO 2、SiCN、SiON、Al 2O 3、SiN或其他適合的材料製成。在一些實施例中,底部遮罩112包含氮化矽,且頂部遮罩114包含氧化矽。藉由使用遮罩圖案作為蝕刻遮罩,將假性電極層圖案化成假性閘電極110,且將包覆閘極介電質層圖案化成閘極介電質層108。
接下來,如第4圖中所例示,在假性閘極結構106的側壁上形成閘極間隔物116。在閘極間隔物形成步驟的一些實施例中,在基板12上沉積間隔物材料層。間隔物材料層可為共形層,隨後回蝕間隔物材料層以形成閘極側壁間隔物116。在一些實施例中,間隔物材料層包含多個層,諸如第一間隔物層118及形成於第一間隔物層118之上的第二間隔物層120。第一間隔物層118及第二間隔物層120各自由適合的材料形成,諸如氧化矽、氮化矽、碳化矽、氮氧化矽、SiCN、碳氧化矽、SiOCN及/或其組合。作為實例而非限制,第一間隔物層118及第二間隔物層120可藉由使用製程(諸如CVD製程、次常壓CVD (subatmospheric CVD,SACVD)製程、流動CVD製程、ALD製程、PVD製程或其他適合的製程)在假性閘極結構106之上依序沉積兩種不同的介電材料而形成。隨後在所沉積的間隔物層118及120上執行非等向性蝕刻製程以曝露鰭片104的未被假性閘極結構106覆蓋的部分(例如,在鰭片104的源極/汲極區中)。間隔物層118及120的直接在假性閘極結構106上方的部分可藉由此非等向性蝕刻製程完全移除。間隔物層118及120的在假性閘極結構106的側壁上的部分可保留,從而形成閘極側壁間隔物,為簡單起見,將其表示為閘極間隔物116。在一些實施例中,第一間隔物層118由介電常數比氮化矽低的氧化矽形成,且第二間隔物層120由對後續蝕刻製程(例如,在鰭片104中蝕刻源極/汲極凹陷部)的抗蝕刻性比氧化矽高的氮化矽形成。在一些實施例中,閘極側壁間隔物116可用於偏移隨後形成的摻雜區,諸如源極/汲極區。閘極間隔物116可進一步用於設計或修改源極/汲極區輪廓。
在閘極側壁間隔物116的形成完成之後,在鰭片104的源極/汲極區上形成源極/汲極磊晶結構122,此源極/汲極區未被假性閘極結構106及閘極側壁間隔物116覆蓋。所得結構在第5圖中例示出。在一些實施例中,源極/汲極磊晶結構122的形成包含凹陷鰭片104的源極/汲極區,然後在鰭片104的凹陷的源極/汲極區中磊晶成長半導體材料。
可使用適合的選擇性蝕刻製程凹陷鰭片104的源極/汲極區,此選擇性蝕刻製程攻擊半導體鰭片104但幾乎不攻擊閘極間隔物116及假性閘極結構106的頂部遮罩114。例如,凹陷半導體鰭片104可藉由乾式化學蝕刻,利用電漿源及蝕刻劑氣體來執行。電漿源可為電感耦合電漿(inductively coupled plasma,ICR)蝕刻、變壓器耦合電漿(transformer coupled plasma,TCP)蝕刻、電子迴旋共振(electron cyclotron resonance,ECR)蝕刻、反應離子蝕刻(reactive ion etch,RIE)或類似者,且蝕刻劑氣體可為氟、氯、溴、其組合或類似物,此蝕刻劑氣體蝕刻半導體鰭片104的蝕刻速率比其蝕刻閘極間隔物116及假性閘極結構106的頂部遮罩114的蝕刻速率快。在一些其他實施例中,凹陷半導體鰭片104可藉由濕式化學蝕刻來執行,使用化學蝕刻劑諸如過氧化銨混合物(ammonium peroxide mixture,APM)、NH 4OH、四甲基氫氧化銨(tetramethylammonium hydroxide,TMAH)、其組合或類似物,此化學蝕刻劑蝕刻半導體鰭片104的蝕刻速率比其蝕刻閘極間隔物116及假性閘極結構106的頂部遮罩114的蝕刻速率快。在一些其他實施例中,凹陷半導體鰭片104可藉由乾式化學蝕刻與濕式化學蝕刻的組合來執行。
一旦在鰭片104的源極/汲極區中創造凹陷部,就藉由使用一或多種磊晶(epitaxy/epitaxial,epi)製程在鰭片104中的源極/汲極凹陷部中形成源極/汲極磊晶結構122,磊晶製程在半導體鰭片104上提供一或多種磊晶材料。在磊晶成長製程期間,閘極間隔物116將一或多種磊晶材料侷限於鰭片104中的源極/汲極區。在一些實施例中,磊晶結構122的晶格常數不同於半導體鰭片104的晶格常數,使得在鰭片104中且介於磊晶結構122之間的通道區因磊晶結構122而發生應變或受應力,以改良半導體裝置的載子遷移率且增強裝置效能。磊晶製程包含CVD沉積技術(例如,PECVD、氣相磊晶(vapor-phase epitaxy,VPE)及/或超高真空CVD(ultra-high vacuum CVD,UHV-CVD))、分子束磊晶及/或其他適合的製程。磊晶製程可使用與半導體鰭片104的組成物相互作用的氣體及/或液體前驅物。
在一些實施例中,源極/汲極磊晶結構122可包含Ge、Si、GaAs、AlGaAs、SiGe、GaAsP、SiP或其他適合的材料。可在磊晶製程期間藉由引入摻雜物質對源極/汲極磊晶結構122進行原位摻雜,摻雜物質包含:p型摻雜劑,諸如硼或BF 2;n型摻雜劑,諸如磷或砷;及/或其他適合的摻雜劑,包含其組合。若源極/汲極磊晶結構122未經原位摻雜,則執行植入製程(即,接面植入製程)以摻雜源極/汲極磊晶結構122。在一些例示性實施例中,n型電晶體中的源極/汲極磊晶結構122包含SiP,而p型電晶體中的源極/汲極磊晶結構122包含GeSnB及/或SiGeSnB。在具有不同裝置類型的實施例中,可在n型裝置區之上形成諸如光阻劑的遮罩,同時曝露p型裝置區,且可在p型裝置區中的曝露的鰭片104上形成p型磊晶結構。隨後可移除遮罩。隨後,可在p型裝置區之上形成諸如光阻劑的遮罩,同時曝露n型裝置區,且可在n型裝置區中的曝露的鰭片104上形成n型磊晶結構。隨後可移除遮罩。
一旦形成源極/汲極磊晶結構122,就可執行退火製程以活化源極/汲極磊晶結構122中的p型摻雜劑或n型摻雜劑。退火製程可為例如快速熱退火(rapid thermal anneal,RTA)、雷射退火、毫秒熱退火(millisecond thermal annealing,MSA)製程或類似者。
接著,在第6圖中,在基板12上形成層間介電質(interlayer dielectric,ILD)層126。在一些實施例中,在形成ILD層126之前,亦形成接觸蝕刻停止層(contact etch stop layer,CESL) 124。在一些實例中,CESL 124包含具有與ILD層126不同的蝕刻選擇性的氮化矽層、氧化矽層、氮氧化矽層及/或其他適合的材料。CESL 124可藉由電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD)製程及/或其他適合的沉積或氧化製程形成。在一些實施例中,ILD層126包含具有與CESL 124不同的蝕刻選擇性的材料,諸如四乙基正矽酸鹽(tetraethylorthosilicate,TEOS)氧化物、未摻雜矽酸鹽玻璃,或摻雜氧化矽,諸如硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、熔融矽石玻璃(fused silica glass,FSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、摻硼矽玻璃(boron doped silicon glass,BSG)及/或其他適合的介電材料。ILD層126可藉由PECVD製程或其他適合的沉積技術來沉積。在一些實施例中,在形成ILD層126之後,可使晶圓經受高熱積存製程以將ILD層126退火。
在一些實例中,在形成ILD層126之後,可執行平坦化製程以移除ILD層126的過量材料。例如,平坦化製程包含化學機械平坦化(chemical mechanical planarization,CMP)製程,其移除ILD層126 (及CESL層,若存在)的覆蓋假性閘極結構106的部分。在一些實施例中,CMP製程亦移除硬遮罩層112、114 (如第5圖中所示)且曝露假性閘電極110。
接著,如第7圖中所例示,移除剩餘的假性閘極結構106,從而產生介於對應的閘極側壁間隔物116之間的閘極溝槽GT1。使用選擇性蝕刻製程(例如,選擇性乾式蝕刻、選擇性濕式蝕刻或其組合)移除假性閘極結構106,選擇性蝕刻製程蝕刻假性閘極結構106中的材料的蝕刻速率比其蝕刻其他材料(例如,閘極側壁間隔物116、CESL 124及/或ILD層126)的蝕刻速率快。
此後,在閘極溝槽GT1中分別形成替換閘極結構130,如第8圖中所例示。閘極結構130可為FinFET的最後閘極。最後閘極結構各自可為高介電常數(k)/金屬閘極堆疊,然而其他組成係可能的。在一些實施例中,每個閘極結構130形成與鰭片104所提供的通道區的三側相關聯的閘極。換言之,每個閘極結構130在三側上環繞鰭片104。在各種實施例中,高k/金屬閘極結構130包含對閘極溝槽GT1加襯墊的閘極介電質層132、形成於閘極介電質層132之上的功函數金屬層134,及形成於功函數金屬層134之上且填充閘極溝槽GT1的剩餘部分的填充金屬136。閘極介電質層132包含界面層(例如,氧化矽層)及界面層之上的高k閘極介電質層。如本文中所使用且描述的高k閘極介電質包含具有高介電常數(例如,大於熱氧化矽的介電常數(約3.9))的介電材料。高k/金屬閘極結構130內所使用的功函數金屬層134及/或填充金屬136可包含金屬、金屬合金或金屬矽化物。高k/金屬閘極結構130的形成可包含用於形成各種閘極材料、一或多個襯墊層的多個沉積製程,及用於移除過量閘極材料的一或多個CMP製程。
在一些實施例中,閘極介電質層132的界面層可包含介電材料,諸如氧化矽(SiO 2)、HfSiO或氮氧化矽(SiON)。界面層可藉由化學氧化、熱氧化、原子層沉積(atomic layer deposition,ALD)、化學氣相沉積(chemical vapordeposition,CVD)及/或適合的方法形成。閘極介電質層132的高k介電質層可包含氧化鉿(HfO 2)。或者,閘極介電質層132可包含其他高k介電質,諸如氧化鉿矽(HfSiO)、氮氧化鉿矽(HfSiON)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、氧化鉿鋯(HfZrO)、氧化鑭(LaO)、氧化鋯(ZrO)、氧化鈦(TiO)、氧化鉭(Ta 2O 5)、氧化釔(Y 2O 3)、鈦酸鍶(SrTiO 3, STO)、鈦酸鋇(BaTiO 3,BTO)、氧化鋇鋯(BaZrO)、氧化鉿鑭(HfLaO)、氧化鑭矽(LaSiO)、氧化鋁矽(AlSiO)、氧化鋁(Al 2O 3)、氮化矽(Si 3N 4)、氮氧化矽(SiON)及其組合。
功函數金屬層134可包含功函數金屬來為高k/金屬閘極結構130提供適合的功函數。對於n型FinFET,功函數金屬層134可包含一或多種n型功函數金屬(N金屬)。n型功函數金屬可例示性地包含但不限於鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、碳氮化鉭(TaCN)、鉿(Hf)、鋯(Zr)、鈦(Ti)、鉭(Ta)、鋁(Al)、金屬碳化物(例如,碳化鉿(HfC)、碳化鋯(ZrC)、碳化鈦(TiC)、碳化鋁(AlC))、鋁化物及/或其他適合的材料。另一方面,對於p型FinFET,功函數金屬層134可包含一或多種p型功函數金屬(P金屬)。p型功函數金屬可例示性地包含但不限於氮化鈦(TiN)、氮化鎢(WN)、鎢(W)、釕(Ru)、鈀(Pd)、鉑(Pt)、鈷(Co)、鎳(Ni)、導電金屬氧化物及/或其他適合的材料。
在一些實施例中,填充金屬136可例示性地包含但不限於鎢、鋁、銅、鎳、鈷、鈦、鉭、氮化鈦、氮化鉭、矽化鎳、矽化鈷、TaC、TaSiN、TaCN、TiAl、TiAlN或其他適合的材料。
隨後參考第9圖。執行回蝕製程以回蝕替換閘極結構130及閘極間隔物116,從而產生經回蝕的閘極結構130及經回蝕的閘極間隔物116之上的凹陷部R1。在一些實施例中,因為替換閘極結構130的材料具有與閘極間隔物116不同的蝕刻選擇性,所以可最初執行第一選擇性蝕刻製程以回蝕替換閘極結構130以使替換閘極結構130降低至閘極間隔物116的頂端之下。隨後,執行第二選擇性蝕刻製程以降低閘極間隔物116。因此,替換閘極結構130的頂表面可處於與閘極間隔物116的頂表面不同的水平。例如,在如第9圖中所例示的實施例中,替換閘極結構130的頂表面低於閘極間隔物116的頂表面。然而,在一些其他實施例中,替換閘極結構130的頂表面可與閘極間隔物116的頂表面齊平或高於閘極間隔物116的頂表面。此外,在一些實施例中,在回蝕替換閘極結構130及/或閘極間隔物116期間可回蝕CESL 124。在該情況下,CESL 124具有低於ILD層126的頂表面的頂端(如虛線DL1所指示)。
隨後,藉由適合的製程(諸如CVD或ALD)在替換閘極結構130的頂上分別形成金屬蓋138。在一些實施例中,使用由下而上(bottom-up)的方法在替換閘極結構130上形成金屬蓋138。例如,金屬蓋138選擇性地成長於諸如功函數金屬層134及填充金屬136的金屬表面上,且因此閘極間隔物116及CESL 124的側壁實質上沒有成長金屬蓋138。作為實例而非限制,金屬蓋138可為實質無氟鎢(fluorine-free tungsten,FFW)膜,其具有小於5原子百分比的氟污染物的量及大於3原子百分比的氯污染物的量。FFW膜及含FFW膜可使用一或多種非氟基鎢前驅物(諸如但不限於五氯化鎢(WCl 5)、六氯化鎢(WCl 6)),藉由ALD或CVD形成。在一些實施例中,金屬蓋138的部分可在閘極介電質層132之上延伸,使得金屬蓋138亦可覆蓋閘極介電質層132的曝露表面。因為金屬蓋138係以由下而上的方式形成,所以其形成可藉由例如減少重複的回蝕製程進行簡化,重複的回蝕製程用於移除由共形成長產生的不需要的金屬材料。
在金屬蓋138係使用由下而上的方法形成的一些實施例中,與介電表面(即,閘極間隔物116及/或CESL 124中的介電質)相比,金屬蓋138的成長在金屬表面(即,閘極結構130中的金屬)上具有不同的成核延遲。金屬表面上的成核延遲比介電表面上短。因此,成核延遲差異允許金屬表面上的選擇性成長。本揭露在各種實施例中利用此種選擇性來允許自閘極結構130的金屬成長而抑制自間隔物116及/或CESL 124的金屬成長。因此,金屬蓋138在閘極結構130上的沉積速率比在間隔物116及CESL 124上快。在一些實施例中,所得金屬蓋138具有低於經回蝕的閘極間隔物116的頂表面的頂表面。然而,在一些實施例中,金屬蓋138的頂表面可與經回蝕的閘極間隔物116的頂表面齊平或高於經回蝕的閘極間隔物116的頂表面。
接下來,在基板105之上沉積介電質蓋層140,直至超填凹陷部R1為止,如第10圖中所例示。介電質蓋層140包含SiN、SiC、SiCN、SiON、SiCON、其組合或類似物,且藉由適合的沉積技術(諸如CVD、電漿增強CVD (plasma enhanced CVD,PECVD)、ALD、遠距電漿ALD (remote plasma ALD,RPALD)、電漿增強ALD (plasma-enhanced ALD,PEALD)、其組合或類似者)形成。隨後執行CMP製程以移除凹陷部R1外部的蓋層,留下介電質蓋層140的在凹陷部R1中的部分以充當閘極介電質蓋142。所得結構在第11圖中例示出。
參考第12圖,形成延伸貫穿CESL 124及ILD層126的源極/汲極接觸件144。作為實例而非限制,源極/汲極接觸件144的形成包含執行一或多個蝕刻製程以形成延伸貫穿ILD層126及CESL 124的接觸件開口以曝露源極/汲極磊晶結構122、沉積一或多種金屬材料超填接觸件開口,及隨後執行CMP製程以移除接觸件開口外部的過量金屬材料。在一些實施例中,一或多個蝕刻製程係選擇性蝕刻,其蝕刻ILD層126及CESL 124的蝕刻速率比蝕刻介電質蓋142及閘極間隔物116的蝕刻速率快。因此,使用介電質蓋142及閘極間隔物116作為蝕刻遮罩來執行選擇性蝕刻,使得接觸件開口及源極/汲極接觸件144形成為與源極/汲極磊晶結構122自對準而無需使用額外的微影製程。在該情況下,允許以自對準的方式形成源極/汲極接觸件144的介電質蓋142可被稱為自對準接觸件(self-aligned-contact,SAC) 介電質蓋142。
在第13圖中,一旦已形成自對準源極/汲極接觸件144,就隨後在源極/汲極接觸件144及SAC介電質蓋142之上形成中間接觸蝕刻停止層(middle contact etch stop layer,MCESL) 146。MCESL 146可藉由PECVD製程及/或其他適合的沉積製程形成。在一些實施例中,MCESL 146係具有與隨後形成的ILD層(如第14圖中所例示)不同的蝕刻選擇性的氮化矽層及/或其他適合的材料。
參考第14圖,在MCESL 146之上形成另一ILD層148。在一些實施例中,ILD層148包含具有與CESL 124不同的蝕刻選擇性的材料,諸如四乙基正矽酸鹽(tetraethylorthosilicate,TEOS)氧化物、未摻雜矽酸鹽玻璃,或摻雜氧化矽,諸如硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、熔融矽石玻璃(fused silica glass,FSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、摻硼矽玻璃(boron doped silicon glass,BSG)及/或其他適合的介電材料。在某些實施例中,ILD層148由氧化矽(SiO x)形成。ILD層148可藉由PECVD製程或其他適合的沉積技術來沉積。
參考第15A圖,藉由使用第一蝕刻製程(亦稱為通孔件蝕刻製程) ET1圖案化ILD層148以形成延伸貫穿ILD層148的通孔件開口O2。通孔件蝕刻製程ET1的蝕刻持續時間被控制以允許移除MCESL 146的部分但是不穿透MCESL 146。作為此通孔件蝕刻製程ET1的結果,在對應的通孔件開口O2之下形成凹陷部R2,凹陷部R2在MCESL 146中延伸但是不貫穿MCESL 146的完整厚度。凹陷部R2的形成允許在後續製程中氧化MCESL 146的側壁,其繼而將在後續LRM蝕刻中抑制或減緩橫向蝕刻,如下文將更詳細地描述。在一些實施例中,凹陷部R2的深度D2與MCESL 146的厚度T2的比在約2:9至約7:9的範圍內,例如約5:9。若凹陷部深度D2與MCESL厚度T2的比過小,則在後續處理中形成的氧化側壁可能太小而無法抑制後續LRM蝕刻製程中的橫向蝕刻。若凹陷部深度D2與MCESL厚度T2的比過大,則MCESL 146及底下的源極/汲極接觸件144可能被過度蝕刻。
在一些實施例中,在通孔件蝕刻製程ET1之前,執行微影製程以界定通孔件開口O2的預期俯視圖圖案。例如,微影製程可包含在如第14圖中所例示的ILD層148之上旋轉塗佈光阻層、執行曝光後烘烤製程及顯影光阻層以形成圖案化遮罩,此圖案化遮罩具有通孔件開口O2的俯視圖圖案。在一些實施例中,圖案化光阻以形成圖案化遮罩可使用電子束(electron beam,e-beam)微影製程或極紫外光(extreme ultraviolet,EUV)微影製程來執行。
在一些實施例中,通孔件蝕刻製程ET1係非等向性蝕刻製程,諸如電漿蝕刻。以電漿蝕刻為例,將具有第14圖中所例示的結構的半導體基板12裝載至電漿工具中且曝露於電漿環境中,此電漿環境由射頻(RF)或微波功率在含氟氣體(諸如C 4F 8、C 5F 8、C 4F 6、CHF 3或類似物質)、惰性氣體(諸如氬氣或氦氣)、可選的弱氧化劑(諸如O 2或CO或類似物質)的氣體混合物中產生,持續時間足以蝕刻貫穿ILD層148且凹陷MCESL 146的在通孔件開口O2的底部處的曝露部分。在包含C 4F 6、CF 4、CHF 3、O 2及氬氣的氣體混合物中產生的電漿可用於蝕刻貫穿ILD層148且凹陷MCESL 146的在通孔件開口O2的底部處的曝露部分。電漿蝕刻環境具有介於約10毫托與100毫托之間的壓力且電漿係由介於約50瓦特與1000瓦特之間的RF功率產生。
在一些實施例中,以這種方式選擇通孔件蝕刻製程ET1的前述蝕刻劑及蝕刻條件,以使得MCESL 146 (例如SiN)展現出比ILD層148 (例如SiO x)慢的蝕刻速率。以此方式,MCESL 146可充當可偵測的蝕刻終點,其繼而防止過度蝕刻且因此防止穿透或貫穿MCESL 146。換言之,通孔件蝕刻製程ET1經調整成蝕刻氧化矽的蝕刻速率比蝕刻氮化矽的蝕刻速率快。已觀察到,當蝕刻電漿由含有氫(H 2)氣的氣體混合物產生時,氮化矽的蝕刻速率增大。因此,根據本揭露的一些實施例,使用無氫氣體混合物執行通孔件蝕刻製程ET1,以用於抑制氮化矽蝕刻速率。換言之,通孔件蝕刻製程ET1中的電漿係在沒有氫(H 2)氣的氣體混合物中產生。以此方式,氮化矽的蝕刻速率在通孔件蝕刻製程ET1中保持為低,其繼而允許蝕刻氧化矽(即,ILD材料)的蝕刻速率比蝕刻氮化矽(即,MCESL材料)的蝕刻速率快。
在如第15A圖中所描繪的一些實施例中,由於非等向性蝕刻的性質,通孔件開口O2具有錐形側壁輪廓。然而,在一些其他實施例中,蝕刻條件可經微調以允許通孔件開口O2具有垂直側壁輪廓,如第15B圖中所例示。
在通孔件蝕刻製程ET1完成之後,在含氧環境中處理MCESL層146的曝露部分,使得MCESL 146的曝露部分的表面層經氧化,以在MCESL 146中形成氧化區1461,而MCESL 146的剩餘區1462保持未氧化的狀態。所得結構在第16A圖或第16B圖中例示出。處理步驟可包含O 2電漿處理,其中將含氧氣體引入至製程室中,在製程室中由含氧氣體產生電漿。作為實例而非限制,將具有第15A圖或第15B圖中所例示的結構的半導體基板12裝載至電漿工具中且曝露於由氧(O 2)氣或氧與氬氣、氦氣、氖氣、氪氣、氮氣、一氧化碳氣體、二氧化碳氣體、C xH yF z(其中x、y及z大於零且不大於九)氣體、三氟化氮氣體、硫化羰(COS)氣體、二氧化硫氣體中的一或多者的氣體混合物產生的電漿環境。電漿處理環境具有介於約10毫托與100毫托之間的壓力且電漿係由介於約50瓦特與1000瓦特之間的RF功率產生。
作為O 2電漿處理的結果,氧化發生在MCESL 146中的凹陷部R2的底表面及側壁中,從而產生氧化區1461,氧化區1461具有氧化底部分1461b及氧化側壁部分1461s,氧化側壁部分1461s自氧化底部分1461b向上延伸且橫向圍繞氧化底部分1461b。
在一些實施例中,氧化底部分1461b及氧化側壁部分1461s具有相同的厚度(例如,在約1 nm至約3 nm的範圍內)。在一些其他實施例中,氧化側壁部分1461s具有比氧化底部分1461b厚的厚度。例如,氧化側壁部分1461s與氧化底部分1461b的厚度比可大於約1:1、2:1、3:1、4:1或5:1。更厚的氧化側壁部分1461s允許更高的對後續LRM蝕刻的抗蝕刻性。更薄的氧化底部分1461b允許縮短LRM蝕刻持續時間,因為氧化底部分1461b將在LRM蝕刻中被移除。在一些實施例中,氧化側壁部分1461s具有自下而上的厚度梯度。例如,氧化側壁部分1461s可在頂部更厚且在底部更薄。作為實例而非限制,可使用O 2電漿處理的RF功率及/或偏壓功率來控制氧化側壁部分1461s及氧化底部分1461b的厚度。
在通孔件開口O2形成有錐形側壁輪廓的一些實施例中,氧化側壁部分1461s以鈍角自氧化底部分1461b延伸,如第16A圖中所例示。在通孔件開口O2形成有垂直側壁輪廓的一些實施例中,氧化側壁部分1461s以垂直角度自氧化底部分1461b延伸,如第16B圖中所例示。
在MCESL 146由SiN製成的一些實施例中,O 2電漿處理產生在MCESL 146中且在通孔件開口O2之下的氧化氮化物區(氮氧化矽(SiO xN y))1461及氧化氮化物區1461的下方的杯狀未氧化氮化物區1462。氧化氮化物區1461可與未氧化氮化物區1462形成可分辨的界面,因為它們具有不同的材料組成(例如,氧化氮化物區1461具有比未氧化氮化物區1462高的氧原子百分比及/或氧氮原子比)。
在一些實施例中,由於電漿處理,氧化氮化物區1461可具有氧濃度梯度。例如,氧化氮化物區1461中的氧原子百分比可隨著離凹陷部R2的表面的距離增大而減小。更詳細地,氧化側壁部分1461s具有隨著離凹陷部R2的側壁的距離增大而減小的氧原子百分比,且氧化底部分1461b具有隨著離凹陷部R2的底表面的距離增大而減小的氧原子百分比。在MCESL 146係氮化矽的一些實施例中,氧化區中的氧氮原子比可隨著離凹陷部R2的表面的距離增大而減小。更詳細地,氧化側壁部分1461s具有隨著離凹陷部R2的側壁的距離增大而減小的氧氮原子比,且氧化底部分1461b具有隨著離凹陷部R2的底表面的距離增大而減小的氧氮原子比。
第17圖例示根據本揭露的一些實施例的第二蝕刻製程(亦稱為LRM蝕刻製程)ET2的初始階段的橫截面視圖,第18圖例示根據本揭露的一些實施例的LRM蝕刻製程ET2的後續階段的橫截面視圖,且第19A圖例示根據本揭露的一些實施例的LRM蝕刻製程ET2的最後階段的橫截面視圖。LRM蝕刻製程ET2的蝕刻持續時間被控制以貫穿(或稱為穿透)MCESL 146,因此使通孔件開口O2向下加深或延伸至源極/汲極接觸件144。作為LRM蝕刻製程ET2的結果,在加深的通孔件開口O2的底部處曝露源極/汲極接觸件144。
在一些實施例中,LRM蝕刻製程ET2係使用與通孔件蝕刻製程ET1不同的蝕刻劑及/或蝕刻條件的非等向性蝕刻製程,諸如電漿蝕刻(例如,電感耦合電漿(inductively coupled plasma,ICP)、電容耦合電漿(capacitively coupled plasma,CCP)等)。以此種方式選擇LRM蝕刻製程ET2的蝕刻劑及/或蝕刻條件,以使得氧化區1461展現出比未氧化區1462慢的蝕刻速率。換言之,氧化區1461在LRM蝕刻製程ET2中具有比未氧化區1462高的抗蝕刻性。以此方式,MCESL 146在LRM蝕刻製程ET2期間可抑制或減緩MCESL 146中的橫向蝕刻。以電漿蝕刻為例,將具有第16A圖中所例示的結構的半導體基板12裝載至電漿工具中且曝露於電漿環境,此電漿環境由RF或微波功率在含氟氣體(例如,CHF 3、CF 4、C 2F 2、C 4F 6、C xH yF z(x、y、z大於零且不大於九)或類似物質)、含氫氣體(例如,H 2)、惰性氣體(例如,氬氣或氦氣)的氣體混合物中產生,持續時間足以蝕刻貫穿氧化底部分1461b及MCESL 146的底部未氧化區1462。電漿蝕刻環境具有介於約10毫托與100毫托之間的壓力且電漿係由介於約50瓦特與1000瓦特之間的RF功率產生。
由含氫氣體混合物產生的電漿蝕刻氮化矽的蝕刻速率可比蝕刻氮氧化矽的蝕刻速率快,且因此使用含氫氣體混合物的LRM蝕刻製程ET2蝕刻氧化區1461的蝕刻速率可比蝕刻未氧化區1462的蝕刻速率慢。以此方式,氧化側壁部分1461s可在LRM蝕刻製程ET2期間抑制或減緩橫向蝕刻。在一些實施例中,LRM蝕刻製程ET2使用三氟甲烷(CHF 3)氣體與H 2氣體的氣體混合物,其中CHF 3氣體與H 2氣體的流動速率比為約1:1至約1:100。在一些實施例中,LRM蝕刻製程ET2使用四氟甲烷(CF 4)氣體與H 2氣體的氣體混合物,其中CF 4氣體與H 2氣體的流動速率比為約1:1至約1:100。過高的H 2氣體流動速率可能使得蝕刻貫穿MCESL 146的未氧化區1462時的蝕刻速率過快,其繼而可引起未氧化區1462中的不可忽略的彎曲輪廓。過低的H 2氣體流動速率可能使得未氧化區1462與氧化側壁部分1461s之間的蝕刻選擇性不足。
在如第17圖中所例示的LRM蝕刻製程ET2的初始階段,電漿蝕刻劑以第一垂直蝕刻速率A1蝕刻氧化底部分1461b且以橫向蝕刻速率A2蝕刻氧化側壁部分1461s。由於非等向性蝕刻機制,氧化側壁部分1461s的橫向蝕刻速率A2比氧化底部分1461b的第一垂直蝕刻速率A1慢。在如第18圖中所例示的LRM蝕刻製程ET2的後續階段,一旦LRM蝕刻製程ET2蝕刻貫穿氧化底部分1461b,MCESL 146的未氧化區1462就會曝露。隨後電漿蝕刻劑以比第一垂直蝕刻速率A1快的第二垂直蝕刻速率A3蝕刻未氧化區1462,但是仍然以比第二垂直蝕刻速率A3慢得多的橫向蝕刻速率A2蝕刻氧化側壁部分1461s。因此,氧化側壁部分146b在貫穿未氧化區1462期間抑制或減緩橫向蝕刻MCESL 146,從而導致通孔件開口O2中沒有彎曲輪廓或彎曲輪廓可忽略,如第19A圖中所例示。
在第19A圖中,通孔件開口O2的側壁O20直線地延伸貫穿ILD層148的完整厚度及MCESL 146的完整厚度,且沒有發生彎曲或彎曲可忽略。更詳細地,ILD層148具有界定通孔件開口O2的上部分的直線狀側壁O21,且MCESL 146亦具有界定通孔件開口O2的下部分的直線狀側壁O22,且直線狀側壁O21及O22彼此對準。在一些實施例中,MCESL 146的直線狀側壁O22具有自ILD層148的直線狀側壁O21向下延伸的氧化側壁部分1461s的側壁,及自氧化側壁部分1461s的側壁向下延伸的未氧化區1462的側壁。在如第19A圖中所描繪的一些實施例中,未氧化區1462的側壁對準氧化側壁部分1461s的側壁。然而,在一些其他實施例中,未氧化區1462的側壁可自氧化側壁部分1461s的側壁略微橫向後縮(如虛線DL2所指示),因為LRM蝕刻ET2可能在未氧化區1462中造成的橫向蝕刻比在氧化側壁部分1461s中多。即使在此場景中,與沒有形成氧化側壁部分1461s的情況相比,通孔件開口O2仍然具有減輕的彎曲缺陷,因為彎曲輪廓侷限於未氧化區1462。
在如第19A圖中所描繪的一些實施例中,由於LRM蝕刻製程ET2的非等向性蝕刻的性質,通孔件開口O2具有錐形側壁輪廓。然而,在一些其他實施例中,LRM蝕刻製程ET2及/或先前通孔件蝕刻製程ET1的蝕刻條件可經微調以允許通孔件開口O2具有垂直側壁輪廓,如第19B圖中所例示。
參考第20A圖,在通孔件開口O2中形成源極/汲極通孔件150以實體連接及電性連接源極/汲極接觸件144。作為實例而非限制,使用以下方式形成源極/汲極通孔件150:沉積一或多種金屬材料超填通孔件開口O2,然後進行CMP製程以移除通孔件開口O2外部的過量金屬材料。作為CMP製程的結果,源極/汲極通孔件150具有與ILD層148實質上共平面的頂表面。源極/汲極通孔件150可包含諸如銅、鋁、鎢、其組合或類似物的金屬材料,且可使用PVD、CVD、ALD或類似者形成。在一些實施例中,源極/汲極通孔件150可更包含一或多個屏障/黏合層(未圖示)以保護ILD層148及/或MCESL 146免於金屬擴散(例如,銅擴散)。一或多個屏障/黏合層可包含鈦、鉭、氮化鈦、氮化鉭或類似物,且可使用PVD、CVD、ALD或類似者形成。
源極/汲極通孔件150繼承實質上無彎曲的通孔件開口O2的幾何形狀,且因此源極/汲極通孔件150亦實質上無彎曲。換言之,源極/汲極通孔件150的側壁直線地延伸貫穿ILD層148的完整厚度及MCESL 146的完整厚度,且不存在彎曲或彎曲可忽略。更詳細地,源極/汲極通孔件150與ILD層148形成第一直線狀界面1501且與MCESL 146形成第二直線狀界面1502。第二直線狀界面1502自第一直線狀界面1501向下延伸,且在如第20A圖中所描繪的一些實施例中,直線狀界面1501及1502彼此對準。在一些實施例中,第二界面1502包含形成於源極/汲極通孔件150與氧化側壁部分1461s之間的上部界面1503及形成於源極/汲極通孔件150與未氧化區1462之間的下部界面1504。下部界面1504自上部界面1503向下延伸。在如第20A圖中所描繪的一些實施例中,下部界面1504對準上部界面1503。然而,在一些其他實施例中,下部界面1504可自上部界面1503略微橫向後縮(如虛線DL3所指示),因為在先前製程中,LRM蝕刻ET2可能在未氧化區1462中造成的橫向蝕刻比在氧化側壁部分1461s中多。即使在此場景中,與沒有形成氧化側壁部分1461s的情況相比,源極/汲極通孔件150仍然具有減輕的彎曲缺陷,因為彎曲輪廓侷限於源極/汲極通孔件150與未氧化區1462之間的下部界面1504。
在如第20A圖中所描繪的一些實施例中,由於LRM蝕刻製程ET2的非等向性蝕刻的性質,源極/汲極通孔件150具有錐形側壁輪廓。然而,在一些實施例中,LRM蝕刻製程ET2的蝕刻條件可經微調以允許通孔件開口O2與源極/汲極通孔件150具有垂直側壁輪廓,如第20B圖中所例示。
第21圖至第26圖例示根據本揭露的一些其他實施例的用於製造積體電路結構100a的各種階段的例示性橫截面視圖。應理解,在第21圖至第26圖所示的製程之前、期間及之後,可提供額外的操作,且針對方法的額外實施例,可替換或消除一些下文描述的操作。操作/製程的次序可互換。在以下實施例中可採用第1圖至第20B圖所描述的相同或類似的組態、材料、製程及/或操作,且可省略詳細闡釋。
在形成如第14圖所示的結構之後,圖案化ILD層148以形成向下延伸貫穿ILD層148、MCESL 146及介電質蓋142、到達金屬蓋138的閘極接觸件開口O3。所得結構在第21圖中例示出。可藉由使用適合的微影及蝕刻技術圖案化ILD層148。
接下來,如第22圖中所例示,在基板12之上形成圖案化遮罩層M4以填充閘極接觸件開口O3。圖案化遮罩層M4具有直接在源極/汲極接觸件144上方的開口O4。在一些實施例中,圖案化遮罩層M4可為藉由適合的微影製程形成的光阻遮罩。例如,微影製程可包含在如第21圖中所例示的結構之上旋轉塗佈光阻層、執行曝光後烘烤製程,及顯影光阻層以形成圖案化遮罩層M4。在一些實施例中,圖案化光阻以形成圖案化遮罩元件可使用電子束(electron beam,e-beam)微影製程或極紫外光(extreme ultraviolet,EUV)微影製程來執行。
參考第23圖,在圖案化遮罩層M4仍在原位的情況下,執行通孔件蝕刻製程ET3以形成延伸貫穿ILD層148的通孔件開口O5。通孔件蝕刻製程ET3的蝕刻持續時間被控制以移除MCESL 146的一部分但是不穿透MCESL 146。作為此通孔件蝕刻製程ET3的結果,在通孔件開口O5之下形成凹陷部R5,凹陷部R5在MCESL 146中延伸但是不貫穿MCESL 146的完整厚度。凹陷部R5的形成允許在後續製程中氧化MCESL 146的側壁,其繼而將在後續LRM蝕刻中抑制或減緩橫向蝕刻。關於通孔件蝕刻製程ET3的製程細節先前已參考通孔件蝕刻製程ET1進行論述,且因此本文中為簡潔起見不重複該些細節。
在一些實施例中,凹陷部R5的深度D5與MCESL 146的厚度T2的比在約2:9至約7:9的範圍內,例如5:9。若凹陷部深度D5與MCESL厚度T2的比過小,則在後續處理中形成的氧化側壁可能太小而無法抑制後續LRM蝕刻製程中的橫向蝕刻。若凹陷部深度D5與MCESL厚度T2的比過大,則MCESL 146及底下的源極/汲極接觸件144可能被過度蝕刻。
在通孔件蝕刻製程ET3完成之後,在含氧環境中處理MCESL層146的曝露部分,使得MCESL 146的曝露部分的表面層經氧化以在MCESL 146中形成氧化區1463,而MCESL 146的剩餘區1462保持未氧化的狀態。所得結構在第24圖中例示出。處理步驟可包含O 2電漿處理,其中將含氧氣體引入至製程室中,在製程室中由含氧氣體產生電漿。關於O 2電漿處理的製程細節先前已參考第16A圖進行論述,且因此本文中為簡潔起見不重複該些細節。
作為O 2電漿處理的結果,氧化發生在MCESL 146中的凹陷部R5的底表面及側壁中,從而產生氧化區1463,氧化區1463具有氧化底部分1463b及氧化側壁部分1463s,氧化側壁部分1463s自氧化底部分1463b向上延伸。如第24圖的橫截面視圖中所例示,氧化側壁部分1463s在氧化底部分1463b的第一側(例如,圖式中的左側)上,但是不在氧化底部分1463b的第二側(例如,圖式中的右側)上,因為氧化底部分1463b的第二側鄰接圖案化遮罩層M4。
在一些實施例中,氧化底部分1463b及氧化側壁部分1463s具有相同的厚度。在一些其他實施例中,氧化側壁部分1463s具有比氧化底部分1463b厚的厚度。更厚的氧化側壁部分1463s允許更高的對後續LRM蝕刻的抗蝕刻性。更薄的氧化底部分1463b允許縮短LRM蝕刻持續時間。在一些實施例中,氧化側壁部分1463s具有自下而上的厚度梯度。例如,氧化側壁部分1463s可在頂部更厚且在底部更薄。
在一些實施例中,由於電漿處理,氮化物的氧化區1463可具有氧濃度梯度。例如,氧化區1463中的氧原子百分比可隨著離凹陷部R5的表面的距離增大而減小。更詳細地,氧化側壁部分1463s具有隨著離凹陷部R5的側壁的距離增大而減小的氧原子百分比,且氧化底部分1463b具有隨著離凹陷部R5的底表面的距離增大而減小的氧原子百分比。在MCESL 146係氮化矽的一些實施例中,氧化區中的氧氮原子比可隨著離凹陷部R5的表面的距離增大而減小。更詳細地,氧化側壁部分1463s具有隨著離凹陷部R5的側壁的距離增大而減小的氧氮原子比,且氧化底部分1463b具有隨著離凹陷部R5的底表面的距離增大而減小的氧氮原子比。
在一些實施例中,O 2電漿處理可在圖案化遮罩層M4上造成電漿灰,其繼而可修整圖案化遮罩層M4。因此,O 2電漿處理條件(例如,處理持續時間、RF功率、壓力等)被控制以允許形成MCESL 146中的氧化區1463,且圖案化遮罩層M4中的損耗可忽略。可忽略的損耗意味著圖案化遮罩層M4中的開口O4的大小變化小於約10%。
參考第25圖,執行LRM蝕刻製程ET4以貫穿MCESL 146,因此使通孔件開口O5向下加深至源極/汲極接觸件144及介電質蓋142的介於源極/汲極接觸件144與圖案化遮罩層M4之間的部分。作為LRM蝕刻製程ET4的結果,在加深的通孔件開口O5的底部處曝露源極/汲極接觸件144及介電質蓋142。關於LRM蝕刻製程ET4的製程細節先前已參考LRM蝕刻製程ET2進行論述,且因此本文中為簡潔起見不重複該些細節。
因為氧化側壁部分1463s在LRM蝕刻製程ET4期間抑制或減緩橫向蝕刻,所以通孔件開口O5的側壁O50直線地延伸貫穿ILD層148的完整厚度及MCESL 146的完整厚度,且沒有發生彎曲或彎曲可忽略。更詳細地,ILD層148具有界定通孔件開口O5的上部分的直線狀側壁O51,且MCESL 146亦具有界定通孔件開口O5的下部分的直線狀側壁O52,且直線狀側壁O51及O52彼此對準。在一些實施例中,MCESL 146的直線狀側壁O52具有自ILD層148的直線狀側壁O51向下延伸的氧化側壁部分1463s的側壁,及自氧化側壁部分1463s的側壁向下延伸的未氧化區1462的側壁。在如第25圖中所描繪的一些實施例中,未氧化區1462的側壁對準氧化側壁部分1463s的側壁。然而,在一些其他實施例中,未氧化區1462的側壁可自氧化側壁部分1463s的側壁略微橫向後縮(如虛線DL4所指示),因為LRM蝕刻製程ET4可能在未氧化區1462中造成的橫向蝕刻比在氧化側壁部分1463s中多。即使在此場景中,與沒有形成氧化側壁部分1463s的情況相比,通孔件開口O5仍然具有減輕的彎曲缺陷,因為彎曲輪廓侷限於氧化側壁部分1463s之下。
在LRM蝕刻製程ET4完成之後,藉由灰化及/或濕式剝除自閘極接觸件開口O3移除圖案化遮罩層M4,且隨後形成對接的接觸件152以填充通孔件開口O5及閘極接觸件開口O3兩者。所得結構在第26圖中例示出。閘極結構130經由源極/汲極接觸件144、對接的接觸件152及金屬蓋138電耦接至源極/汲極磊晶結構122。關於對接的接觸件152的材料及製造製程細節類似於關於源極/汲極通孔件150的材料及製造製程細節,且因此本文中為簡潔起見不重複該些細節。
第27圖至第45B圖例示根據本揭露的一些實施例的形成積體電路結構200中的中間階段的立體圖及橫截面視圖。根據本揭露的一些例示性實施例,形成的電晶體可包含p型電晶體(諸如p型GAA FET)及n型電晶體(諸如n型FAA FET)。貫穿各種視圖及說明性實施例,相似的參考數字用於表示相似的元件。應理解,在第27圖至第45B圖所示的製程之前、期間及之後,可提供額外的操作,且針對方法的額外實施例,可替換或消除下文描述的操作中的一些。操作/製程的次序可互換。
第27圖、第28圖、第29圖、第30A圖、第31A圖、第32A圖及第33A圖係積體電路結構200的一些實施例在製造期間的中間階段的立體圖。第30B圖、第31B圖、第32B圖、第33B圖、第34圖至第36圖、第37A圖、第38圖至第45B圖係積體電路結構200的一些實施例在製造期間的中間階段沿著第一切線(例如,第30A圖中的切線X-X)的橫截面視圖,第一切線沿著通道的縱向方向且垂直於基板的頂表面。第37B圖係積體電路結構200的一些實施例在製造期間的中間階段沿著第二切線(例如,第30A圖中的切線Y-Y)的橫截面視圖,第二切線在閘極區中且垂直於通道的縱向方向。
參考第27圖,在基板210之上形成磊晶堆疊220。在一些實施例中,基板210可包含矽(Si)。或者,基板210可包含鍺(Ge)、鍺化矽(SiGe)、III-V族材料(例如,GaAs、GaP、GaAsP、AlInAs、AlGaAs、GaInAs、InAs、GaInP、InP、InSb及/或GaInAsP;或其組合)或其他適當的半導體材料。在一些實施例中,基板210可包含絕緣體上半導體(semiconductor-on-insulator,SOI),例如埋入式介電質層。亦或者,基板210可包含埋入式介電質層,諸如埋入式氧化物(buried oxide,BOX)層,諸如藉由被稱為氧植入分離(separation by implantation of oxygen,SIMOX)的技術的方法、晶圓接合、SEG或另一種適當的方法形成的BOX層。
磊晶堆疊220包含具有第一組成的磊晶層222,磊晶層222之間插入具有第二組成的磊晶層224。第一組成及第二組成可為不同的。在一些實施例中,磊晶層222係SiGe且磊晶層224係矽(Si)。然而,其他實施例係可能的,包含那些提供具有不同氧化速率及/或蝕刻選擇性的第一組成及第二組成的實施例。在一些實施例中,磊晶層222包含SiGe且其中磊晶層224包含Si,磊晶層224的Si氧化速率小於磊晶層222的SiGe氧化速率。
磊晶層224或其部分可形成多閘極電晶體的奈米片通道。術語奈米片在本文中用於表示具有奈米尺度或甚至微米尺度尺寸且具有延長形狀的任何材料部分,不管此部分的橫截面形狀如何。因此,此術語表示圓形及實質上圓形橫截面的延長材料部分,以及包含例如圓柱形形狀或實質上矩形橫截面的梁及條形材料部分兩者。下文進一步論述使用磊晶層224來界定裝置的一或多個通道。
應注意,交替地配置三層磊晶層222及三層磊晶層224,如第27圖中所例示,其僅出於說明性目的且不意欲限制申請專利範圍中具體敘述的內容。可瞭解,任何數目個磊晶層可形成於磊晶堆疊220中;層的數目取決於電晶體的所需通道區數目。在一些實施例中,磊晶層224的數目介於2與10之間。
如下文更詳細地描述,磊晶層224可充當後續形成的多閘極裝置的通道區,且基於裝置效能考量來選擇厚度。通道區中的磊晶層222最終可被移除且用來界定後續形成的多閘極裝置的相鄰通道區之間的垂直距離,且基於裝置效能考量來選擇厚度。因此,磊晶層222亦可被稱為犧牲層,且磊晶層224亦可被稱為通道層。
作為實例,磊晶堆疊220的層的磊晶成長可藉由分子束磊晶(molecular beam epitaxy,MBE)製程、金屬有機化學氣相沉積(metalorganic chemical vapor deposition,MOCVD)製程及/或其他適合的磊晶成長製程執行。在一些實施例中,諸如磊晶層224的磊晶成長層包含與基板210相同的材料。在一些實施例中,磊晶層222及224包含與基板210不同的材料。如上文所述,在至少一些實例中,磊晶層222包含磊晶成長的矽鍺(SiGe)層且磊晶層224包含磊晶成長的矽(Si)層。或者,在一些實施例中,磊晶層222及224中的任一者可包含其他材料,諸如鍺、化合物半導體(諸如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦)、合金半導體(諸如SiGe、GaAsP、AlInAs、AlGaAs、InGaAs、GaInP及/或GaInAsP)或其組合。如所論述,可基於提供不同的氧化及/或蝕刻選擇性性質來選擇磊晶層222及224的材料。在一些實施例中,磊晶層222及224實質上無摻雜劑(即,具有約0/cm 3至約1×10 18/ cm 3的外來摻雜劑濃度),其中例如在磊晶成長製程期間不執行有意圖的摻雜。
參考第28圖,形成自基板210延伸的複數個半導體鰭片230。在各種實施例中,每個鰭片230包含由基板210形成的基板部分212及磊晶堆疊的磊晶層中的每一者的一部分,磊晶堆疊包含磊晶層222及224。可使用適合的製程(包含雙圖案化或多圖案化製程)製造鰭片230。通常,雙圖案化或多圖案化製程結合微影製程與自對準製程,從而允許創造出的圖案具有較小的間距,例如小於使用單一、直接微影製程可獲得的間距。例如,在一個實施例中,在基板之上形成犧牲層且使用微影製程圖案化犧牲層。使用自對準製程在經圖案化的犧牲層旁邊形成間隔物。隨後移除犧牲層,且剩餘的間隔物或心軸隨後可用於藉由蝕刻初始磊晶堆疊220來圖案化鰭片230。蝕刻製程可包含乾式蝕刻、濕式蝕刻、反應離子蝕刻(reactive ion etching,RIE)及/或其他適合的製程。
在如第27圖及第28圖中所例示的所例示實施例中,在圖案化鰭片230之前,在磊晶堆疊220之上形成硬遮罩(hard mask,HM)層910。在一些實施例中,HM層包含氧化物層912(例如,可包含SiO 2的襯墊氧化物層)及在氧化物層之上形成的氮化物層914(例如,可包含Si 3N 4的襯墊氮化物層)。氧化物層912可充當磊晶堆疊220與氮化物層914之間的黏合層且可充當用於蝕刻氮化物層914的蝕刻停止層。在一些實例中,HM氧化物層912包含熱成長的氧化物、利用化學氣相沉積(chemical vapor deposition,CVD)沉積的氧化物及/或利用原子層沉積(atomic layer deposition,ALD)沉積的氧化物。在一些實施例中,藉由CVD及/或其他適合的技術在HM氧化物層912上沉積HM氮化物層914。
隨後可使用適合製程(包含微影製程及蝕刻製程)製造鰭片230。微影製程可包含在HM層910之上形成光阻層(未圖示),以一圖案曝光光阻執行曝光後烘烤製程,及顯影光阻以形成包含光阻的圖案化遮罩。在一些實施例中,圖案化光阻以形成圖案化遮罩元件可使用電子束(electron beam,e-beam)微影製程或極紫外光(extreme ultraviolet,EUV)微影製程來執行,EUV微影製程使用波長在EUV區中的光,此光具有例如約1至200奈米的波長。圖案化遮罩隨後可用於保護基板210的區及形成於基板210上的層,而蝕刻製程在未保護區中形成貫穿HM層910、貫穿磊晶堆疊220且進入基板210的溝槽202,從而留下複數個延伸的鰭片230。可使用乾式蝕刻(例如,反應離子蝕刻)、濕式蝕刻及/或其組合來蝕刻溝槽202。亦可使用眾多其他實施例的方法以在基板上形成鰭片,包含例如界定鰭片區(例如,藉由遮罩或隔離區)及在鰭片230的形成中磊晶成長磊晶堆疊220。
接下來,如第29圖中所例示,形成插入於鰭片230中的STI區240。關於STI區240的材料及製程細節類似於先前所論述的STI區14的材料及製程細節,且因此本文中為簡潔起見不重複該些細節。
參考第30A圖及第30B圖。假性閘極結構250形成於基板210之上且至少部分地置於鰭片230之上。鰭片230的在假性閘極結構250下方的部分可被稱為通道區。假性閘極結構250亦可界定鰭片230的源極/汲極(source/drain,S/D)區,例如鰭片230的相鄰的且在通道區的相反側上的區。
假性閘極形成步驟首先在鰭片230之上形成假性閘極介電質層252。隨後,在假性閘極介電質層252之上形成假性閘電極層254及硬遮罩,硬遮罩可包含多個層256及258(例如,氧化物層256及氮化物層258)。隨後圖案化硬遮罩,隨後藉由使用圖案化硬遮罩作為蝕刻遮罩圖案化假性閘極介電質層252。在一些實施例中,在圖案化假性閘電極層254之後,自鰭片230的S/D區移除假性閘極介電質層252。蝕刻製程可包含濕式蝕刻、乾式蝕刻及/或其組合。選擇蝕刻製程以選擇性地蝕刻假性閘極介電質層252而實質上不蝕刻鰭片230、假性閘電極層254、氧化物遮罩層256及氮化物遮罩層258。假性閘極介電質層及假性閘電極層的材料類似於先前所論述的假性閘極介電質層108及假性閘電極層110的材料,且因此為簡潔起見不重複該些材料。
在假性閘極結構250的形成完成之後,在假性閘極結構250的側壁上形成閘極間隔物260。例如,在基板210上沉積間隔物材料層。間隔物材料層可為共形層,隨後回蝕間隔物材料層以形成閘極側壁間隔物。在所例示的實施例中,間隔物材料層260共形地置於假性閘極結構250的頂部及側壁上。間隔物材料層260可包含介電材料,諸如氧化矽、氮化矽、碳化矽、氮氧化矽、SiCN膜、碳氧化矽、SiOCN膜及/或其組合。在一些實施例中,間隔物材料層260包含多個層,諸如第一間隔物層262及形成於第一間隔物層262之上的第二間隔物層264(在第30B圖中例示出)。作為實例,間隔物材料層260可藉由使用適合的沉積製程在假性閘極結構250之上沉積介電材料來形成。隨後在所沉積的間隔物材料層260上執行非等向性蝕刻製程以曝露鰭片230的未被假性閘極結構250覆蓋的部分(例如,在鰭片230的源極/汲極區中)。間隔物材料層的直接在假性閘極結構250上方的部分可藉由此非等向性蝕刻製程完全移除。間隔物材料層的在假性閘極結構250的側壁上的部分可保留,從而形成閘極側壁間隔物,為簡單起見,將其表示為閘極間隔物260。應注意,儘管閘極間隔物260在第30B圖的橫截面視圖中為多層結構,但是為簡單起見,在第30A圖的立體圖中將其例示為單層結構。
接下來,如第31A圖及第31B圖中所例示,藉由使用例如使用假性閘極結構250及閘極間隔物260作為蝕刻遮罩的非等向性蝕刻製程,來蝕刻橫向延伸超出閘極間隔物260的半導體鰭片230的部分(例如,在鰭片230的源極/汲極區中),從而產生凹入半導體鰭片230且介於對應的假性閘極結構250之間的凹陷部R6。在非等向性蝕刻之後,由於非等向性蝕刻,犧牲層222及通道層224的端表面對準閘極間隔物260的相應最外側壁。在一些實施例中,非等向性蝕刻可藉由乾式化學蝕刻利用電漿源及反應氣體來執行。電漿源可為電感耦合電漿(inductively coupled plasma,ICR)源、變壓器耦合電漿(transformer coupled plasma,TCP)源、電子迴旋共振(electron cyclotron resonance,ECR)源等,且反應氣體可為氟基氣體(諸如SF 6、CH 2F 2、CH 3F、CHF 3等)、氯基氣體(例如Cl 2)、溴化氫氣體(HBr)、氧氣(O 2)等或其組合。
接下來,在第32A圖及第32B圖中,藉由使用適合的蝕刻技術橫向或水平地凹陷犧牲層222,從而產生各自垂直地介於對應的通道層224之間的橫向凹陷部R7。此步驟可藉由使用選擇性蝕刻製程來執行。作為實例而非限制,犧牲層222為SiGe且通道層224為矽,從而允許選擇性蝕刻犧牲層222。在一些實施例中,選擇性濕式蝕刻包含APM蝕刻(例如,氫氧化氨-過氧化氫-水混合物),其蝕刻SiGe的蝕刻速率比其蝕刻Si的蝕刻速率快。在一些實施例中,選擇性蝕刻包含SiGe氧化,然後是SiGeO x移除。例如,可藉由O 3清潔來提供氧化,且隨後藉由諸如NH 4OH的蝕刻劑移除SiGeO x,NH 4OH選擇性地蝕刻SiGeO x的蝕刻速率比其蝕刻Si的蝕刻速率快。此外,因為Si的氧化速率比SiGe的氧化速率低得多(有時低30倍),所以橫向凹陷犧牲層222的製程不會顯著地蝕刻通道層224。因此,通道層224橫向延伸超過犧牲層222的相反的端表面。
在第33A圖及第33B圖中,形成內部間隔物材料層270以填充凹陷部R7,凹陷部R7係由上文參考第32A圖及第32B圖所論述的犧牲層222的橫向蝕刻留下的。內部間隔物材料層270可為低k介電材料,諸如SiO 2、SiN、SiCN或SiOCN,且可藉由適合的沉積方法(諸如ALD)形成。在沉積內部間隔物材料層270之後,可執行非等向性蝕刻製程以修整所沉積的內部間隔物材料層270,僅留下所沉積內部間隔物材料層270填充凹陷部R7的部分,凹陷部R7係因為犧牲層222的橫向蝕刻而留下。在修整製程之後,為簡單起見,將所沉積內部間隔物材料的剩餘部分表示為內部間隔物270。內部間隔物270用於隔離金屬閘極與在後續製程中形成的源極/汲極區。在第33A圖及第33B圖的實例中,內部間隔物270的側壁對準通道層224的側壁。
在第34圖中,在半導體鰭片230的源極/汲極區S/D之上形成源極/汲極磊晶結構280。源極/汲極磊晶結構280可藉由執行在鰭片230上提供磊晶材料的磊晶成長製程來形成。在磊晶成長製程期間,假性閘極結構250、閘極側壁間隔物260及內部間隔物270將源極/汲極磊晶結構280侷限於源極/汲極區S/D。關於GAA FET的源極/汲極磊晶結構280的材料及製程細節類似於先前論述的FinFET的源極/汲極磊晶結構122的材料及製程細節,且因此為簡潔起見不重複該些細節。
在第35圖中,在基板210上形成層間介電質(interlayer dielectric,ILD)層310。在一些實施例中,在形成ILD層310之前,亦形成接觸蝕刻停止層(contact etch stop layer,CESL) 300。關於CESL 300及ILD層310的材料及製程細節類似於CESL 124及ILD層126的材料及製程細節,且因此為簡潔起見不重複該些細節。在一些實例中,在沉積ILD層310之後,可執行平坦化製程以移除ILD層310的過量材料。例如,平坦化製程包含化學機械平坦化(chemical mechanical planarization,CMP)製程,其移除ILD層310 (及CESL層,若存在)的覆蓋假性閘極結構250的部分且平坦化積體電路結構200的頂表面。在一些實施例中,CMP製程亦移除硬遮罩層256、258 (如第34圖中所示)且曝露假性閘電極層254。
此後,首先移除假性閘極結構250(如第35圖中所示),且隨後移除犧牲層222。所得結構在第36圖中例示出。在一些實施例中,藉由使用選擇性蝕刻製程(例如,選擇性乾式蝕刻、選擇性濕式蝕刻或其組合)移除假性閘極結構250,選擇性蝕刻製程蝕刻假性閘極結構250中的材料的蝕刻速率比其蝕刻其他材料(例如,閘極側壁間隔物260、CESL 300及/或ILD層310)的蝕刻速率快,從而產生介於對應的閘極側壁間隔物260之間的閘極溝槽GT2,而犧牲層222在閘極溝槽GT2中曝露出。隨後,藉由使用另一選擇性蝕刻製程移除閘極溝槽GT2中的犧牲層222,另一選擇性蝕刻製程蝕刻犧牲層222的蝕刻速率比其蝕刻通道層224的蝕刻速率快,從而形成介於相鄰通道層224之間的開口O6。以此方式,通道層224變成懸在基板210之上且介於源極/汲極磊晶結構280之間的奈米片。此步驟亦被稱為通道釋放(channel release)製程。在此中間製程步驟處,可利用周圍環境條件(例如,空氣、氮氣等)填充介於奈米片224之間的開口O6。在一些實施例中,奈米片224根據其幾何形狀可互換地被稱為奈米線、奈米板及奈米環。例如,在一些其他實施例中,由於用於完全移除犧牲層222的選擇性蝕刻製程,通道層224可被修整成具有實質上圓形的形狀(即,圓柱形)。在該情況下,所得的通道層224可被稱為奈米線。
在一些實施例中,藉由使用選擇性濕式蝕刻製程移除犧牲層222。在一些實施例中,犧牲層222為SiGe且通道層224為矽,從而允許選擇性移除犧牲層222。在一些實施例中,選擇性濕式蝕刻包含APM蝕刻(例如,氫氧化氨-過氧化氫-水混合物)。在一些實施例中,選擇性蝕刻包含SiGe氧化,然後是SiGeO x移除。例如,可藉由O 3清潔來提供氧化,且隨後藉由諸如NH 4OH的蝕刻劑移除SiGeO x,NH 4OH選擇性地蝕刻SiGeO x的蝕刻速率比其蝕刻Si的蝕刻速率快。此外,因為Si的氧化速率比SiGe的氧化速率低得多(有時低30倍),所以通道釋放製程不會顯著蝕刻通道層224。可注意,通道釋放步驟及橫向凹陷犧牲層的先前步驟(如第32A圖及第32B中所示的步驟)均使用選擇性蝕刻製程,其蝕刻SiGe的蝕刻速率比蝕刻Si的蝕刻速率快,且因此在一些實施例中此等兩個步驟可使用相同的蝕刻劑化學品。在此情況下,通道釋放步驟的蝕刻時間/持續時間比橫向凹陷犧牲層的先前步驟的蝕刻時間/持續時間長,以便完全移除犧牲SiGe層。
在第37A圖及第37B圖中,在閘極溝槽GT2中分別形成替換閘極結構320以圍繞每個懸在閘極溝槽GT2中的奈米片224。閘極結構320可為GAA FET的最後閘極。最後閘極結構可為高k/金屬閘極堆疊,然而其他組成係可能的。在一些實施例中,每個閘極結構320形成與複數個奈米片224所提供的多個通道相關聯的閘極。例如,高k/金屬閘極堆疊320形成於藉由釋放奈米片224所提供的開口O6內(如第36圖中所例示)。在各種實施例中,高k/金屬閘極堆疊320包含圍繞奈米片224而形成的閘極介電質層322、圍繞閘極介電質層322而形成的功函數金屬層324,及圍繞功函數金屬層324而形成的且填充閘極溝槽GT2的剩餘部分的填充金屬層326。閘極介電質層322包含界面層(例如,氧化矽層)及界面層之上的高k閘極介電質層。如本文中所使用且描述的高k閘極介電質包含具有高介電常數(例如,大於熱氧化矽的介電常數(約3.9))的介電材料。高k/金屬閘極結構320內所使用的功函數金屬層324及/或填充金屬層326可包含金屬、金屬合金或金屬矽化物。高k/金屬閘極結構320的形成可包含用於形成各種閘極材料、一或多個襯墊層的沉積,及用於移除過量閘極材料的一或多個CMP製程。如沿著高k/金屬閘極結構320的縱向軸線取得的第37B圖的橫截面視圖中所例示,高k/金屬閘極結構320圍繞每個奈米片224,且因此被稱為GAA FET的閘極。關於GAA FET的閘極結構320的材料及製程細節類似於FinFET的閘極結構130的材料及製程細節,且因此為簡潔起見不重複該些細節。
在第38圖中,執行回蝕製程以回蝕替換閘極結構320及閘極間隔物260,從而產生經回蝕的閘極結構320及經回蝕的閘極間隔物260之上的凹陷部。在一些實施例中,因為替換閘極結構320的材料具有與閘極間隔物260不同的蝕刻選擇性,所以替換閘極結構320的頂表面可處於與閘極間隔物260的頂表面不同的高度。例如,在如第38圖中所例示的所描繪實施例中,替換閘極結構320的頂表面低於閘極間隔物260的頂表面。然而,在一些其他實施例中,替換閘極結構320的頂表面可與閘極間隔物260的頂表面齊平或高於閘極間隔物260的頂表面。此外,在一些實施例中,在回蝕替換閘極結構320及/或閘極間隔物260期間可回蝕CESL 300。在該情況下,CESL 300具有低於ILD層310的頂表面的頂端。
隨後,藉由適合的製程(諸如CVD或ALD)在替換閘極結構320的頂上分別形成金屬蓋330。作為實例而非限制,金屬蓋330可為實質上無氟鎢(fluorine-free tungsten,FFW)膜,其具有小於5原子百分比的氟污染物的量及大於3原子百分比的氯污染物的量。關於FFW形成的製程細節先前已參考金屬蓋138進行論述,且因此為簡潔起見不重複該些細節。
在第39圖中,在金屬蓋330及閘極間隔物260之上形成閘極介電質蓋340。因為金屬蓋330具有低於閘極間隔物260的頂表面的頂表面,所以每個介電質蓋340具有階狀底表面,階狀底表面具有接觸金屬蓋330的頂表面的下部台階及接觸閘極間隔物260的頂表面的上部台階。關於介電質蓋的材料及製程細節類似於先前所論述的介電質蓋142的材料及製程細節,且因此為簡潔起見不重複該些細節。
在第40圖中,形成延伸貫穿CESL 300及ILD層310的源極/汲極接觸件350。作為實例而非限制,源極/汲極接觸件350的形成包含執行一或多個蝕刻製程以形成延伸貫穿ILD層310及CESL 300的接觸件開口以曝露源極/汲極磊晶結構280、沉積一或多種金屬材料超填接觸件開口,及隨後執行CMP製程以移除接觸件開口外部的過量金屬材料。在一些實施例中,一或多個蝕刻製程係選擇性蝕刻,其蝕刻ILD層310及CESL 300的蝕刻速率比蝕刻介電質蓋340及閘極間隔物260的蝕刻速率快。因此,使用介電質蓋340及閘極間隔物260作為蝕刻遮罩來執行選擇性蝕刻,使得接觸件開口及源極/汲極接觸件350形成為與源極/汲極磊晶結構280自對準而無需使用額外的微影製程。在該情況下,允許形成自對準的源極/汲極接觸件350的介電質蓋340可被稱為SAC介電質蓋340。
在第41圖中,在已形成自對準源極/汲極接觸件350之後,隨後在源極/汲極接觸件350及SAC蓋340之上沉積中間接觸蝕刻停止層(middle contact etch stop layer,MCESL) 360。隨後,在MCESL 146之上沉積另一ILD層370。在一些實施例中,MCESL 360係氮化矽,且ILD層370係氧化矽(SiO x)。
參考第42圖,藉由使用第一蝕刻製程(亦稱為通孔件蝕刻製程) ET5圖案化ILD層370以形成延伸貫穿ILD層370的通孔件開口O8。通孔件蝕刻製程ET5的蝕刻持續時間被控制以移除MCESL 360的部分但是不穿透MCESL 360。作為此通孔件蝕刻製程ET5的結果,在對應的通孔件開口O8之下形成凹陷部R8,凹陷部R8在MCESL 360中延伸但是不貫穿MCESL 360的完整厚度。凹陷部R8的形成允許在後續製程中氧化MCESL 360的側壁。在一些實施例中,凹陷部R8的深度D8與MCESL 360的厚度T8的比在約2:9至約7:9的範圍內,例如約5:9。若凹陷部深度D8與MCESL厚度T8的比過小,則在後續處理中形成的氧化側壁可能太小而無法抑制後續LRM蝕刻製程中的橫向蝕刻。若凹陷部深度D8與MCESL厚度T8的比過大,則MCESL 360及底下的源極/汲極接觸件350可被過度蝕刻。關於通孔件蝕刻製程ET5的製程細節類似於先前所論述的通孔件蝕刻製程ET1的製程細節,且因此為簡潔起見不重複該些細節。
在第43圖中,在通孔件蝕刻製程ET5完成之後,在含氧環境中處理MCESL層360的曝露部分,使得MCESL 360的曝露部分的表面層經氧化以在MCESL層360中形成氧化區3601,而剩下的MCESL層360的剩餘區3602保持未氧化的狀態。處理步驟可包含O 2電漿處理,其中將含氧氣體導入至製程室中,在製程室中由含氧氣體產生電漿。關於O 2電漿處理的製程細節先前已參考第16A圖進行論述,且因此為簡潔起見不重複該些細節。
作為O 2電漿處理的結果,氧化發生在MCESL 360中的凹陷部R8的底表面及側壁中,從而產生氧化區3601,氧化區3601具有氧化底部分3601b及氧化側壁部分3601s,氧化側壁部分3601s自氧化底部分3601b向上延伸且橫向圍繞氧化底部分3601b。
在一些實施例中,氧化底部分3601b及氧化側壁部分3601s具有相同的厚度(例如,在約1 nm至約3 nm的範圍內)。在一些其他實施例中,氧化側壁部分3601s具有比氧化底部分3601b厚的厚度。例如,氧化側壁部分3601s與氧化底部分3601b的厚度比可大於約1:1、2:1、3:1、4:1或5:1。更厚的氧化側壁部分3601s允許更高的對後續LRM蝕刻的抗蝕刻性。更薄的氧化底部分3601b允許縮短LRM蝕刻持續時間,因為氧化底部分3601b將在LRM蝕刻中被移除。在一些實施例中,氧化側壁部分3601s具有自下而上的厚度梯度。例如,氧化側壁部分3601s可在頂部更厚且在底部更薄。
在MCESL 360由SiN製成的一些實施例中,O 2電漿處理產生在MCESL 360中且在通孔件開口O8之下的氧化氮化物區(氮氧化矽(SiO xN y))3601及氧化氮化物區3601的下方的杯狀未氧化氮化物區3602。在一些實施例中,由於電漿處理,氧化氮化物區3601可具有氧濃度梯度。例如,氧化氮化物區3601中的氧原子百分比可隨著離凹陷部R8的表面的距離增大而減小。更詳細地,氧化側壁部分3601s具有隨著離凹陷部R8的側壁的距離增大而減小的氧原子百分比,且氧化底部分3601b具有隨著離凹陷部R8的底表面的距離增大而減小的氧原子百分比。在MCESL 360係氮化矽的一些實施例中,氧化區中的氧氮原子比可隨著離凹陷部R8的表面的距離增大而減小。更詳細地,氧化側壁部分3601s具有隨著離凹陷部R8的側壁的距離增大而減小的氧氮原子比,且氧化底部分3601b具有隨著離凹陷部R8的底表面的距離增大而減小的氧氮原子比。
參考第44A圖,執行LRM蝕刻製程ET6以貫穿MCESL 360,因此使通孔件開口O8向下加深至源極/汲極接觸件350。作為LRM蝕刻製程ET6的結果,源極/汲極接觸件350在加深的通孔件開口O8的底部處曝露。關於LRM蝕刻製程ET6的製程細節先前已參考LRM蝕刻製程ET2進行論述,且因此本文中為簡潔起見不重複該些細節。
因為氧化側壁部分3601s在LRM蝕刻製程ET6期間抑制或減緩橫向蝕刻,所以通孔件開口O8的側壁O80直線地延伸貫穿ILD層370的完整厚度及MCESL 360的完整厚度,且沒有發生彎曲或彎曲可忽略。更詳細地,ILD層370具有界定通孔件開口O8的上部分的直線狀側壁O81,且MCESL 360具有界定通孔件開口O8的下部分的直線狀側壁O82,且直線狀側壁O81及O82彼此對準。在一些實施例中,MCESL 360的直線狀側壁O82具有自ILD層370的直線狀側壁O81向下延伸的氧化側壁部分3601s的側壁,及自氧化側壁部分3601s的側壁向下延伸的未氧化區3602的側壁。在如第44A圖中所描繪的一些實施例中,未氧化區3602的側壁對準氧化側壁部分3601s的側壁。然而,在一些其他實施例中,未氧化區3602的側壁可自氧化側壁部分3601s的側壁略微橫向後縮(如虛線DL5所指示),因為LRM蝕刻ET6可能在未氧化區3602中造成的橫向蝕刻比在氧化側壁部分3601s中多。即使在此場景中,與沒有形成氧化側壁部分3601s的情況相比,通孔件開口O8仍然具有減輕的彎曲缺陷,因為彎曲輪廓侷限於氧化側壁部分3601s之下。
在如第44A圖中所描繪的一些實施例中,由於LRM蝕刻製程ET6的非等向性蝕刻的性質,通孔件開口O8具有錐形側壁輪廓。然而,在一些其他實施例中,LRM蝕刻製程ET6及/或先前通孔件蝕刻製程ET5的蝕刻條件可經微調以允許通孔件開口O8具有垂直側壁輪廓,如第44B圖中所例示。
接下來,在第45A圖中,隨後在通孔件開口O8中形成源極/汲極通孔件380以實體連接及電性連接源極/汲極接觸件350。關於源極/汲極通孔件380的材料及製程細節類似於先前所論述的源極/汲極通孔件150的材料及製程細節,且因此為簡潔起見不重複該些細節。
源極/汲極通孔件380繼承實質上無彎曲的通孔件開口O8的幾何形狀,且因此源極/汲極通孔件380亦實質上無彎曲。換言之,源極/汲極通孔件380的側壁直線地延伸貫穿ILD層370的完整厚度及MCESL 360的完整厚度,且不存在彎曲或彎曲可忽略。更詳細地,源極/汲極通孔件380與ILD層370形成第一直線狀界面3801且與MCESL 360形成第二直線狀界面3802。第二直線狀界面3802自第一直線狀界面3801向下延伸,且直線狀界面3801及3802彼此對準。在一些實施例中,第二界面3802包含形成於源極/汲極通孔件380與氧化側壁部分3601s之間的上部界面3803及形成於源極/汲極通孔件380與未氧化區3602之間的下部界面3804。下部界面3804自上部界面3803向下延伸。在如第45A圖中所描繪的一些實施例中,下部界面3804對準上部界面3803。然而,在一些其他實施例中,下部界面3804可自上部界面3803略微橫向後縮(如虛線DL6所指示),因為在先前製程中,LRM蝕刻ET6可能在未氧化區3602中造成的橫向蝕刻比在氧化側壁部分3601s中多。即使在此場景中,與沒有形成氧化側壁部分3601s的情況相比,源極/汲極通孔件380仍然具有減輕的彎曲缺陷,因為彎曲輪廓侷限於源極/汲極通孔件380與未氧化區3602之間的下部界面3804。
在如第45A圖中所描繪的一些實施例中,由於LRM蝕刻製程ET6的非等向性蝕刻的性質,源極/汲極通孔件380具有錐形側壁輪廓。然而,在一些實施例中,LRM蝕刻製程ET6的蝕刻條件可經微調以允許通孔件開口O8且因此源極/汲極通孔件380具有垂直側壁輪廓,如第45B圖中所例示。
第46圖至第51圖例示根據本揭露的一些其他實施例的用於製造積體電路結構200a的各種階段的例示性橫截面視圖。應理解,在第46圖至第51圖所示的製程之前、期間及之後,可提供額外的操作,且針對方法的額外實施例,可替換或消除一些下文描述的操作中。操作/製程的次序可互換。在以下實施例中可採用利用第27圖至第45B圖所描述的相同或類似的組態、材料、製程及/或操作,且可省略詳細闡釋。
在形成如第41圖所示的結構之後,圖案化ILD層370以形成向下延伸貫穿ILD層370、MCESL 360及介電質蓋340、到達金屬蓋330的閘極接觸件開口O9。所得結構在第46圖中例示出。可藉由使用適合的微影及蝕刻技術圖案化ILD層370。
接下來,如第47圖中所例示,在基板12之上形成圖案化遮罩層M10以填充閘極接觸件開口O9。圖案化遮罩層M10具有直接在源極/汲極接觸件350上方的開口O10。在一些實施例中,圖案化遮罩層M10可為藉由適合的微影製程形成的光阻遮罩。例如,微影製程可包含在如第46圖中所例示的結構之上旋轉塗佈光阻層、執行曝光後烘烤製程,及顯影光阻層以形成圖案化遮罩層M10。
在第48圖中,執行通孔件蝕刻製程ET7以形成延伸貫穿ILD層370的通孔件開口O11。通孔件蝕刻製程ET7的蝕刻持續時間被控制以移除MCESL 360的一部分但是不穿透MCESL 360。作為此通孔件蝕刻製程ET7的結果,在通孔件開口O11之下形成凹陷部R11,凹陷部R11在MCESL 360中延伸但是不貫穿MCESL 360的完整厚度。凹陷部R11的形成允許在後續製程中氧化MCESL 360的側壁。關於通孔件蝕刻製程ET7的製程細節先前已參考通孔件蝕刻製程ET1進行論述,且因此本文中為簡潔起見不重複該些細節。凹陷部深度與MCESL厚度的比類似於先前參考第23圖所論述的比,且因此為簡潔起見不重複該比。
在第49圖中,在通孔件蝕刻製程ET7完成之後,在含氧環境中處理MCESL 360的曝露部分,使得MCESL 360的曝露部分的表面層經氧化以在MCESL 360中形成氧化區3603,而MCESL 360的剩餘區3602保持未氧化的狀態。處理步驟可包含O 2電漿處理,其中將含氧氣體引導至製程室中,在製程室中由含氧氣體產生電漿。關於O 2電漿處理的製程細節先前已參考第16A圖進行論述,且因此本文中為簡潔起見不重複該些細節。
作為O 2電漿處理的結果,氧化發生在MCESL 360中的凹陷部R11的底表面及側壁中,從而產生氧化區3603,氧化區3603具有氧化底部分3603b及氧化側壁部分3603s,氧化側壁部分3603s自氧化底部分3603b向上延伸。如第49圖的橫截面視圖中所例示,氧化側壁部分3603s在氧化底部分3603b的第一側(例如,圖式中的左側)上,但是不在氧化底部分3603b的第二側(例如,圖式中的右側)上。
在一些實施例中,氧化底部分3603b及氧化側壁部分3603s具有相同的厚度(例如,在約1 nm至約3 nm的範圍內)。在一些其他實施例中,氧化側壁部分3603s具有比氧化底部分3603b厚的厚度。更厚的氧化側壁部分3603s允許更高的對後續LRM蝕刻的抗蝕刻性。更薄的氧化底部分3603b允許縮短LRM蝕刻持續時間。在一些實施例中,氧化側壁部分3603s具有自下而上的厚度梯度。例如,氧化側壁部分3603s可在頂部更厚且在底部更薄。
在一些實施例中,由於電漿處理,氧化氮化物區3603可具有氧濃度梯度。例如,氧化氮化物區3603中的氧原子百分比可隨著離凹陷部R11的表面的距離增大而減小。更詳細地,氧化側壁部分3603s具有隨著離凹陷部R11的側壁的距離增大而減小的氧原子百分比,且氧化底部分3603b具有隨著離凹陷部R11的底表面的距離增大而減小的氧原子百分比。在MCESL 360係氮化矽的一些實施例中,氧化區中的氧氮原子比可隨著離凹陷部R11的表面的距離增大而減小。更詳細地,氧化側壁部分3603s具有隨著離凹陷部R11的側壁的距離增大而減小的氧氮原子比,且氧化底部分3603b具有隨著離凹陷部R11的底表面的距離增大而減小的氧氮原子比。
在一些實施例中,O 2電漿處理可在圖案化遮罩層M10上造成電漿灰,其繼而可修整圖案化遮罩層M10。因此,O 2電漿處理條件(例如,處理持續時間、RF功率、壓力等)被控制以允許形成MCESL 360中的氧化區3603,且圖案化遮罩層M10損耗可忽略。可忽略的損耗意味著圖案化遮罩層M10中的開口O10的大小變化小於約10%。
在第50圖中,執行LRM蝕刻製程ET8以貫穿MCESL 360,因此使通孔件開口O11向下加深至源極/汲極接觸件350及介電質蓋340的介於源極/汲極接觸件350與圖案化遮罩層M10之間的部分。作為LRM蝕刻製程ET8的結果,在加深的通孔件開口O11的底部處曝露源極/汲極接觸件350及介電質蓋340。關於LRM蝕刻製程ET8的製程細節先前已參考LRM蝕刻製程ET2進行論述,且因此本文中為簡潔起見不重複該些細節。
因為氧化側壁部分3603s在LRM蝕刻製程ET8期間抑制或減緩橫向蝕刻,所以通孔件開口O11的側壁直線地延伸貫穿ILD層370的完整厚度及MCESL 360的完整厚度,且沒有發生彎曲或彎曲可忽略。更詳細地,ILD層370具有界定通孔件開口O11的上部分的直線狀側壁O111,且MCESL 360亦具有界定通孔件開口O11的下部分的直線狀側壁O112,且直線狀側壁O111及O112彼此對準。在一些實施例中,MCESL 360的直線狀側壁O112具有自ILD層370的直線狀側壁O111向下延伸的氧化側壁部分3603s的側壁,及自氧化側壁部分3603s的側壁向下延伸的未氧化區3602的側壁。在如第50圖中所描繪的一些實施例中,未氧化區3602的側壁對準氧化側壁部分3603s的側壁。然而,在一些其他實施例中,未氧化區3602的側壁可自氧化側壁部分3603s的側壁略微橫向後縮,因為LRM蝕刻ET8可能在未氧化區3602中造成的橫向蝕刻比在氧化側壁部分3603s中多。即使在此場景中,與沒有形成氧化側壁部分3603s的情況相比,通孔件開口O11仍然具有減輕的彎曲缺陷,因為彎曲輪廓侷限於未氧化區3602。
在LRM蝕刻製程ET8完成之後,藉由灰化及/或濕式剝除自閘極接觸件開口O9移除圖案化遮罩層M10,且隨後形成對接的接觸件390以填充通孔件開口O11及閘極接觸件開口O9兩者。所得結構在第51圖中例示出。閘極結構320經由源極/汲極接觸件350、對接的接觸件390及金屬蓋330電耦接至源極/汲極磊晶結構280。關於對接的接觸件390的材料及製造製程細節類似於關於源極/汲極通孔件150的材料及製造製程細節,且因此本文中為簡潔起見不重複該些細節。
基於以上論述,可看出本揭露提供優點。然而應理解,其他實施例可提供額外優點,且本文中未必揭露所有優點,且沒有特定的優點係所有實施例所需要的。一個優點為,由於額外的氧電漿處理,可減輕MCESL中的通孔件開口的彎曲輪廓。另一個優點為,可減小漏電流(例如,自源極/汲極通孔件至閘極接觸件及/或閘極結構的漏電流)的風險。又一個優點為,可改良電阻電容(resistance capacitance,RC)延遲,因為無彎曲的源極/汲極通孔件至閘極接觸件的距離大於彎曲的源極/汲極通孔件至閘極接觸件的距離。
在一些實施例中,一種方法包含:在源極/汲極區之上形成源極/汲極接觸件;在源極/汲極接觸件之上形成蝕刻停止層且在蝕刻停止層之上形成層間介電質(interlayer dielectric,ILD)層;執行第一蝕刻製程以形成延伸貫穿ILD層的通孔件開口及蝕刻停止層中的凹陷部;氧化蝕刻停止層中的凹陷部的側壁;在氧化蝕刻停止層中的凹陷部的側壁之後,執行第二蝕刻製程以使通孔件開口向下延伸至源極/汲極接觸件;及在執行第二蝕刻製程之後,在通孔件開口中形成源極/汲極通孔件。在一些實施例中,蝕刻停止層中的凹陷部的側壁係使用氧電漿進行氧化。在一些實施例中,氧電漿由氧氣產生。在一些實施例中,氧電漿由氧氣與氬氣、氦氣、氖氣、氪氣、氮氣、一氧化碳氣體、二氧化碳氣體、C xH yF z氣體、三氟化氮氣體、硫化羰(COS)氣體及二氧化硫氣體中的一或多者的氣體混合物產生,其中x、y及z大於零。在一些實施例中,第二蝕刻製程使用與第一蝕刻製程中所使用的蝕刻劑不同的蝕刻劑。在一些實施例中,第一蝕刻製程係使用由無氫氣體混合物產生的電漿的電漿蝕刻製程。在一些實施例中,第二蝕刻製程係使用由含氫氣體混合物產生的電漿的電漿蝕刻製程。在一些實施例中,含氫氣體混合物係含氟氣體與氫氣的混合物。在一些實施例中,含氟氣體係三氟甲烷氣體、四氟甲烷氣體或其組合。在一些實施例中,第二蝕刻製程在蝕刻停止層的氧化側壁上導致的橫向蝕刻比在蝕刻停止層的未氧化部分上少。
在一些實施例中,一種方法包含:在磊晶結構之上形成源極/汲極接觸件;在源極/汲極接觸件之上依序沉積蝕刻停止層及層間介電質(interlayer dielectric,ILD)層;在ILD層上執行第一蝕刻製程,直至蝕刻停止層具有凹陷部為止;在執行第一蝕刻製程之後,處理蝕刻停止層,使得蝕刻停止層具有凹陷部的下方的杯狀處理區及在處理區之下的未處理區;在處理蝕刻停止層之後,執行第二蝕刻製程以貫穿蝕刻停止層,其中第二蝕刻製程蝕刻蝕刻停止層的處理區的蝕刻速率比蝕刻未處理區的蝕刻速率慢;及在執行第二蝕刻製程之後,形成延伸貫穿蝕刻停止層的源極/汲極通孔件。在一些實施例中,使用氧電漿處理蝕刻停止層。在一些實施例中,處理區具有比未處理區高的氧原子百分比。在一些實施例中,處理區具有氧濃度梯度。在一些實施例中,處理區具有隨著離凹陷部的表面的距離增大而減小的氧原子百分比。在一些實施例中,第二蝕刻製程使用具有氫氣的氣體混合物,且第一蝕刻製程無氫氣。
在一些實施例中,一種裝置包含:在電晶體的源極/汲極區之上的源極/汲極接觸件;在源極/汲極接觸件之上的蝕刻停止層;在蝕刻停止層之上的層間介電質(interlayer dielectric,ILD)層;及源極/汲極通孔件,其延伸貫穿ILD層及蝕刻停止層、到達源極/汲極接觸件,其中蝕刻停止層具有接觸源極/汲極通孔件且與源極/汲極接觸件分離的氧化區。在一些實施例中,蝕刻停止層的未氧化區接觸源極/汲極接觸件。在一些實施例中,源極/汲極通孔件與氧化區形成第一界面且與未氧化區形成第二界面,且第二界面對準第一界面。在一些實施例中,源極/汲極通孔件與氧化區形成第一界面且與未氧化區形成第二界面,且第二界面自第一界面橫向後縮。
前述內容概述概述了若干實施例的特徵,以便熟習此項技術者可更好地理解本揭露的態樣。熟習此項技術者應瞭解,他們可容易使用本揭露作為基礎來設計或修改其他製程及結構以便實現本文所介紹的實施例的相同目的及/或達成此等實施例的相同優點。熟習此項技術者亦應意識到,此類等效構造不脫離本揭露的精神及範疇,且他們可在不脫離本揭露的精神及範疇的情況下在本文中進行各種改變、替代及變更。
12:基板 14:隔離區/STI區 100:積體電路結構 100a:積體電路結構 102:半導體條帶 104:鰭片 106:假性閘極結構 108:閘極介電質層 110:假性閘電極 112:底部遮罩 114:頂部遮罩 116:間隔物 118:第一間隔物層 120:第二間隔物層 122:源極/汲極磊晶結構 124:接觸蝕刻停止層/CESL 126:層間介電質層/ILD層 130:閘極結構 132:閘極介電質層 134:功函數金屬層 136:填充金屬 138:金屬蓋 140:介電質蓋層 142:介電質蓋 144:源極/汲極接觸件 146:中間接觸蝕刻停止層/MCESL 148:ILD層 150:源極/汲極通孔件 152:對接的接觸件 200:積體電路結構 202:溝槽 210:基板 212:基板部分 220:磊晶堆疊 222:磊晶層/犧牲層 224:磊晶層/通道層/奈米片 230:鰭片 240:STI區 250:假性閘極結構 252:假性閘極介電質層 254:假性閘電極層 256:層 258:層 260:間隔物/間隔物材料層 262:第一間隔物層 264:第二間隔物層 270:內部間隔物材料層/內部間隔物 280:源極/汲極磊晶結構 300:接觸蝕刻停止層/CESL 310:層間介電質層/ILD層 320:閘極結構 322:閘極介電質層 324:功函數金屬層 326:填充金屬層 330:金屬蓋 340:介電質蓋 350:源極/汲極接觸件 360:中間接觸蝕刻停止層/MCESL 370:ILD層 380:源極/汲極通孔件 390:對接的接觸件 910:硬遮罩層/HM層 912:氧化物層 914:氮化物層 1461:區 1461b:氧化底部分 1461s:氧化側壁部分 1462:區 1463:區 1463b:氧化底部分 1463s:氧化側壁部分 1501:界面 1502:界面 1503:上部界面 1504:下部界面 3601:區 3601b:氧化底部分 3601s:氧化側壁部分 3602:區 3603:區 3603b:氧化底部分 3603s:氧化側壁部分 3801:界面 3802:界面 3803:上部界面 3804:下部界面 D2:深度 D5:深度 D8:深度 DL1:虛線 DL2:虛線 DL3:虛線 DL4:虛線 DL5:虛線 DL6:虛線 GT1:閘極溝槽 GT2:閘極溝槽 M4:圖案化遮罩層 M10:圖案化遮罩層 O2:通孔件開口 O3:閘極接觸件開口 O4:開口 O5:通孔件開口 O6:開口 O8:通孔件開口 O9:閘極接觸件開口 O10:開口 O11:通孔件開口 O111:側壁 O112:側壁 O21:側壁 O22:側壁 O50:側壁 O51:側壁 O52:側壁 O81:側壁 O82:側壁 R1:凹陷部 R2:凹陷部 R4:凹陷部 R5:凹陷部 R6:凹陷部 R7:凹陷部 R8:凹陷部 R11:凹陷部 S/D:源極/汲極區 T2:厚度 T8:厚度
當結合隨附圖式來閱讀時,根據以下詳細描述將最好地理解本揭露的態樣。應注意,根據業內的標準做法,並未按比例繪製各種特徵。事實上,為了論述的清楚起見,任意地擴大或縮小各種特的尺寸。 第1圖至第20B圖例示根據本揭露的一些實施例的形成積體電路結構的中間階段的立體圖及橫截面視圖。 第21圖至第26圖例示根據本揭露的一些其他實施例的用於製造積體電路結構的各種階段的例示性橫截面視圖。 第27圖至第45B圖例示根據本揭露的一些實施例的形成積體電路結構的中間階段的立體圖及橫截面視圖。 第46圖至第51圖例示根據本揭露的一些其他實施例的用於製造積體電路結構的各種階段的例示性橫截面視圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
12:基板
100:積體電路結構
104:鰭片
116:間隔物
118:第一間隔物層
120:第二間隔物層
122:源極/汲極磊晶結構
130:閘極結構
132:閘極介電質層
134:功函數金屬層
136:填充金屬
138:金屬蓋
142:介電質蓋
144:源極/汲極接觸件
150:源極/汲極通孔件
1461:區
1461s:氧化側壁部分
1462:未氧化區
1501:界面
1502:界面
1503:上部界面
1504:下部界面
DL3:虛線

Claims (20)

  1. 一種方法,包含: 形成一源極/汲極接觸件於一源極/汲極區之上; 形成一蝕刻停止層於該源極/汲極接觸件之上且形成一層間介電質層於該蝕刻停止層之上; 執行一第一蝕刻製程以形成延伸貫穿該層間介電質層的一通孔件開口及該蝕刻停止層中的一凹陷部; 氧化該蝕刻停止層中的該凹陷部的一側壁; 在氧化該蝕刻停止層中的該凹陷部的該側壁之後,執行一第二蝕刻製程以使該通孔件開口向下延伸至該源極/汲極接觸件;及 在執行該第二蝕刻製程之後,在該通孔件開口中形成一源極/汲極通孔件。
  2. 如請求項1所述之方法,其中該蝕刻停止層中的該凹陷部的該側壁係使用一氧電漿進行氧化。
  3. 如請求項2所述之方法,其中該氧電漿由氧氣產生。
  4. 如請求項2所述之方法,其中該氧電漿由氧氣與氬氣、氦氣氖氣、氪氣、氮氣、一氧化碳氣體、二氧化碳氣氣體、C xH yF z氣體、三氟化氮氣體、硫化羰氣體及二氧化硫氣體中的一或多者的一氣體混合物產生,其中x、y及z大於零。
  5. 如請求項1所述之方法,其中該第二蝕刻製程使用與該第一蝕刻製程中所使用的蝕刻劑不同的一蝕刻劑。
  6. 如請求項1所述之方法,其中該第一蝕刻製程係使用由一無氫氣體混合物產生的一電漿的一電漿蝕刻製程。
  7. 如請求項1所述之方法,其中該第二蝕刻製程係使用由一含氫氣體混合物產生的一電漿的一電漿蝕刻製程。
  8. 如請求項7所述之方法,其中該含氫氣體混合物係含氟氣體與氫氣的一混合物。
  9. 如請求項8所述之方法,其中該含氟氣體係三氟甲烷氣體、四氟甲烷氣體或其組合。
  10. 如請求項1所述之方法,其中該第二蝕刻製程在該蝕刻停止層的該氧化側壁上導致的橫向蝕刻比在該蝕刻停止層的一未氧化部分上少。
  11. 一種方法,包含: 形成一源極/汲極接觸件於一磊晶結構之上; 依序沉積一蝕刻停止層及一層間介電質層於該源極/汲極接觸件之上; 執行一第一蝕刻製程於該層間介電質層上,直至該蝕刻停止層具有一凹陷部為止; 在執行該第一蝕刻製程之後,處理該蝕刻停止層,使得該蝕刻停止層具有該凹陷部的一下方的一杯狀處理區及在該處理區之下的一未處理區; 在處理該蝕刻停止層之後,執行一第二蝕刻製程以貫穿該蝕刻停止層,其中該第二蝕刻製程蝕刻該蝕刻停止層的該處理區的蝕刻速率比蝕刻該未處理區的蝕刻速率慢;及 在執行該第二蝕刻製程之後,形成延伸貫穿該蝕刻停止層的一源極/汲極通孔件。
  12. 如請求項11所述之方法,其中該蝕刻停止層係使用一氧電漿進行處理。
  13. 如請求項11所述之方法,其中該處理區具有比該未處理區高的一氧原子百分比。
  14. 如請求項11所述之方法,其中該處理區具有一氧濃度梯度。
  15. 如請求項11所述之方法,其中該處理區具有隨著離該凹陷部的一表面的一距離增大而減小的一氧原子百分比。
  16. 如請求項11所述之方法,其中該第二蝕刻製程使用具有氫氣的一氣體混合物,且該第一蝕刻製程無氫氣。
  17. 一種裝置,包含: 一源極/汲極接觸件,在一電晶體的一源極/汲極區之上的; 一蝕刻停止層,在該源極/汲極接觸件之上; 一層間介電質層,在該蝕刻停止層之上;及 一源極/汲極通孔件,延伸貫穿該一層間介電質層及該蝕刻停止層、到達該源極/汲極接觸件,其中該蝕刻停止層具有接觸該源極/汲極通孔件且與該源極/汲極接觸件分離的一氧化區。
  18. 如請求項17所述之裝置,其中該蝕刻停止層的該未氧化區接觸該源極/汲極接觸件。
  19. 如請求項17所述之裝置,其中該源極/汲極通孔件與該氧化區形成一第一界面且與該未氧化區形成一第二界面,且該第二界面對準該第一界面。
  20. 如請求項17所述之裝置,其中該源極/汲極通孔件與該氧化區形成一第一界面且與該未氧化區形成一第二界面,且該第二界面自該第一界面橫向後縮。
TW110112431A 2020-09-29 2021-04-06 半導體裝置與其製造方法 TWI762265B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063084968P 2020-09-29 2020-09-29
US63/084,968 2020-09-29
US17/169,458 US11749732B2 (en) 2020-09-29 2021-02-06 Etch profile control of via opening
US17/169,458 2021-02-06

Publications (2)

Publication Number Publication Date
TW202213527A true TW202213527A (zh) 2022-04-01
TWI762265B TWI762265B (zh) 2022-04-21

Family

ID=79327316

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110112431A TWI762265B (zh) 2020-09-29 2021-04-06 半導體裝置與其製造方法

Country Status (5)

Country Link
US (2) US11749732B2 (zh)
KR (1) KR102638127B1 (zh)
CN (1) CN113948468A (zh)
DE (1) DE102021103217A1 (zh)
TW (1) TWI762265B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11942371B2 (en) * 2020-09-29 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of via opening
US11664272B2 (en) 2020-09-29 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of gate contact opening

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100704473B1 (ko) * 2005-11-23 2007-04-09 주식회사 하이닉스반도체 반도체 소자의 캐패시터의 제조방법 및 반도체 소자의 제조방법
US20090075480A1 (en) * 2007-09-18 2009-03-19 Texas Instruments Incorporated Silicon Carbide Doped Oxide Hardmask For Single and Dual Damascene Integration
JP5405012B2 (ja) * 2007-11-19 2014-02-05 東京エレクトロン株式会社 プラズマエッチング方法及び記憶媒体
JP5167052B2 (ja) 2008-09-30 2013-03-21 パナソニック株式会社 ドライエッチング方法
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9054109B2 (en) 2012-05-29 2015-06-09 International Business Machines Corporation Corrosion/etching protection in integration circuit fabrications
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
KR102150254B1 (ko) * 2014-09-15 2020-09-02 삼성전자주식회사 반도체 소자의 제조 방법
US9437484B2 (en) 2014-10-17 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer in integrated circuits
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US10153198B2 (en) 2017-04-07 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Low-resistance contact plugs and method forming same
US11664272B2 (en) 2020-09-29 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of gate contact opening

Also Published As

Publication number Publication date
DE102021103217A1 (de) 2022-03-31
US11749732B2 (en) 2023-09-05
CN113948468A (zh) 2022-01-18
KR20220043829A (ko) 2022-04-05
KR102638127B1 (ko) 2024-02-16
TWI762265B (zh) 2022-04-21
US20230361185A1 (en) 2023-11-09
US20220102511A1 (en) 2022-03-31

Similar Documents

Publication Publication Date Title
US20230361185A1 (en) Etch profile control of via opening
US20230187270A1 (en) Etch profile control of gate contact opening
US20230335435A1 (en) Integrated circuit structure and manufacturing method thereof
TW202240774A (zh) 半導體裝置
US20230298934A1 (en) Etch profile control of gate contact opening
US20230326978A1 (en) Etch profile control of gate contact opening
US11967526B2 (en) Integrated circuit structure and manufacturing method thereof
TWI769879B (zh) 半導體元件及其製造方法
US11942371B2 (en) Etch profile control of via opening
TW202213523A (zh) 積體電路元件與其製造方法