TWI769879B - 半導體元件及其製造方法 - Google Patents

半導體元件及其製造方法 Download PDF

Info

Publication number
TWI769879B
TWI769879B TW110123883A TW110123883A TWI769879B TW I769879 B TWI769879 B TW I769879B TW 110123883 A TW110123883 A TW 110123883A TW 110123883 A TW110123883 A TW 110123883A TW I769879 B TWI769879 B TW I769879B
Authority
TW
Taiwan
Prior art keywords
source
layer
drain
etch
dielectric
Prior art date
Application number
TW110123883A
Other languages
English (en)
Other versions
TW202213537A (zh
Inventor
熊德智
鵬 王
吳俊德
林煥哲
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202213537A publication Critical patent/TW202213537A/zh
Application granted granted Critical
Publication of TWI769879B publication Critical patent/TWI769879B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一種方法包含在閘極結構上方沉積介電質蓋。源極/汲極接觸形成在與閘極結構相鄰的源極/汲極區域上方。介電質蓋的頂部被氧化。在氧化介電質蓋的頂部之後,沉積蝕刻停止層在介電質蓋上方,並沉積層間介電質(ILD)層在蝕刻停止層上方。蝕刻ILD層和蝕刻停止層以形成延伸穿過ILD層和蝕刻停止層的連通柱開口。源極/汲極連通柱填充在連通柱開口中。

Description

半導體元件及其製造方法
本揭露係有關於一種半導體元件及其製造方法。
IC材料和設計之技術上的進步已經產出了多世代的IC,其中每一代皆具有比前一代更小且更複雜的電路。在IC發展的過程中,功能密度(即,每個晶片區域的互連元件的數量)通常增加了,而幾何尺寸(即,可以使用製造製程產生的最小部件(或線))縮小了。這種按比例縮小的製程通常藉由提高生產效率和降低相關成本來提供優點。
在本揭露的一些實施方式中,一種半導體元件的製造方法包含:沉積介電質蓋於閘極結構上方。形成源極/汲極接觸於與閘極結構相鄰之源極/汲極區域上方。氧化介電質蓋之頂部。在氧化介電質蓋之頂部之後,沉積蝕刻停止層於介電質蓋上方,並沉積層間介電質層於蝕刻停止層上方。蝕刻層間介電質以及蝕刻停止層以形成延伸穿過層間 介電質層以及蝕刻停止層之連通柱開口。填充源極/汲極連通柱於連通柱開口中。
在本揭露的一些實施方式中,一種半導體元件的製造方法包含:沉積源極/汲極接觸於源極/汲極區域上方。氧化源極/汲極接觸之頂部以形成金屬氧化物區域於源極/汲極接觸中。在氧化源極/汲極接觸之頂部之後,形成覆蓋源極/汲極接觸之金屬氧化物區域之層間介電質層。形成連通柱開口於層間介電質層中以暴露源極/汲極接觸。填充源極/汲極連通柱於連通柱開口中。
在本揭露的一些實施方式中,一種半導體元件包含:閘極結構、介電質蓋、源極/汲極接觸、層間介電質(ILD)層以及源極/汲極連通柱。介電質蓋位於閘極結構上方,並包含氧化區域和未氧化區域於閘極結構以及氧化區域之間。源極/汲極接觸與閘極結構相鄰。ILD層位於介電質蓋以及源極/汲極接觸上方。源極/汲極連通柱位於ILD層中,並電連接至源極/汲極接觸。
100,200,200a:積體電路結構
102:半導體帶
104,230:鰭片
106,250:虛設閘極結構
108,132,322:閘極介電質層
110:虛設閘極電極
112:底部遮罩
114:頂部遮罩
116,260:閘極間隔物
118,262:第一間隔物層
12,210:基板
120,264:第二間隔物層
122,280:源極/汲極磊晶結構
126,148,310,370:ILD層
130,320:閘極結構
134,324:功函數金屬層
136,326:填充金屬
138,330:金屬蓋
14,240:隔離區域
140:介電質覆蓋層
142,340:介電質蓋
1421,341:氧化區域
1422,342:未氧化區域
144,350:源極/汲極接觸
1441,351:金屬氧化物區域
1442,352:未氧化金屬區域
146,360:MCESL
150,380:源極/汲極連通柱
1501,1521:第一線性界面
1502,1522:第二線性界面
152,390:對接接觸
202:溝槽
212:基板部位
220:磊晶堆疊
222,224:磊晶層
252:虛設閘極介電質層
254:虛設閘極電極層
256,258:硬遮罩層
270:內部間隔物
910:HM層
912:HM氧化物層
914:HM氮化物層
B-B:線
ET1,ET2,ET3,ET4,ET5,ET6,ET7,ET8:蝕刻製程
GT1,GT2:閘極溝槽
M1,M2:圖案化遮罩層
O1,O2,O3,O4,O5,O6,O7,O8,O9,O10,O11:開口
R1,R2,R3:凹陷
S/D:源極/汲極區域
T1,T2,T3,T4:厚度
X-X,Y-Y:切口
當結合附圖閱讀時,得以自以下詳細描述最佳地理解本揭露。需強調的是,根據本領域之標準實務,各種特徵並未按比例繪製且僅用於說明目的。事實上,為了論述清楚起見,可任意地增加或減少各種特徵之尺寸。
第1圖至第18D圖繪示了根據本揭露的一些實施方式的積體電路結構的形成中的中間階段的透視圖和剖面圖。
第19圖至第23B圖繪示了根據本揭露的一些其他實施方式的用於製造積體電路結構的各個階段的例示性剖面圖。
第24圖至第42D圖繪示了根據本揭露的一些實施方式的積體電路結構的形成中的中間階段的透視圖和剖面圖。
第43圖至第47B圖繪示了根據本揭露的一些其他實施方式的用於製造積體電路結構的各個階段的例示性剖面圖。
以下揭露內容提供用於實施本揭露之不同特徵的許多不同實施方式或實施例。以下描述部件及排列之特定實施方式以簡化本揭露。當然,此些僅為實施方式,且並不意欲為限制。其他部件、數值、操作、材料或排列等都是可以預期的。舉例來說,在以下敘述中,形成第一特徵在第二特徵上方或之上可以包含第一和第二特徵直接接觸形成的實施方式,並且還可以包含在第一和第二特徵之間形成附加特徵的實施方式,使得第一和第二特徵可以不直接接觸。另外,本揭露可以在各個實施方式中重複參考數字和/或字母。該重複是出於簡單和清楚的目的,並且其本身並不指示所敘述的各種實施方式和/或配置之間的關係。
另外,為了便於描述,可在本文中使用像是「在……以下」、「在……下方」、「下部」、「在……上方」、「上部」及其類似術語之空間相對術語,以描述如諸圖中所繪示之一個元件或特徵與另一(另一些)元件或特徵的關 係。除了諸圖中所描繪之定向以外,此些空間相對術語意欲涵蓋元件在使用中或操作中之不同定向。元件可以是其他方向(旋轉90度或以其他方向),且可同樣相應地解釋本文中所使用之空間相對描述詞。
如本文所使用的“左右”、“約”、“大約”或“實質上”通常應指給定值或範圍的百分之二十、或百分之十或百分之五以內。在此給出的數值是近似的,意味著如果沒有明確說明,則可以推斷出術語“左右”、“約”、“大約”或“實質上”。
鰭片可以藉由任何合適的方法來圖案化。例如,可以使用一種或多種光刻製程來圖案化鰭片,包含雙重圖案化或多重圖案化製程。通常,雙重圖案化或多重圖案化製程將光刻和自對準過程相結合,從而允許創建例如間距小於使用單個直接光刻法可獲得的間距的圖案。例如,在一個實施方式中,在基板上方形成犧牲層並使用光刻製程將其圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。然後去除犧牲層,然後可以使用剩餘的間隔物來圖案化鰭片。
在完成用於製造電晶體的前端(FEOL)處理之後,在電晶體的源極/汲極區域上方形成源極/汲極接觸。然後,在源極/汲極接觸上方形成源極/汲極連通柱,以將源極/汲極接觸電連接至隨後形成的互連金屬線。源極/汲極連通柱的形成通常包含在源極/汲極接觸上方沉積層間介電質(ILD)層,藉由使用非均向性蝕刻形成延伸穿過ILD層的 連通柱開口,然後在連通柱開口中沉積一層或多層金屬層以形成用作源極/汲極連通柱。為了防止在非均向性蝕刻製程中過度蝕刻源極/汲極接觸,在形成ILD層之前,在源極/汲極接觸上方形成一個額外的蝕刻停止層(也稱為中間接觸蝕刻停止層(MCESL)。MCESL具有與ILD層不同的蝕刻選擇性,因此MCESL可以減慢形成連通柱開口的蝕刻製程,從而防止過度蝕刻源極/汲極接觸。為了防止在MCESL蝕刻製程中過度蝕刻源極/汲極接觸附近的介電材料,可以在形成MCESL之前對介電材料執行額外的電漿處理。電漿處理會在介電材料中形成一個氧化區域,該氧化區域的蝕刻選擇性與MCESL不同,因此氧化區域會減慢甚至停止形成連通柱開口的蝕刻製程,從而防止了對在氧化區域下的介電材料之過度蝕刻,降低了洩漏電流的風險。
第1圖至第18D圖繪示了根據本揭露的一些實施方式的積體電路結構100的形成中之中間階段的透視圖和剖面圖。根據一些例示性實施方式,形成的電晶體可以包含p型電晶體(像是p型FinFET)和n型電晶體(像是n型FinFET)。貫穿各種視圖和說明性實施方式,相似的參考數字用於指示相似的元件。應該理解的是,可以在第1圖至第18D圖所示的過程之前、之中和之後提供額外的操作,並且對於該方法的另外的實施方式來說,以下描述的一些操作可以被替換或消除。操作/製程的順序可以互換。
第1圖繪示了結構的透視圖。該結構包含基板12。 基板12可以是半導體基板(在一些實施方式中也稱為晶圓),其可以是矽基板、鍺化矽基板或由其他半導體材料形成的基板。根據本揭露的一些實施方式,基板12包含塊狀矽基板和在塊狀矽基板上方的磊晶鍺化矽(SiGe)層或鍺層(其中沒有矽)。基板12可以摻雜有p型或n型雜質。可以形成隔離區域14(像是淺溝槽隔離(STI)區域)以延伸到基板12中。基板12在相鄰隔離區域14之間的部位被稱為半導體帶102。
隔離區域14可以包含內襯氧化物(未繪示)。內襯氧化物可以由透過對基板12的表面層進行熱氧化而形成的熱氧化物形成。內襯氧化物還可以是使用例如原子層沉積(ALD)、高密度電漿化學氣相沉積(HDPCVD)或化學氣相沉積(CVD)形成的沉積氧化矽層。隔離區域14還可以包含在內襯氧化物上方的介電材料,以及可以使用可流動化學氣相沉積(FCVD)或旋塗(spin-on coating)等形成的介電材料。
參考第2圖,隔離區域14凹陷使得半導體帶102的頂部突出高於相鄰隔離區域14的頂面,以形成突出的鰭片104。可以使用乾式蝕刻製程來蝕刻,其中使用NH3和NF3作為蝕刻氣體。在蝕刻製程中,可能會產生電漿。也可以包含氬氣。根據本揭露的替代實施方式,使用濕式蝕刻製程來執行隔離區域14的凹陷。舉例來說,蝕刻化學品可以包含稀釋的HF。
在上述例示性實施方式中,可以藉由任何合適的方 法來圖案化鰭片。例如,可以使用一種或多種光刻製程來圖案化鰭片,包含雙重圖案化或多重圖案化製程。通常,雙重圖案化或多重圖案化製程將光刻和自對準過程相結合,從而允許創建例如間距小於使用單個、直接的光刻法可獲得的間距之圖案。例如,在一些實施方式中,犧牲層形成在基板上方並使用光刻製程將其圖案化。使用自對準製程在被圖案化的犧牲層旁邊形成間隔物。然後,去除犧牲層,然後可以使用剩餘的間隔物或心軸來圖案化鰭片。
突出鰭片104的材料也可以用不同於基板12的材料代替。例如,如果突出鰭片104用於n型電晶體,則突出鰭片104可以由Si、SiP、SiC、SiPC或像是InP、GaAs、AlAs、InAs、InAlAs或InGaAs等的III-V化合物半導體形成。另一方面,如果突出鰭片104用於p型電晶體,則突出鰭片104可以由Si、SiGe、SiGeB,Ge或像是InSb、GaSb或InGaSb等的III-V族化合物半導體形成。
參考第3A圖和第3B圖,虛設閘極結構106形成在突出鰭片104的頂面和側壁上。第3B圖繪示了從包含第3A圖中的線B-B的垂直平面獲得的剖面圖。虛設閘極結構106的形成包含在鰭片104上依序沉積閘極介電質層和虛設閘極電極層,隨後圖案化閘極介電質層和虛設閘極電極層。作為圖案化的結果,虛設閘極結構106包含閘極介電質層108和在閘極介電質層108上方的虛設閘極電極110。閘極介電質層108可以是任何可接受的介電層, 像是氧化矽、氮化矽或其類似物或它們的組合,並且可以使用任何可接受的製程形成,像是熱氧化、旋塗製程、CVD或類似製程。虛設閘極電極110可以是任何可接受的電極層,像是包含多晶矽、金屬等或其組合。可以藉由任何可接受的沉積製程來沉積閘極電極層,像是CVD或電漿增強CVD(PECVD)等。虛設閘極結構106中的每一個都跨過單個或多個突出鰭片104。每一個虛設閘極結構106可以具有與相應的突出鰭片104的長度方向垂直的長度方向。
可以在虛設閘極電極層上方形成遮罩圖案以輔助圖案化。在一些實施方式中,硬遮罩圖案包含在多晶矽的毯覆層上方的底部遮罩112和在底部遮罩112上方的頂部遮罩114。硬遮罩圖案由SiO2、SiCN、SiON、Al2O3、SiN或其他合適的材料製成。在某些實施方式中,底部遮罩112包含氧化矽,並且頂部遮罩114包含氮化矽。藉由使用遮罩圖案作為蝕刻遮罩,虛設電極層被圖案化為虛設閘極電極110,並且將毯覆閘極介電質層圖案化為閘極介電質層108。
接下來,如第4圖所示,閘極間隔物116形成在虛設閘極結構106的側壁上。在形成閘極間隔物的操作的一些實施方式中,在基板12上沉積間隔物材料層。間隔物材料層可以是保形層,其隨後被回蝕以形成閘極間隔物116。在一些實施方式中,間隔物材料層包含多層,例如第一間隔物層118和形成在第一間隔物層118上方的第二間隔物層120。每一個第一間隔物層118和第二間隔物層 120均由合適的材料製成,像是氧化矽、氮化矽、碳化矽、氮氧化矽、SiCN、碳氧化矽、SiOCN和/或它們的組合。作為實施例而非限制,可以藉由使用像是CVD製程、亞大氣壓CVD(SACVD)製程、可流動CVD製程、ALD製程、PVD製程或其他合適的製程在虛設閘極結構106上方依序沉積兩種不同的介電材料來形成第一間隔物層118和第二間隔物層120。然後在沉積的第一間隔物層118和第二間隔物層120上執行非均向性蝕刻製程,以暴露鰭片104的未被虛設閘極結構106覆蓋的部位(例如,在鰭片104的源極/汲極區域中)。可以藉由該非均向性蝕刻製程去除在虛設閘極結構106正上方的第一間隔物層118和第二間隔物層120的部位。為了簡單敘述起見,可以保留虛設閘極結構106的側壁上的第一間隔物層118和第二間隔物層120的部位,從而形成閘極間隔物,其被表示為閘極間隔物116。在一些實施方式中,第一間隔物層118由介電常數比氮化矽低的氧化矽形成,並且第二間隔物層120由對後續的蝕刻處理(例如,蝕刻鰭片104中的源極/汲極凹陷)比氧化矽具有更高的蝕刻抵抗力的氮化矽形成。在一些實施方式中,閘極間隔物116可以用於偏移隨後形成的摻雜區域,像是源極/汲極區域。閘極間隔物116可以進一步用於設計或修改源極/汲極區域輪廓。
在完成閘極間隔物116的形成之後,在未被虛設閘極結構106和閘極間隔物116覆蓋的鰭片104的源極/汲極區域上形成源極/汲極結構(源極/汲極磊晶結構)122。 所得結構如第5圖所示。在一些實施方式中,源極/汲極結構(源極/汲極磊晶結構)122的形成包含使鰭片104的源極/汲極區域凹陷,隨後在鰭片104的凹陷的源極/汲極區域中磊晶生長半導體材料。
可以使用合適的選擇性蝕刻製程使鰭片104的源極/汲極區域凹陷,該選擇性蝕刻製程侵蝕半導體鰭片104,而幾乎不侵蝕閘極間隔物116和虛設閘極結構106的頂部遮罩114。舉例來說,可以藉由利用電漿源和蝕刻劑氣體的乾式化學蝕刻來執行半導體鰭片104的凹陷。電漿源可以是感應耦合電漿(ICP)蝕刻、變壓耦合電漿(TCP)蝕刻、電子迴旋共振(ECR)蝕刻、反應離子蝕刻(RIE)或類似等,並且蝕刻劑氣體可以是氟、氯、溴、其組合等或類似氣體,其以比蝕刻閘極間隔物116和虛設閘極結構106的頂部遮罩114更快的蝕刻速率蝕刻半導體鰭片104。在一些其他實施方式中,可以藉由濕式化學蝕刻(像是過氧化銨混合物(APM)、NH4OH、四甲基氫氧化銨(TMAH)、它們的組合等或類似物)來執行半導體鰭片104的凹陷,其以比蝕刻閘極間隔物116和虛設閘極結構106的頂部遮罩114更快的蝕刻速率來蝕刻半導體鰭片104。在一些其他實施方式中,可以藉由執行乾式化學蝕刻和濕式化學蝕刻的組合來使半導體鰭片104凹陷。
一旦在鰭片104的源極/汲極區域中形成凹陷,就可以藉由使用提供一種或多種磊晶材料在半導體鰭片104上的一種或多種磊晶或磊晶(epi)製程在鰭片104中的源 極/汲極凹陷中形成源極/汲極磊晶結構122。在磊晶生長製程期間,閘極間隔物116將一種或多種磊晶材料限制在鰭片104中的源極/汲極區域。在一些實施方式中,源極/汲極磊晶結構122的晶格常數與半導體鰭片104的晶格常數不同,使得鰭片104中以及源極/汲極磊晶結構122之間的通道區域可以被源極/汲極磊晶結構122拉緊或施壓,以提高半導體元件的載子遷移率並增強元件性能。磊晶製程包含CVD沉積技術(例如,PECVD、氣相磊晶(VPE)和/或超高真空CVD(UHV-CVD))、分子束磊晶和/或其他合適的製程。磊晶製程可以使用與半導體鰭片104的成分相互作用的氣態和/或液態前驅物。
在一些實施方式中,源極/汲極磊晶結構122可以包含Ge、Si、GaAs、AlGaAs、SiGe、GaAsP、SiP或其他合適的材料。源極/汲極磊晶結構122可以在磊晶製程期間藉由導入摻雜物質(包含:p型摻雜物,例如硼或BF2;n型摻雜物,例如磷或砷;和/或其他合適的摻雜物包含其組合)而被原位摻雜。如果源極/汲極磊晶結構122未被原位摻雜,則執行植入製程(即,接面植入製程)以摻雜源極/汲極磊晶結構122。在一些例示性實施方式中,源極/汲極磊晶結構122在n型電晶體中包含SiP,而在p型電晶體中則包含GeSnB和/或SiGeSnB。在具有不同元件類型的實施方式中,可以在n型元件區域上方形成遮罩(例如,光阻),同時暴露p型元件區域,並且可以在p型元件區域中的暴露鰭片104上形成p型磊晶結構。然後,可以去除 遮罩。隨後,可以在暴露n型元件區域的同時在p型元件區域上方形成遮罩(例如,光阻),並且可以在n型元件區域中的暴露鰭片104上形成n型磊晶結構。然後,可以去除遮罩。
一旦形成了源極/汲極磊晶結構122,就可以執行退火製程以活化源極/汲極磊晶結構122中的p型摻雜物或n型摻雜物。舉例來說,退火製程可以是快速熱退火(RTA)、雷射退火、毫秒熱退火(MSA)或類似的製程等。
接下來,在第6圖中,在基板12上形成層間介電質(ILD)層126。在一些實施方式中,在形成ILD層126之前也形成接觸蝕刻停止層(CESL)。在一些實施例中,CESL包含氮化矽層、氧化矽層、氮氧化矽層和/或具有與ILD層126不同蝕刻選擇性的其他合適的材料。CESL可以藉由電漿增強化學氣相沉積(PECVD)和/或其他合適的沉積或氧化製程形成。在一些實施方式中,ILD層126包含像是正矽酸四乙酯(TEOS)形成的氧化物、未摻雜矽酸鹽玻璃或像是硼磷矽酸鹽玻璃(BPSG)、熔融石英玻璃(FSG)、磷矽酸鹽玻璃(PSG)之類的摻雜矽氧化物的材料、硼摻雜矽玻璃(BSG)和/或具有與CESL不同的蝕刻選擇性之其他合適的介電材料之材料。可以藉由PECVD製程或其他合適的沉積技術來沉積ILD層126。在一些實施方式中,在形成ILD層126之後,可以對晶圓進行高熱積存製程以將ILD層126退火。
在一些實施例中,在形成ILD層126之後,可以 執行平坦化製程以去除ILD層126的過多材料。例如,平坦化製程包含化學機械平坦化(CMP)製程,該化學機械平坦化(CMP)製程去除了覆蓋在虛設閘極結構106上的ILD層126(和CESL,如果存在的話)之部位。在一些實施方式中,CMP製程還去除了硬遮罩層(底部遮罩)112、(頂部遮罩)114(如第5圖所示)並暴露了虛設閘極電極110。
接下來,如第7圖所示,去除剩餘的虛設閘極結構106(參見第6圖),從而在對應的閘極間隔物116之間形成閘極溝槽GT1。使用以比其蝕刻其他材料(例如,閘極間隔物116、CESL和/或ILD層126)更快的蝕刻速率來蝕刻在虛設閘極結構106中的材料之選擇性蝕刻製程(例如,選擇性乾式蝕刻、選擇性濕式蝕刻或其組合)來去除虛設閘極結構106。
此後,如第8圖所示,替換閘極結構130分別形成在閘極溝槽GT1中。閘極結構130可以是FinFET的最終閘極。每一個最終閘極結構可以是高k/金屬閘極堆疊,但也可以是其他組成。在一些實施方式中,每一個閘極結構130形成與由鰭片104提供的通道區域的三個側面相關聯的閘極。換句話說,每一個閘極結構130在鰭片104的三個側面上包圍。在各種實施方式中,高k/金屬閘極結構130包含襯在閘極溝槽GT1上的閘極介電質層132,形成在閘極介電質層132上方的功函數金屬層134以及形成在功函數金屬層134上方並填充閘極溝槽GT1的其餘部位 的填充金屬136。閘極介電質層132包含界面層(例如,氧化矽層)和位於界面層上方的高k閘極介電質層。如本文所使用和描述的,高k閘極介電質包含具有高介電常數的介電材料,例如,其介電常數大於熱氧化矽的介電常數(~3.9)。在高k/金屬閘極結構130內使用的功函數金屬層134和/或填充金屬136可以包含金屬、金屬合金或金屬矽化物。高k/金屬閘極結構130的形成可以包含多種沉積製程以形成各種閘極材料、一個或多個襯層以及一種或多種CMP製程以去除過多的閘極材料。
在一些實施方式中,閘極介電質層132的界面層可以包含介電材料(像是氧化矽(SiO2)、HfSiO或氮氧化矽(SiON))。界面層可以藉由化學氧化、熱氧化、原子層沉積(ALD)、化學氣相沉積(CVD)和/或其他合適的方法形成。閘極介電質層132的高k介電質層可以包含氧化鉿(HfO2)。或者,閘極介電質層132可以包含其他高k介電質,像是氧化鉿矽(HfSiO)、氮氧化鉿矽(HfSiON)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、氧化鉿鋯(HfZrO)、氧化鑭(LaO)、氧化鋯(ZrO)、氧化鈦(TiO)、氧化鉭(Ta2O5)、氧化釔(Y2O3)、氧化鍶鈦(SrTiO3,STO)、氧化鋇鈦(BaTiO3,BTO)、氧化鋇鋯(BaZrO)、氧化鉿鑭(HfLaO)、氧化鑭矽(LaSiO)、氧化鋁矽(AlSiO)、氧化鋁(Al2O3)、氮化矽(Si3N4)、氮氧化矽(SiON)及其組合。
功函數金屬層134可以包含功函數金屬,以對高 k/金屬閘極結構130提供合適的功函數。對於n型FinFET,功函數金屬層134可以包含一個或多個n型功函數金屬(N金屬)。n型功函數金屬可例示性地包含但不限於鋁化鈦(TiAl)、氮化鈦鋁(TiAlN)、碳氮化鉭(TaCN)、鉿(Hf)、鋯(Zr)、鈦(Ti)、鉭(Ta)、鋁(Al)、金屬碳化物(例如,碳化鉿(HfC)、碳化鋯(ZrC)、碳化鈦(TiC)、碳化鋁(AlC))、鋁化物和/或其他合適的材料。另一方面,對於p型FinFET,功函數金屬層134可以包含一種或多種p型功函數金屬(P金屬)。p型功函數金屬可例示性地包含但不限於氮化鈦(TiN)、氮化鎢(WN)、鎢(W)、釕(Ru)、鈀(Pd)、鉑(Pt)、鈷(Co)、鎳(Ni)、導電金屬氧化物和/或其他合適的材料。
在一些實施方式中,填充金屬136可以例示性地包含但不限於鎢、鋁、銅、鎳、鈷、鈦、鉭、氮化鈦、氮化鉭、矽化鎳、矽化鈷、碳化鉭、氮化鉭矽、碳氮化鉭、鋁化鈦、氮化鈦鋁或其他合適的材料。
然後,參考第9圖。執行回蝕製程以回蝕替換閘極結構130和閘極間隔物116,從而在回蝕閘極結構130和回蝕閘極間隔物116上方形成凹陷R1。在一些實施方式中,因為替換閘極結構130的材料具有與閘極間隔物116不同的蝕刻選擇性,所以可以首先執行第一選擇性蝕刻製程以回蝕替換閘極結構130以降低替換閘極結構130。然後,執行第二選擇性蝕刻製程以降低閘極間隔物116。因此,替換閘極結構130的頂面可以與閘極間隔物116的 頂面處於不同的高度。在如第9圖所示的實施方式中,替換閘極結構130具有比閘極間隔物116的頂面更低的頂面。然而,在一些其他實施方式中,替換閘極結構130的頂面可以與閘極間隔物116的頂面齊平或高於閘極間隔物116的頂面。
隨後,藉由合適製程(像是CVD或ALD)分別在替換閘極結構130的頂部上形成金屬蓋138。在一些實施方式中,金屬蓋138使用自下而上的方法形成在替換閘極結構130上。例如,金屬蓋138在像是功函數金屬層134和填充金屬136的金屬表面上選擇性地生長,因此閘極間隔物116的側壁實質上沒有金屬蓋138的生長。作為實施例而非限制,金屬蓋138可以是實質上無氟的鎢(FFW)膜,其氟污染物的量小於5原子%,且氯污染物的量大於3原子%。FFW膜或包含FFW的膜可以使用一種或多種基於非氟的鎢前驅物(例如,五氯化鎢(WCl5)、六氯化鎢(WCl6),但不限於此)藉由ALD或CVD形成。在一些實施方式中,金屬蓋138的部位可以在閘極介電質層132上方溢出,使得金屬蓋138也可以覆蓋閘極介電質層132的暴露表面。由於金屬蓋138以自下而上的方式形成,舉例來說,可以藉由減少用於去除由於共形生長產生的不想要的金屬材料之重複的回蝕製程來簡化金屬蓋138的形成。
在使用自下而上的方法形成金屬蓋138的一些實施方式中,與介電質表面(即,閘極間隔物116中的介電質)相比,金屬蓋138的生長在金屬表面(即,閘極結構130 中的金屬)上具有不同的成核延遲。金屬表面上的成核延遲比介電質表面上的成核延遲更短。因此,成核延遲差允許在金屬表面上選擇性生長。本揭露在各種實施方式中利用這樣的選擇性來允許金屬從閘極結構130生長,同時抑制金屬從閘極間隔物116生長。因此,金屬蓋138在閘極結構130上的沉積速率比在閘極間隔物116上的沉積速率更快。在一些實施方式中,所得金屬蓋138的頂面低於回蝕刻閘極間隔物116的頂面。然而,在一些實施方式中,金屬蓋138的頂面可以與回蝕閘極間隔物116的頂面齊平或比回蝕閘極間隔物116的頂面更高。
接下來,如第10圖所示,將介電質覆蓋層140沉積在基板12上方,直到凹陷R1被過度填充為止。介電質覆蓋層140包含SiN、SiC、SiCN、SiON、SiCON、其組合或類似物,並藉由像是CVD、電漿增強CVD(PECVD)、ALD、遠程電漿ALD(RPALD)、電漿增強ALD(PEALD)或其組合等合適的沉積技術形成。然後,執行CMP製程以去除凹陷R1外部的覆蓋層,從而將介電質覆蓋層140的部位留在凹陷R1中以用作介電質蓋142。第11圖繪示了所得的結構。
參考第12圖,形成源極/汲極接觸144延伸穿過ILD層126(和CESL,如果存在的話)。例如但不意欲限於,源極/汲極接觸144的形成包含執行一個或多個蝕刻製程以形成延伸穿過ILD層126以暴露源極/汲極磊晶結構122的接觸開口、沉積一種或多種過度填充接觸開口的金 屬材料,然後執行CMP製程以去除接觸開口外部的過多金屬材料。在一些實施方式中,一個或多個蝕刻製程是選擇性蝕刻,其以比蝕刻介電質蓋142和閘極間隔物116更快的蝕刻速率來蝕刻ILD層126。因此,使用介電質蓋142和閘極間隔物116作為蝕刻遮罩執行選擇性蝕刻,使得接觸開口以及因此源極/汲極接觸144形成為與源極/汲極磊晶結構122自對準,而無需使用額外的光刻製程。在那種情況下,允許以自對準的方式形成源極/汲極接觸144的介電質蓋142可以被稱為自對準接觸(SAC)蓋142。
在完成源極/汲極接觸144的形成之後,在含氧環境中對介電質蓋142進行處理,使得介電質蓋142的表面層被氧化以在介電質蓋142中形成氧化區域1421,同時使介電質蓋142的剩餘區域(未氧化區域)1422未被氧化。第13圖中繪示了所得結構。處理操作可以包含O2電漿處理,其中將含氧氣體導入處理腔室,在該處理腔室中由含氧氣體產生電漿。作為實施例而非限制,將具有第12圖所示的結構的半導體基板12裝載到電漿工具中,並暴露於由氧氣(O2)或氧氣與一種或多種的氬氣、氦氣、氖氣、氪氣、氮氣、一氧化碳氣體、二氧化碳氣體、CxHyFz(其中x、y和z大於零且不大於9)氣體、三氟化氮氣體、羰基硫(COS)氣體、SO2氣體的氣態混合物產生的電漿環境中。電漿蝕刻環境具有約10至約100mTorr的壓力,並且電漿由約50至約1000瓦之間的RF功率產生。
作為O2電漿處理的結果,在介電質蓋142的頂面 中發生氧化,從而得到氧化區域1421。在一些實施方式中,對於3nm技術節點,氧化區域1421的厚度T1在約1埃至約50埃的範圍內。如果厚度T1小於約1埃,則氧化區域1421可能太薄而不能減慢甚至停止隨後的蝕刻製程。此外,在一些實施方式中,由於介電質蓋142的氧化區域1421具有不大於約50埃的厚度T1,因此可以自然地穿通而無需擔心蝕刻停止(即,無需考慮蝕刻製程會被在第19圖的情況下的氧化區域1421停止)。對於其他技術節點,例如20nm節點、16nm節點、10nm節點、7nm節點和/或5nm節點,厚度T1可以在約1nm至約20nm的範圍內。舉例但不限制,氧化區域1421的厚度可以藉由使用O2電漿處理的RF功率和/或偏置功率來控制。在一些實施方式中,未氧化區域1422比氧化區域1421更厚。
在介電質蓋142由SiN製成的一些實施方式中,O2電漿處理導致介電質蓋142中的氧化氮化物區域(氮氧化矽(SiOxNy))1421和在氧化氮化物區域(氧化區域)1421下方的未氧化氮化物區域(未氧化區域)1422區域。氧化氮化物區域(氧化區域)1421可以與未氧化氮化物區域(未氧化區域)1422形成可區分的界面,因為它們具有不同的材料組成(例如,具有比未氧化氮化物區域(未氧化區域)1422更高的氧原子百分比和/或更高的氧/氮原子比的氧化氮化物區域(氧化區域)1421)。
在一些實施方式中,由於電漿處理,氧化區域 1421可具有氧濃度梯度。例如,在氧化區域1421中的氧原子百分比可以從介電質蓋142的頂面沿深度方向減少。在介電質蓋142是氮化矽的一些實施方式中,氧化區域1421的氧/氮原子比可以從介電質蓋142的頂面沿深度方向減少。
在一些實施方式中,在O2電漿處理期間,源極/汲極接觸144的頂部可以在無意間被氧化以在源極/汲極接觸144中形成金屬氧化物區域1441,同時使得源極/汲極接觸144的剩餘金屬區域(未氧化金屬區域)1442未氧化。第13圖繪示了所得結構。金屬氧化物區域1441可以與未氧化金屬區域1442形成可區分的界面,因為它們具有不同的材料組成(例如,具有比未氧化金屬區域1442更高的氧原子百分比和/或更高的氧/氮原子比的金屬氧化物區域1441)。
在一些實施方式中,金屬氧化物區域1441的厚度T2在約1埃至約50埃的範圍內。由於源極/汲極接觸144和介電質蓋142之間的材料差異,金屬氧化物區域1441和氧化區域1421的可以具有不同的氧化程度。例如,氧化區域1421的厚度T1可以大於、實質上相同或小於金屬氧化物區域1441的厚度T2。
在一些實施方式中,由於電漿處理,金屬氧化物區域1441可以具有氧濃度梯度。例如,金屬氧化物區域1441中的氧原子百分比可以從源極/汲極接觸144的頂面沿深度方向減少。在源極/汲極接觸144是金屬的一些實施 方式中,在金屬氧化物區域1441中的氧對金屬原子比可從源極/汲極接觸144的頂面沿深度方向減少。
一旦形成了氧化區域1421(和金屬氧化物區域1441),在第14圖中,中間接觸蝕刻停止層(MCESL)146隨後形成在源極/汲極接觸144和介電質蓋142上方,MCESL 146可以藉由PECVD製程和/或其他合適的沉積製程形成。在一些實施方式中,MCESL 146是氮化矽層和/或具有與隨後形成的ILD層(如第15圖所示)和氧化區域1421不同的蝕刻選擇性的其他合適的材料。
參考第15圖,在MCESL 146上方形成另一個ILD層148。在一些實施方式中,ILD層148包含像是原矽酸四乙酯(TEOS)形成的氧化物、未摻雜的矽酸鹽玻璃或摻雜的氧化矽(像是硼磷矽酸鹽玻璃(BPSG)、熔融石英玻璃(FSG)、磷矽酸鹽玻璃(PSG)、摻硼矽玻璃(BSG)之類的材料)和/或蝕刻選擇性不同於MCESL 146的其他合適的介電材料。在某些實施方式中,ILD層148由氧化矽(SiOx)形成。可以藉由PECVD製程或其他合適的沉積技術來沉積ILD層148。
參考第16A圖,藉由使用第一蝕刻製程(也稱為連通柱蝕刻製程)ET1對ILD層148圖案化以形成延伸穿過ILD層148的連通柱開口O1。控制連通柱蝕刻製程ET1的蝕刻持續時間以允許穿通ILD層148,同時MCESL 146用作蝕刻製程ET1的蝕刻停止層。在一些實施方式中,在連通柱蝕刻製程ET1之前,執行光刻製程以定義連通柱 開口O1的預期俯視圖案。例如,光刻製程可以包含如第15圖所示在ILD層148上方的旋塗光阻層、執行曝光後烘烤製程以及顯影光阻層以形成具有連通柱開口O1的俯視圖案的圖案化遮罩。在一些實施方式中,可以使用電子束(e-beam)光刻製程或極紫外(EUV)光刻製程來圖案化光阻以形成圖案化遮罩。
在一些實施方式中,連通柱蝕刻製程ET1是非均向性蝕刻製程,像是電漿蝕刻。以電漿蝕刻為例,將具有第15圖中所示結構的半導體基板12裝入電漿工具中,並暴露在藉由在含氟氣體(例如,C4F8、C5F8、C4F6、CHF3或相似物)、惰性氣體(例如,氬氣或氦氣)、可選的弱氧化劑(例如,O2或CO或類似物)的氣態混合物中的RF或微波功率產生的電漿環境中,其持續時間足以蝕刻穿過ILD層148以形成連通柱開口O1。在包含C4F6、CF4、CHF3、O2和氬氣的氣體混合物中產生的電漿可用於穿過ILD層148進行蝕刻。電漿蝕刻環境的壓力在約10mTorr至約100mTorr之間,並且電漿藉由RF功率在約50至約1000瓦之間產生。
在一些實施方式中,以使得MCESL 146(例如,SiN)展現出比ILD層148(例如,SiOx)慢的蝕刻速率的方式選擇連通柱蝕刻製程ET1的前述蝕刻劑和蝕刻條件。這樣,MCESL 146可以充當為可檢測的蝕刻終點,從而防止過度蝕刻,並防止蝕刻MCESL 146。換句話說,調整連通柱蝕刻製程ET1以比蝕刻氮化矽更快的蝕刻速率來 蝕刻氧化矽。已經觀察到,當從包含氫氣(H2)的氣態混合物產生蝕刻電漿時,氮化矽的蝕刻速率將增加。因此,根據本揭露的一些實施方式,使用無氫的氣體混合物執行連通柱蝕刻製程ET1以抑制氮化矽的蝕刻速率。換句話說,在連通柱蝕刻製程ET1中的電漿是在沒有氫氣(H2)的氣體混合物中產生的。這樣,在連通柱蝕刻製程ET1中,氮化矽的蝕刻速率保持較低,其進而允許以比蝕刻氮化矽(即,MCESL材料)更快的蝕刻速率來蝕刻氧化矽(即,ILD材料)。
在如第16A圖所示的一些實施方式中,由於非均向性蝕刻的性質,連通柱開口O1具有漸縮的側壁輪廓。然而,在一些其他實施方式中,如第16B圖所示,可以對蝕刻條件進行微調以允許連通柱開口O1具有垂直的側壁輪廓。
參考第17A圖,藉由使用第二蝕刻製程(也稱為連通柱蝕刻製程)ET2對MCESL 146進行圖案化以形成延伸穿過MCESL 146的連通柱開口O2。控制連通柱蝕刻製程ET2的蝕刻持續時間以允許穿通MCESL 146,同時氧化區域1421用作蝕刻製程ET2的蝕刻停止層。
在一些實施方式中,蝕刻製程ET2是非均向性蝕刻製程,例如使用與連通柱蝕刻製程ET1不同的蝕刻劑和/或或蝕刻條件的電漿蝕刻(例如,感應耦合電漿(ICP)、電容耦合電漿(CCP)或類似等)。以使得氧化區域1421呈現出比MCESL 146更慢的蝕刻速率的方式選擇蝕刻製程 ET2的蝕刻劑和/或蝕刻條件。藉由這種方式,氧化區域1421可以抑制或減慢在蝕刻製程ET2期間過度蝕刻介電質覆蓋層140。以電漿蝕刻為例,將具有第16A圖或第16B圖所示的結構的半導體基板12裝載到電漿工具中,並暴露在藉由在含氟氣體(例如,CHF3、CF4、C2F2、C4F6、CxHyFz(x、y和z大於零且不大於9)或類似物質)、含氫氣體(例如,H2)、惰性氣體(例如,氬氣或氦氣)的氣態混合物中的RF或微波功率產生的電漿環境中,其持續時間足以蝕刻穿過MCESL 146,但不蝕刻穿過氧化區域1421。電漿蝕刻環境的壓力在約10至100mTorr之間,並且電漿是藉由在約50至約1000瓦特之間的RF功率產生的。
由含氫的氣體混合物產生的電漿可以以比蝕刻氮氧化矽更快的蝕刻速率來蝕刻氮化矽,因此使用含氫氣體混合物進行的蝕刻製程ET2以比蝕刻MCESL 146更慢的蝕刻速率蝕刻氧化區域1421。以這種方式,氧化區域1421可以抑制或減慢蝕刻製程ET2期間的過度蝕刻。在一些實施方式中,蝕刻製程ET2使用CHF3氣體與H2氣體的氣體混合物,CHF3氣體與H2氣體的流量比為約1:1至約1:100。在一些實施方式中,蝕刻製程ET2使用CF4氣體與H2氣體的氣體混合物,CF4氣體與H2氣體的流量比為約1:1至約1:100。H2氣體流速過高可能會導致穿過MCESL 146進行蝕刻時蝕刻速度過快,進而導致MCESL 146中不可忽略的彎曲輪廓。H2氣體流速過低可能會導致MCESL 146與氧化區域1421之間的蝕刻 選擇性不足。在一些實施方式中,可以在蝕刻製程ET2期間去除金屬氧化物區域1441,使得連通柱開口O2暴露出如第17C圖和第17D圖所示的源極/汲極接觸144的未氧化金屬區域1442。此外,在蝕刻製程ET2中消耗了一些介電質蓋142的氧化區域1421,從而暴露了介電質蓋142的未氧化區域1422。
在一些實施方式中,由於製程變化,在連通柱開口O2和源極/汲極接觸144之間可能存在某些未對準(或覆蓋誤差)。或者,在一些實施方式中,連通柱開口O2的尺寸(或寬度)可能比源極/汲極接觸144的尺寸(或寬度)更大。無論哪種方式,連通柱開口O2都可以暴露出氧化區域1421的部位。然而,由於MCESL 146與氧化區域1421之間的蝕刻選擇性,氧化區域1421會減慢甚至停止形成連通柱開口O2的蝕刻製程,其反過來防止了介電材料(例如,介電質蓋142)的過度蝕刻,並導致降低了洩漏電流的風險。
在如第17A圖和第17C圖所示的一些實施方式中,由於蝕刻製程ET2的非均向性蝕刻的性質,連通柱開口O2具有漸縮的側壁輪廓。然而,如第17B圖和第17D圖所示,在一些其他實施方式中,可以對蝕刻製程ET2和/或先前的連通柱蝕刻製程ET1的蝕刻條件進行微調,以允許連通柱開口O2具有垂直的側壁輪廓。
參考第18A圖,然後在連通柱開口O1和O2中形成源極/汲極連通柱150,以形成與源極/汲極接觸144 的物理連接和電連接。作為實施例而非限制,例如,沉積一種或多種金屬材料以使連通柱開口O1和O2過量填充,然後進行CMP製程以去除連通柱開口O1和O2外部的過多金屬材料以形成源極/汲極連通柱150。作為CMP製程的結果,源極/汲極連通柱150具有實質上與ILD層148共平面的頂面。源極/汲極連通柱150可以包含像是銅、鋁、鎢、其組合或類似物質等的金屬材料,並且可以使用PVD、CVD或ALD等類似方法形成。在一些實施方式中,源極/汲極連通柱150可以進一步包含一個或多個阻障/黏著層(未繪示),以保護ILD層148和/或MCESL 146免受金屬擴散(例如,銅擴散)的影響。一個或多個阻障/黏著層可以包含鈦、氮化鈦、鉭或氮化鉭等類似物質,並且可以使用PVD、CVD或ALD等類似方法形成。
源極/汲極連通柱150繼承了連通柱開口O1和O2的幾何形狀。換句話說,源極/汲極連通柱150的側壁線性延伸穿過ILD層148的整個厚度和MCESL 146的整個厚度。更詳細地,源極/汲極連通柱150與ILD層148形成第一線性界面1501,並與MCESL 146形成第二線性界面1502。第二線性界面1502從第一線性界面1501向下延伸,並且線性界面(第一線性界面)1501和(第二線性界面)1502彼此對準。
在如第18A圖和第18C圖所示的一些實施方式中,由於蝕刻製程ET2的非均向性蝕刻的性質,源極/汲極連通柱150具有漸縮的側壁輪廓。然而,如第18B圖和第 18D圖所示,在一些其他實施方式中,可以對蝕刻製程ET2的蝕刻條件進行微調以允許連通柱開口O1並且因此允許源極/汲極連通柱150具有垂直的側壁輪廓。進一步地,在第18C圖和第18D圖中,源極/汲極連通柱150具有階梯狀的底面,其上階梯接觸氧化區域1421,而下階梯接觸源極/汲極接觸144的未氧化金屬區域1442。
第19圖至第23B圖繪示了根據本揭露的一些其他實施方式的用於製造積體電路結構100的各個階段的例示性剖面圖。應該理解,可以在第19圖至第23B圖所示的製程之前、之中和之後提供額外的操作,並且對於該方法的額外實施方式,以下描述的一些操作可以被替換或消除。操作/製程的順序可以互換。與第1圖至第18D圖所示的相同或相似的配置、材料、製程和/或操作可以在以下實施方式中採用,並且可以省略詳細說明。
在形成如第15圖所示的結構之後,對ILD層148進行圖案化,以形成至少一個向下延伸穿過ILD層148、MCESL 146和介電質蓋142至金屬蓋138的閘極接觸開口O3。在第19圖中繪示了所得結構。ILD層148可以藉由使用適當的光刻和蝕刻技術來圖案化。
接下來,如第20圖所示,圖案化遮罩層M1形成在基板12上方以填充閘極接觸開口O3。圖案化遮罩層M1在源極/汲極接觸144的垂直上方具有開口O4。在一些實施方式中,圖案化遮罩層M1可以是藉由適當的光刻製程形成的光阻遮罩。例如,光刻製程可以包含在如第19 圖所示的結構上旋塗光阻層,執行曝光後烘烤製程,以及顯影光阻層以形成圖案化遮罩層M1。在一些實施方式中,可以使用電子束(e-beam)光刻製程或極紫外(EUV)光刻製程來對光阻進行圖案化以形成圖案化的遮罩元件。
參考第21圖,在圖案化遮罩層M1就位的情況下,執行連通柱蝕刻製程ET3以形成延伸穿過ILD層148的連通柱開口O5。控制連通柱蝕刻製程ET3的蝕刻持續時間以便去除ILD層148並在MCESL 146處停止。關於連通柱蝕刻製程ET3的製程細節已在前面關於連通柱蝕刻製程ET1進行了敘述,因此,為了簡潔起見,在此不再贅述。
參考第22圖,執行蝕刻製程ET4以蝕刻MCESL 146,從而加深連通柱開口O5到源極/汲極接觸144的金屬氧化物區域1441和在源極/汲極接觸144和圖案化遮罩層M1之間的介電質蓋142的氧化區域1421的部位。作為蝕刻製程ET4的結果,源極/汲極接觸144的金屬氧化物區域1441和介電質蓋142的氧化區域1421在加深的連通柱開口O5的底部處暴露。關於蝕刻製程ET4的製程細節已在前面關於蝕刻製程ET2進行了敘述,因此,為了簡潔起見,在此不再贅述。
在蝕刻製程ET4完成之後,藉由灰化和/或濕式剝離從閘極接觸開口O3去除圖案化遮罩層M1,然後形成對接接觸(或對接連通柱)152以填充連通柱開口O5和閘極接觸開口O4。第23A圖和第23B圖繪示了所得結構。關 於對接接觸152的材料和製造製程細節類似於關於源極/汲極連通柱150的材料和製造製程細節,因此,為了簡潔起見,在此不再贅述。
對接接觸152繼承了連通柱開口O3和O5的幾何形狀。換句話說,對接接觸152的側壁線性延伸穿過ILD層148的整個厚度和MCESL 146的整個厚度。更詳細地,對接接觸152與ILD層148形成第一線性界面1521,並與MCESL 146形成第二線性界面1522。第二線性界面1522從第一線性界面1521向下延伸,並且線性界面(第一線性界面)1521和(第二線性界面)1522彼此對準。
在一些實施方式中,在第22圖中的蝕刻製程ET4期間去除了源極/汲極接觸144的金屬氧化物區域1441的部位(和介電質蓋142的氧化區域1421的部位)。因此,如第23B圖所示,對接接觸152接觸源極/汲極接觸144的氧化區域1421和未氧化金屬區域1442。
第24圖至第42D圖繪示了根據本揭露的一些實施方式的積體電路結構200的形成中的中間階段的透視圖和剖面圖。根據一些例示性實施方式,形成的電晶體可以包含p型電晶體(像是p型GAA FET)和n型電晶體(像是n型FAA FET)。貫穿各種視圖和說明性實施方式,相似的參考標號用於指示相似的元件。應該理解,可以在第24圖至第42D圖所示的製程之前、之中和之後提供額外的操作。並且對於該方法的額外的實施方式,以下描述的一些操作可以替換或消除。操作/製程的順序可以互換。
第24圖、第25圖、第26圖、第27A圖、第28A圖、第29A圖和第30A圖是積體電路結構200的一些實施方式在製造期間的中間階段的透視圖。第27B圖、第28B圖、第29B圖、第30B圖、第31圖至第33圖、第34A圖、第35圖至第42D圖是沿著第一切口(例如,第27A圖中的切口X-X)在製造過程中處於中間階段的積體電路結構200的一些實施方式的剖面圖,該第一切口沿著通道的長度方向並垂直於基板的頂面。第34B圖是沿著第二切口(例如,第27A圖中的切口Y-Y)在製造過程中處於中間階段的積體電路結構200的一些實施方式的剖面圖,該第二切口在閘極區域中並且垂直於通道的長度方向。
參考第24圖,磊晶堆疊220形成在基板210上方。在一些實施方式中,基板210可以包含矽(Si)。或者,基板210可以包含鍺(Ge)、鍺化矽(SiGe)、III-V族材料(例如,GaAs、GaP、GaAsP、AlInAs、AlGaAs、GaInAs、InAs、GaInP、InP、InSb和/或GaInAsP;或其組合)或其他合適的半導體材料。在一些實施方式中,基板210可以包含絕緣體上半導體(SOI)結構,像是掩埋介電質層。又或者,基板210可以包含藉由像是植入氧(SIMOX)技術、晶片結合、SEG或其他合適的方法之被稱為分離的方法所形成的掩埋介電質層,像是掩埋氧化物(BOX)層。
磊晶堆疊220包含被第二組成的磊晶層224插入 的第一組成的磊晶層222。第一組成和第二組成可以不同。在一些實施方式中,磊晶層222是鍺化矽,並且磊晶層224是矽)。然而,其他實施方式可能包含提供具有不同氧化速率和/或蝕刻選擇性的第一組成和第二組成。在一些實施方式中,磊晶層222包含鍺化矽且磊晶層224包含矽,磊晶層224的矽氧化速率小於磊晶層222的鍺化矽氧化速率。
磊晶層224或其部位可以形成多閘極電晶體的奈米片通道。術語奈米片在本文中用於表示具有奈米級或甚至微米級尺寸並且具有細長形狀的任何材料部位,而不論該部位的剖面形狀為何。因此,該術語既指圓形和實質上圓形的剖面細長材料部位,並且包含例如圓柱形或實質上矩形的剖面的束狀或條形材料部位。以下進一步敘述使用磊晶層224來定義元件的一個或多個通道。
應當注意,如第24圖所示,三層磊晶層222和三層磊晶層224交替排列,這僅出於說明的目的,並且不意欲限制在第24圖中具體敘述的範圍。可以理解的是,可以在磊晶堆疊220中形成任意數量的磊晶層;層的數量取決於電晶體的通道區域的期望數目。在一些實施方式中,磊晶層224的數量在2與10之間。
如以下更詳細地描述的,磊晶層224可以用作隨後形成的多閘極元件的通道區域,並且厚度係基於元件性能的考慮來選擇。通道區域中的磊晶層222最終可以被去除,並且用於定義隨後形成的多閘極元件的相鄰通道區域之間的垂直距離,並且基於元件性能考慮來選擇厚度。因 此,磊晶層222也可以被稱為犧牲層,並且磊晶層224也可以被稱為通道層。
舉例來說,可以藉由分子束磊晶(MBE)製程、金屬有機化學氣相沉積(MOCVD)製程和/或其他合適的磊晶生長製程來執行磊晶堆疊220的各層的磊晶生長。在一些實施方式中,磊晶生長層(像是磊晶層224)包含與基板210相同的材料。在一些實施方式中,磊晶生長的層(磊晶層)222和224包含與基板210不同的材料。如上所述,在至少一些實施例中,磊晶層222包含磊晶生長的鍺化矽(SiGe)層,並且磊晶層224包含磊晶生長的矽(Si)層。或者,在一些實施方式中,磊晶層222和224中的任一個可以包含其他材料,例如鍺、化合物半導體(像是碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和/或銻化銦)、合金半導體(像是SiGe、GaAsP、AlInAs、AlGaAs、InGaAs、GaInP和/或GaInAsP或其組合)。如所敘述的,可以基於提供不同的氧化和/或蝕刻選擇性的特性來選擇磊晶層222和224的材料。在一些實施方式中,磊晶層222和224是實質上無摻雜物的(即,具有約0cm-3至約1×1018cm-3的雜質摻雜物濃度),例如,在磊晶生長製程期間不進行有意摻雜。
參考第25圖,形成從基板210延伸的多個半導體鰭片230。在各種實施方式中,每個鰭片230包含由基板210形成的基板部位212以及包含磊晶層222和224的磊晶堆疊的每個磊晶層的部位。可以使用包含雙重圖案 化或多重圖案化製程的適當製程來製造鰭片230。一般來說,雙重圖案化或多重圖案化製程將光刻和自對準過程相結合,從而允許創建例如間距小於使用單個、直接光刻製程可獲得的間距的圖案。例如,在一個實施方式中,在基板上方形成犧牲層並使用光刻製程將其圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。然後,去除犧牲層,然後,剩餘的間隔物或心軸可以藉由蝕刻初始磊晶堆疊220用來圖案化鰭片230。蝕刻製程可以包含乾式蝕刻、濕式蝕刻、反應離子蝕刻(RIE)和/或其他合適的製程。
在如第24圖和第25圖所示的實施方式中,在對鰭片230進行圖案化之前,在磊晶堆疊220上方形成硬遮罩(HM)層910。在一些實施方式中,HM層包含氧化物層(HM氧化物層)912(例如,可以包含SiO2的襯墊氧化物層)以及形成在氧化物層上方的氮化物層(HM氮化物層)914(例如,可以包含Si3N4的襯墊氮化物層)。HM氧化物層912可以充當磊晶堆疊220和HM氮化物層914之間的黏著層,並且可以充當用於蝕刻HM氮化物層914的蝕刻停止層。在一些實施例中,HM氧化物層912包含熱生長的氧化物、化學氣相沉積(CVD)沉積的氧化物和/或原子層沉積(ALD)沉積的氧化物。在一些實施方式中,HM氮化物層914藉由CVD和/或其他合適的技術沉積在HM氧化物層912上。
隨後,可以使用包含光刻和蝕刻製程的合適製程來 製造鰭片230。光刻製程可以包含在HM層910上方形成光阻層(未繪示),將光阻暴露於圖案,執行曝光後烘烤製程,以及使光阻顯影以形成包含光阻的圖案化遮罩。在一些實施方式中,可以使用電子束(e-beam)光刻製程或使用EUV區域中的光的極紫外(EUV)光刻製程來對光阻進行圖案化以形成圖案化的遮罩元件,其中極紫外光具有約1至約200nm的波長。然後,圖案化的遮罩可用於保護基板210的區域以及在其上形成的層,同時蝕刻製程在未保護的區域中穿過HM層910、穿過磊晶堆疊220並進入基板210中形成溝槽202,從而留下多個延伸鰭片230。可以使用乾式蝕刻(例如,反應離子蝕刻)、濕式蝕刻和/或它們的組合來蝕刻溝槽202。還可以使用形成鰭片在基板上的方法的許多其他實施方式,例如,包含定義鰭片區域(例如,藉由遮罩或隔離區域)並以鰭片230的形式來磊晶生長磊晶堆疊220。
接下來,如第26圖所示,在鰭片230之間形成隔離區域240。關於隔離區域240的材料和製程細節與前面敘述的隔離區域14的材料和製程細節相似,因此,為了簡潔起見,在此不再贅述。
參考第27A圖和第27B圖。虛設閘極結構250形成在基板210上方並且至少部分地設置在鰭片230上方。鰭片230在虛設閘極結構250下方的部位可以被稱為通道區域。虛設閘極結構250還可以定義鰭片230的源極/汲極(S/D)區域,例如,鰭片230與通道區域相鄰並位在通 道區域的相反側上的區域。
虛設閘極形成操作首先在鰭片230上方形成虛設閘極介電質層252。隨後,在虛設閘極介電質層252上方形成虛設閘極電極層254和包含多層(硬遮罩層)256和(硬遮罩層)258(例如,氧化物層(硬遮罩層)256和氮化物層(硬遮罩層)258)的硬遮罩。然後,對硬遮罩進行圖案化,然後藉由使用圖案化的硬遮罩作為蝕刻遮罩來對虛設閘極電極層254進行圖案化。在一些實施方式中,在圖案化虛設閘極電極層254之後,從鰭片230的源極/汲極區域S/D去除虛設閘極介電質層252。蝕刻製程可以包含濕式蝕刻、乾式蝕刻和/或其組合。蝕刻製程被選擇以選擇性地蝕刻虛設閘極介電質層252,而實質上不蝕刻鰭片230、虛設閘極電極層254、氧化物遮罩層(硬遮罩層)256和氮化物遮罩層(硬遮罩層)258。虛設閘極介電質層和虛設閘極電極層的材料與先前敘述的虛設閘極介電質層108和虛設閘極電極層110的電極層相似,因此,為了簡潔起見,在此不再贅述。
在完成虛設閘極結構250的形成之後,在虛設閘極結構250的側壁上形成閘極間隔物260。例如,在基板210上沉積間隔物材料層。間隔物材料層可以是隨後被回蝕以形成閘極間隔物的保形層。在所示的實施方式中,間隔物材料層(閘極間隔物)260保形地設置在虛設閘極結構250的頂部和側壁上。間隔物材料層(閘極間隔物)260可以包含像是氧化矽、氮化矽、碳化矽、氮氧化矽、碳氮化 矽膜、碳氧化矽、碳氮氧化矽膜和/或其組合的介電材料。在一些實施方式中,間隔物材料層(閘極間隔物)260包含多層,像是第一間隔物層262和形成在第一間隔物層262上方的第二間隔物層264(如第27B圖所示)。舉例來說,可以藉由使用適當的沉積製程在閘極結構250上方沉積介電材料來形成間隔物材料層(閘極間隔物)260。然後在沉積的間隔物材料層(閘極間隔物)260上執行非均向性蝕刻製程,以暴露鰭片230未被虛設閘極結構250覆蓋的部位(例如,在鰭片230的源極/汲極區域中)。可以藉由該非均向性蝕刻製程完全去除虛設閘極結構250正上方的間隔物材料層的部位。為了簡單敘述起見,可以保留在虛設閘極結構250的側壁上的間隔物材料層的部位,而形成閘極側壁間隔物,其被表示為閘極間隔物260。注意,儘管在第27B圖的剖面圖中閘極間隔物260是多層結構,但是為了簡單起見,在第27A圖的透視圖中將它們繪示為單層結構。
接下來,如第28A圖和第28B圖所示,藉由使用例如利用虛設閘極結構250和閘極間隔物260作為蝕刻遮罩的非均向性蝕刻製程來蝕刻橫向延伸超過閘極間隔物260(例如,在鰭片230的源極/汲極區域中)的半導體鰭片230的暴露部位,從而在半導體鰭片230中和相應的虛設閘極結構250之間形成凹陷R2。在非均向性蝕刻之後,由於非均向性蝕刻,磊晶層222和通道層(磊晶層)224的端面與閘極間隔物260的相應的最外側壁對準。在一些實 施方式中,可以藉由使用電漿源和反應氣體的乾式化學蝕刻來執行非均向性蝕刻。電漿源可以是感應耦合電漿(ICP)源、變壓耦合電漿(TCP)源、電子迴旋共振(ECR)源等,並且反應氣體可以是例如基於氟的氣體(像是SF6、CH2F2、CH3F、CHF3等)、氯化物基氣體(例如,Cl2)、溴化氫氣體(HBr)、氧氣(O2)等或其組合。
接下來,在第29A圖和第29B圖中,藉由使用適當的蝕刻技術使磊晶層222橫向或水平凹陷,從而在對應的通道層(磊晶層)224之間垂直地形成橫向凹陷R3。可以藉由使用選擇性蝕刻製程來執行該操作。作為實施例而非限制,磊晶層222是SiGe,通道層(磊晶層)224是矽,以允許對磊晶層222進行選擇性蝕刻。在一些實施方式中,選擇性濕式蝕刻包含APM蝕刻(例如,氨水-過氧化氫-水混合物),其蝕刻SiGe的速度比蝕刻Si的速度更快。在一些實施方式中,選擇性蝕刻包含在SiGe氧化之後去除SiGeOx。例如,可以藉由O3清潔來提供氧化,然後藉由像是NH4OH之類的蝕刻劑去除SiGeOx,該蝕刻劑以比蝕刻Si更快的蝕刻速率選擇性地蝕刻SiGeOx。此外,因為Si的氧化速率比SiGe的氧化速率低得多(有時低30倍),所以藉由橫向凹入磊晶層222的製程,通道層(磊晶層)224沒有被顯著蝕刻。因此,通道層(磊晶層)224橫向地延伸超過磊晶層222的相反端面。
在第30A圖和第30B圖中,形成內部間隔物材料層(內部間隔物)270以填充由以上參考第29A圖和第 29B圖所敘述的由磊晶層222的橫向蝕刻而留下的凹陷R3。內部間隔物材料層(內部間隔物)270可以是低k介電材料,例如SiO2、SiN、SiCN或SiOCN,並且可以藉由適當的沉積方法(例如,ALD)形成。在內部間隔物材料層(內部間隔物)270的沉積之後,可以執行非均向性蝕刻製程以修整所沉積的內部間隔物材料(內部間隔物)270,使得填充由磊晶層222的橫向蝕刻所留下的凹陷R3之沉積的內部間隔物材料(內部間隔物)270的僅有部位留下。在修整製程之後,為了簡單敘述起見,將沉積的內部間隔物材料的剩餘部位表示為內部間隔物270。內部間隔物270用於將金屬閘極與在後續處理中形成的源極/汲極區域隔離。在第30A圖和第30B圖的實施例中,內部間隔物270的側壁與通道層(磊晶層)224的側壁對準。
在第31圖中,在半導體鰭片230的源極/汲極區域S/D上方形成源極/汲極磊晶結構280。可以藉由執行磊晶生長製程來形成源極/汲極磊晶結構280,以提供鰭片230上的磊晶材料。在磊晶生長製程期間,虛設閘極結構250、閘極間隔物260和內部間隔物270將源極/汲極磊晶結構280限制到源極/汲極區域S/D。關於GAA FET的源極/汲極磊晶結構280的材料和製程細節與之前敘述的FinFET的源極/汲極磊晶結構122的材料和製程細節相似,因此,為了簡潔起見,在此不再贅述。
在第32圖中,在基板210上形成層間介電質(ILD)層310。在一些實施方式中,在形成ILD層310 之前還形成接觸蝕刻停止層(CESL)。關於CESL和ILD層310的材料和製程細節的描述與關於CESL 124和ILD層126的材料和製程細節的描述類似,因此,為了簡潔起見,在此不再贅述。在一些實施例中,在沉積ILD層310之後,可以執行平坦化製程以去除ILD層310的過多材料。例如,平坦化製程包含去除ILD層310(和CESL層,如果存在的話)覆蓋在虛設閘極結構250上的部位的化學機械平坦化(CMP)製程,並平坦化積體電路結構200的頂面。在一些實施方式中,CMP製程還去除了硬遮罩層256、258(如第31圖所示)和暴露虛設閘極電極層254。
此後,虛設閘極結構250(如第32圖所示)首先被去除,然後去除磊晶層(即,犧牲層)222(如第32圖所示)。在第33圖中繪示了所得結構。在一些實施方式中,藉由使用選擇性蝕刻製程(例如,選擇性乾式蝕刻、選擇性濕式蝕刻或其組合)來去除虛設閘極結構250,該選擇性蝕刻製程以比蝕刻其他材料(例如,閘極間隔物260和/或ILD層310)更快的速率來蝕刻在虛設閘極結構中250中的材料,從而得到在對應的閘極間隔物260之間的閘極溝槽GT2,而磊晶層222暴露在閘極溝槽GT2。隨後,藉由使用另一種選擇性蝕刻製程去除閘極溝槽GT2中的磊晶層222,該另一種選擇性蝕刻製程以比蝕刻通道層(磊晶層)224更快的蝕刻速率來蝕刻磊晶層222,從而在相鄰的磊晶層224(即,通道層)之間形成開口O6。這樣,磊晶層224成為懸浮在基板210上方並在源極/汲極磊晶結構280之 間的奈米片。該操作也稱為通道釋放製程。在此臨時製程操作中,在磊晶層(即,奈米片)224之間的開口O6可以被周圍環境條件(例如,空氣、氮氣等)填充。在一些實施方式中,磊晶層224可以取決於它們的幾何形狀而可交替地稱為奈米線、奈米板和奈米環。例如,在一些其他實施方式中,由於用於完全去除磊晶層222的選擇性蝕刻製程,可以將磊晶層224修整為具有實質上圓形的形狀(即,圓柱形)。在那種情況下,所得的磊晶層224可以稱為奈米線。
在一些實施方式中,藉由使用選擇性濕式蝕刻製程來去除磊晶層222。在一些實施方式中,磊晶層222是SiGe,並且磊晶層224是矽,以允許選擇性地去除磊晶層222。在一些實施方式中,選擇性濕式蝕刻包含APM蝕刻(例如,氨水-過氧化氫-水混合物)。在一些實施方式中,選擇性去除包含SiGe氧化之後去除SiGeOx。例如,可以藉由O3清潔來提供氧化,然後藉由像是NH4OH之類的蝕刻劑去除SiGeOx,該蝕刻劑以比蝕刻Si更快的蝕刻速率來選擇性地蝕刻SiGeOx。而且,因為Si的氧化速率比SiGe的氧化速率低得多(有時低30倍),所以通道層(磊晶層)224可能不會藉由通道釋放製程被顯著蝕刻。可以注意到,通道釋放操作和先前使犧牲層橫向凹陷的先前操作(如第29A圖和第29B圖所示的操作)均使用選擇性蝕刻製程,該選擇性蝕刻製程以比蝕刻Si更快的蝕刻速率蝕刻SiGe,因此在一些實施方式中,這兩個操作可以使用相同 的蝕刻劑化學物質。在這種情況下,通道釋放操作的蝕刻時間/持續時間比橫向凹陷犧牲層的先前操作的蝕刻時間/持續時間更長,以便完全去除犧牲SiGe層。
在第34A圖和第34B圖中,替換閘極結構320分別形成在閘極溝槽GT2中,以圍繞懸浮在閘極溝槽GT2中的每個磊晶層224。閘極結構320可以是GAA FET的最終閘極。最終閘極結構可以是高k/金屬閘極堆疊,但也可能是其他組成。在一些實施方式中,每個閘極結構320形成與由多個磊晶層224提供的多通道相關聯的閘極。例如,高k/金屬閘極結構320形成在由磊晶層224的釋放所提供的開口O6內(如第34A圖所示)。在各種實施方式中,高k/金屬閘極結構320包含形成在磊晶層224周圍的閘極介電質層322,形成在閘極介電質層322周圍的功函數金屬層324,以及形成在功函數金屬層324周圍且填充閘極溝槽GT2的剩餘部位之填充金屬326。閘極介電質層322包含界面層(例如,氧化矽層)和位於界面層上方的高k閘極介電質層。如本文所使用和描述的,高k閘極介電質包含具有高介電常數的介電材料,例如,其介電常數大於熱氧化矽的介電常數(~3.9)。在高k/金屬閘極結構320內使用的功函數金屬層324和/或填充金屬326可以包含金屬、金屬合金或金屬矽化物。高k/金屬閘極結構320的形成可以包含沉積,以形成各種閘極材料、一個或多個襯層以及一種或多種CMP製程以去除過多的閘極材料。如第34B圖的沿著高k/金屬閘極結構320的縱軸截取的剖 面圖所示,高k/金屬閘極結構320圍繞每個磊晶層224,因此稱為GAA FET的閘極。關於GAA FET的閘極結構320的材料和製程的細節與FinFET的閘極結構130的材料和製程的細節相似,因此,為了簡潔起見,在此不再贅述。
在第35圖中,執行回蝕製程以回蝕替換閘極結構320和閘極間隔物260,從而在回蝕閘極結構320和回蝕閘極間隔物260上方形成凹陷。在一些實施方式中,因為替換閘極結構320的材料具有與閘極間隔物260不同的蝕刻選擇性,所以替換閘極結構320的頂面可以與閘極間隔物260的頂面處於不同的平面。舉例來說,在第35圖中所示的實施方式中,替換閘極結構320的頂面比閘極間隔物260的頂面更低。然而,在一些其他實施方式中,替換閘極結構320的頂面可以與閘極間隔物260的頂面齊平或高於閘極間隔物260的頂面。
然後,藉由像是CVD或ALD的適當製程分別在替換閘極結構320的頂部上形成金屬蓋330。作為實施例而非限制,金屬蓋330可以是實質上無氟的鎢(FFW)膜,其氟污染物的量小於5原子%,且氯污染物的量大於3原子%。關於FFW形成的製程細節已經在先前關於金屬蓋138形成的製程細節已經敘述,因此,為了簡潔起見,在此不再贅述。
在第36圖中,介電質蓋340形成在金屬蓋330和閘極間隔物260上方。因為金屬蓋330的頂面低於閘極 間隔物260的頂面,所以每個介電質蓋340具有階梯狀的底面,其下台階接觸金屬蓋330的頂面,而上台階接觸閘極間隔物260的頂面。關於介電質蓋的材料和製程細節與先前敘述的介電質蓋142的材料和製程細節相似,因此,為了簡潔起見,在此不再贅述。
在第37圖中,形成延伸穿過ILD層310的源極/汲極接觸350。舉例但不限制,源極/汲極接觸350的形成包含執行一個或多個蝕刻製程以形成延伸穿過ILD層310(和CESL,如果存在的話)的接觸開口,以暴露源極/汲極磊晶結構280,沉積一種或多種金屬材料以過度填充接觸開口,然後執行CMP製程以去除接觸開口外部的過多金屬材料。在一些實施方式中,一種或多種蝕刻製程是選擇性蝕刻,其以比蝕刻介電質蓋340和閘極間隔物260更快的蝕刻速率來蝕刻ILD層310。因此,使用介電質蓋340和閘極間隔物260作為蝕刻遮罩執行選擇性蝕刻,使得接觸開口以及源極/汲極接觸350形成為與源極/汲極磊晶結構280自對準,而無需使用額外的光刻製程。在那種情況下,允許形成自對準的源極/汲極接觸350的介電質蓋340可以被稱為SAC蓋340。
在完成源極/汲極接觸350的形成之後,介電質蓋340在含氧環境中被處理,使得介電質蓋340的表面層被氧化,以在介電質蓋340中形成氧化區域341,同時使介電質蓋340的剩餘區域(未氧化區域)342未被氧化。在第38圖中繪示了所得結構。處理操作可以包含O2電漿處理, 其中將含氧氣體導入處理腔室,在該處理腔室中由含氧氣體產生電漿。作為實施例而非限制,將具有如第36圖所示的結構的半導體基板210裝載到電漿工具中,並暴露於由氧氣(O2)氣體或由O2氣體與Ar氣體、He氣體、Ne氣體、Kr氣體、N2氣體、CO氣體、CO2氣體、CxHyFz(其中x、y和z大於零且不大於9)氣體、NF3氣體、羰基硫(COS)氣體、SO2氣體中的一種或多種氣體的氣態混合物產生的電漿環境中。電漿蝕刻環境具有約10至約100mTorr的壓力,並且電漿由約50至約1000瓦之間的RF功率產生。
作為O2電漿處理的結果,在介電質蓋340的頂面中發生氧化,從而得到氧化區域341。在一些實施方式中,對於3nm技術節點,氧化區域341的厚度T3在約1埃至約50埃的範圍內。如果厚度T3小於約1埃,則氧化區域341可能太薄而不能減慢甚至停止隨後的蝕刻製程。此外,在一些實施方式中,因為介電質蓋340的氧化區域341具有不大於約50埃的厚度T3,因此可以自然地穿通而無需擔心蝕刻停止(即,無需考慮蝕刻製程會因為在第43圖的情況下的氧化區域341而停止)。對於其他技術節點,例如20nm節點、16nm節點、10nm節點、7nm節點和/或5nm節點,厚度T3可以在約1nm至約20nm的範圍內。舉例但不限制,氧化區域341的厚度可以藉由使用O2電漿處理的RF功率和/或偏置功率來控制。
在介電質蓋340由SiN製成的一些實施方式中, O2電漿處理導致介電質蓋340中的氧化氮化物區域(氮氧化矽(SiOxNy))(氧化區域)341和位於氧化氮化物區域(氧化區域)341下方的未氧化氮化物區域(未氧化區域)342。氧化氮化物區域(氧化區域)341可以與未氧化氮化物區域(未氧化區域)342形成可區分的界面,因為它們具有不同的材料組成(例如,氧化氮化物區域(氧化區域)341比未氧化氮化物區域(未氧化區域)342具有更高的氧原子百分比和/或更高的氧/氮原子比)。
在一些實施方式中,由於電漿處理,氧化區域341可以具有氧濃度梯度。例如,在氧化區域341中的氧原子百分比可以從介電質蓋340的頂面沿深度方向減少。在介電質蓋340是氮化矽的一些實施方式中,氧化區域341中的氧/氮原子比可以從介電質蓋340的頂面沿深度方向減少。
在一些實施方式中,在O2電漿處理期間,源極/汲極接觸350的頂部可以在無意間被氧化以在源極/汲極接觸350中形成金屬氧化物區域351,同時留下源極/汲極接觸350的剩餘金屬區域(未氧化金屬區域)352未被氧化。第38圖繪示了所得結構。金屬氧化物區域351可以與未氧化金屬區域352形成可區分的界面,因為它們具有不同的材料組成(例如,金屬氧化物區域351比未氧化金屬區域352具有更高的氧原子百分比和/或更高的氧/氮原子比)。
在一些實施方式中,金屬氧化物區域351的厚度 T4在約1埃至約50埃的範圍內。由於源極/汲極接觸350與介電質蓋340之間的材料差異,金屬氧化物區域351和氧化區域341可以具有不同的氧化程度。例如,氧化區域341的厚度T3可以大於、實質上相同或小於金屬氧化物區域351的厚度T4。
在一些實施方式中,由於電漿處理,金屬氧化物區域351可以具有氧濃度梯度。例如,金屬氧化物區域351中的氧原子百分比可以從源極/汲極接觸350的頂面在深度方向上減少。在源極/汲極接觸350是金屬的一些實施方式中,金屬氧化物區域351中的氧/金屬原子比可以從源極/汲極接觸350的頂面沿深度方向減少。
一旦已經形成氧化區域341(和金屬氧化物區域351),則在第39圖中,中間接觸蝕刻停止層(MCESL)360隨後沉積在源極/汲極接觸350和介電質蓋340上方。隨後,在MCESL 360上方沉積另一個ILD層370。在一些實施方式中,MCESL 360是氮化矽,而ILD層370是氧化矽(SiOx)。
參考第40A圖,藉由使用第一蝕刻製程(也稱為連通柱蝕刻製程)ET5對ILD層370圖案化以形成延伸穿過ILD層370的連通柱開口O7。控制連通柱蝕刻製程ET5的蝕刻持續時間,以允許穿通ILD層370,同時MCESL 360用作蝕刻製程ET5的蝕刻停止層。在一些實施方式中,在連通柱蝕刻製程ET5之前,執行光刻製程以定義連通柱開口O7的預期的俯視圖圖案。舉例來說,如第39圖所示, 光刻製程可包含在ILD層370上方旋塗光阻層,執行曝光後烘烤製程,以及顯影光阻層以形成具有連通柱開口O7的俯視圖圖案的圖案化遮罩。在一些實施方式中,可以使用電子束(e-beam)光刻製程或極紫外(EUV)光刻製程來圖案化光阻以形成圖案化遮罩。關於蝕刻製程ET5的製程細節已經在先前的第16A圖敘述了,因此,為了簡潔起見,在此不再贅述。
在如第40A圖所示的一些實施方式中,由於非均向性蝕刻的性質,連通柱開口O7具有漸縮的側壁輪廓。然而,在一些其他實施方式中,如第40B圖所示,可以對蝕刻條件進行微調以允許連通柱開口O7具有垂直的側壁輪廓。
參考第41A圖,藉由使用第二蝕刻製程(也稱為連通柱蝕刻製程)ET6對MCESL 360圖案化以形成延伸穿過MCESL 360的連通柱開口O8。控制連通柱蝕刻製程ET6的蝕刻持續時間以允許穿通MCESL 360,同時氧化區域341用作蝕刻製程ET6的蝕刻停止層。關於蝕刻製程ET6的製程細節已經在先前的第17A圖敘述了,因此,為了簡潔起見,在此不再贅述。在一些實施方式中,如第41C圖和第41D圖所示,可以在蝕刻製程ET6期間去除金屬氧化物區域351,使得連通柱開口O8暴露源極/汲極接觸350的未氧化金屬區域352。
在一些實施方式中,由於製程變化,在連通柱開口O8與源極/汲極接觸350之間可能存在某些未對準(或重 疊誤差)。或者,在一些實施方式中,連通柱開口O8的尺寸(或寬度)可能比源極/汲極接觸350的尺寸(或寬度)更大。無論哪種方式,連通柱開口O8都可以暴露氧化區域341的部位。然而,由於MCESL 360與氧化區域341之間的蝕刻選擇性,氧化區域341會減慢或甚至停止形成連通柱開口O8的蝕刻製程,其繼而防止過度蝕刻介電材料(例如,介電質蓋340)並降低洩漏電流的風險。
在如第41A圖和第41C圖所示的一些實施方式中,由於蝕刻製程ET6的非均向性蝕刻的性質,連通柱開口O8具有漸縮的側壁輪廓。然而,在一些其他實施方式中,如第41B圖和第41D圖所示,可以對蝕刻製程ET6和/或先前的連通柱蝕刻製程ET5的蝕刻條件進行微調,以允許連通柱開口O8具有垂直的側壁輪廓。
參考第42A圖,源極/汲極連通柱380隨後形成在連通柱開口O7和O8中,以形成到源極/汲極接觸350的物理連接和電連接。關於源極/汲極連通柱380的材料和製程細節與先前敘述的源極/汲極連通柱150的材料和製程細節相似,因此,為了簡潔起見,在此不再贅述。在如第42A圖和第42C圖所示的一些實施方式中,由於蝕刻製程ET6的非均向性蝕刻的性質,源極/汲極連通柱380具有漸縮的側壁輪廓。然而,在一些其他實施方式中,如第42B圖和第42D圖所示,可以對蝕刻製程ET6的蝕刻條件進行微調以允許連通柱開口O7和O8以及源極/汲極連通柱380具有垂直的側壁輪廓。
第43圖至第47B圖繪示了根據本揭露的一些其他實施方式的用於製造積體電路結構200a的各個階段的例示性剖面圖。應該理解,可以在第43圖至第47B圖所示的處理之前、之中和之後提供額外的操作,對於該方法的另外的實施方式,以下描述的一些操作可以被替換或消除。操作/製程的順序可以互換。與第24圖至第42D圖所描述的相同或相似的配置、材料、製程和/或操作在以下實施方式中可以採用,並且可以省略詳細說明。
在形成如第39圖所示的結構之後,對ILD層370進行圖案化以形成向下延伸穿過ILD層370、MCESL 360和介電質蓋340到金屬蓋330的閘極接觸開口O9。第43圖繪示了所得結構。ILD層370可以藉由使用適當的光刻和蝕刻技術來圖案化。
接下來,如第44圖所示,在基板210上方形成圖案化遮罩層M2以填充閘極接觸開口O9。圖案化遮罩層M2在源極/汲極接觸350的垂直上方具有開口O10。
參考第45圖,在圖案化遮罩層M2就位的情況下,執行連通柱蝕刻製程ET7以形成延伸穿過ILD層370的連通柱開口O11。控制連通柱蝕刻製程ET7的蝕刻持續時間以去除ILD層370並在MCESL 360處停止。關於連通柱蝕刻製程ET7的製程細節已經在先前關於連通柱蝕刻製程ET1的製程細節進行了敘述,因此,為了簡潔起見,在此不再贅述。
參考第46圖,執行蝕刻製程ET8以蝕刻MCESL 360,從而將連通柱開口O11向下加深到源極/汲極接觸350的金屬氧化物區域351和在源極/汲極接觸350與圖案化遮罩層M2之間的介電質蓋340的氧化區域341。作為蝕刻製程ET8的結果,源極/汲極接觸350的金屬氧化物區域351和介電質蓋340的氧化區域341在加深的連通柱開口O11的底部處暴露。關於蝕刻製程ET8的製程細節已經在先前關於蝕刻製程ET2的製程細節敘述了,因此,為了簡潔起見,在此不再贅述。
參考第47A圖和第47B圖,藉由灰化和/或濕剝離從閘極接觸開口O9去除圖案化遮罩層M2(參考第46圖),然後形成對接接觸390以填充連通柱開口O9和閘極接觸開口O11。第47A圖或第47B圖繪示了所得結構。關於對接接觸390的材料和製造製程的細節與關於源極/汲極連通柱150的材料和製造製程的細節相似,因此,為了簡潔起見,在此不再贅述。
基於以上敘述,可以看出本揭露提供了優點。然而,應當理解,其他實施方式可以提供額外的優點,並且在本文中不必揭露所有優點,並且對於所有實施方式都不需要特定的優點。一個優點是,由於介電質蓋的氧化區域,可以減少洩漏電流(例如,從源極/汲極連通柱到閘極接觸和/或閘極結構的洩漏電流)的風險。另一個優點是,可以省略用於形成介電質蓋的氧化區域的圖案化製程。又另一個優點是,由於從源極/汲極連通柱到閘極接觸的距離較大,因此可以改善電阻電容(RC)的延遲。此外,由於摻雜區域, 可以增加源極/汲極連通柱的尺寸以減少源極/汲極連通柱的電阻,並進一步增加源極/汲極連通柱與源極/汲極接觸之間的接觸面積。
根據一些實施方式,一種半導體元件的製造方法包含:沉積介電質蓋於閘極結構上方。形成源極/汲極接觸於與閘極結構相鄰之源極/汲極區域上方。氧化介電質蓋之頂部。在氧化介電質蓋之頂部之後,沉積蝕刻停止層於介電質蓋上方,並沉積層間介電質層於蝕刻停止層上方。蝕刻層間介電質以及蝕刻停止層以形成延伸穿過層間介電質層以及蝕刻停止層之連通柱開口。填充源極/汲極連通柱於連通柱開口中。
在一些實施方式中,介電質蓋之頂部使用氧氣電漿而被氧化。在一些實施方式中,氧氣電漿係由O2氣體產生。在一些實施方式中,氧氣電漿係由O2氣體以及Ar氣體、He氣體、Ne氣體、Kr氣體、N2氣體、CO氣體、CO2氣體、CxHyFz氣體、NF3氣體、羰基硫(COS)氣體以及SO2氣體中之一或多個之氣體混合物產生,其中x、y以及z大於零。在一些實施方式中,形成連通柱開口包含:執行第一蝕刻製程以形成延伸穿過層間介電質層之連通柱開口並暴露蝕刻停止層之頂面;以及執行第二蝕刻製程以加深連通柱開口,使得連通柱開口延伸穿過蝕刻停止層。在一些實施方式中,第二蝕刻製程使用與在第一蝕刻製程中使用之蝕刻劑不同之蝕刻劑。在一些實施方式中,第一蝕刻製程係使用由無氫氣體混合物產生之電漿之電漿蝕刻製程。 在一些實施方式中,第二蝕刻製程係使用由含氫氣體混合物產生之電漿之電漿蝕刻製程。
根據一些實施方式,一種半導體元件的製造方法包含:沉積源極/汲極接觸於源極/汲極區域上方。氧化源極/汲極接觸之頂部以形成金屬氧化物區域於源極/汲極接觸中。在氧化源極/汲極接觸之頂部之後,形成覆蓋源極/汲極接觸之金屬氧化物區域之層間介電質層。形成連通柱開口於層間介電質層中以暴露源極/汲極接觸。填充源極/汲極連通柱於連通柱開口中。
在一些實施方式中,半導體元件的製造方法進一步包含在形成連通柱開口於層間介電質層中之後去除源極/汲極接觸之金屬氧化物區域之部位。在一些實施方式中,填充源極/汲極連通柱使得源極/汲極連通柱與源極/汲極接觸之金屬氧化物區域接觸。在一些實施方式中,填充源極/汲極連通柱使得源極/汲極連通柱與源極/汲極接觸之未氧化區域接觸。在一些實施方式中,半導體元件的製造方法進一步包含在形成層間介電質層之前沉積覆蓋源極/汲極接觸之金屬氧化物區域之蝕刻停止層。在一些實施方式中,蝕刻停止層以及源極/汲極接觸之金屬氧化物區域由不同之材料製成。在一些實施方式中,沉積蝕刻停止層使得蝕刻停止層與源極/汲極接觸之金屬氧化物區域接觸。
根據一些實施方式,一種半導體元件包含:閘極結構、介電質蓋、源極/汲極接觸、層間介電質(ILD)層以及源極/汲極連通柱。介電質蓋位於閘極結構上方,並包含氧 化區域和未氧化區域於閘極結構以及氧化區域之間。源極/汲極接觸與閘極結構相鄰。ILD層位於介電質蓋以及源極/汲極接觸上方。源極/汲極連通柱位於ILD層中,並電連接至源極/汲極接觸。
在一些實施方式中,源極/汲極連通柱與介電質蓋之氧化區域接觸。在一些實施方式中,介電質蓋之氧化區域具有氧濃度梯度。在一些實施方式中,介電質蓋之氧化區域具有從介電質蓋之頂面於深度方向上減少之氧原子百分比。在一些實施方式中,氧化區域之厚度在約1埃至約50埃之範圍內。
前述概述了幾個實施方式的特徵,使得本技術領域具有通常知識者可以更好地理解本揭露的各方面。本技術領域具有通常知識者應當理解,他們可以容易地將本揭露用作設計或修改其他製程和結構的基礎,以實現與本文介紹的實施方式相同的目的和/或實現相同的優點。本技術領域具有通常知識者還應該認識到,這樣的等效構造不脫離本揭露的精神和範圍,並且在不背離本揭露的精神和範圍的情況下,它們可以進行各種改變、替換和變更。
100:積體電路結構
104:鰭片
116:閘極間隔物
118:第一間隔物層
12:基板
120:第二間隔物層
122:源極/汲極磊晶結構
130:閘極結構
132:閘極介電質層
134:功函數金屬層
136:填充金屬
138:金屬蓋
142:介電質蓋
1421:氧化區域
1422:未氧化區域
144:源極/汲極接觸
1441:金屬氧化物區域
1442:未氧化金屬區域
146:MCESL
148:ILD層
150:源極/汲極連通柱
1501:第一線性界面
1502:第二線性界面

Claims (10)

  1. 一種半導體元件的製造方法,包含:沉積一介電質蓋於一閘極結構上方;形成源極/汲極接觸於與該閘極結構相鄰之一源極/汲極區域上方;氧化一介電質蓋之一頂部;在氧化該介電質蓋之該頂部之後,沉積一蝕刻停止層於該介電質蓋上方,並沉積一層間介電質層於該蝕刻停止層上方;蝕刻該層間介電質以及該蝕刻停止層以形成延伸穿過該層間介電質層以及該蝕刻停止層之一連通柱開口;以及填充一源極/汲極連通柱於該連通柱開口中。
  2. 如請求項1所述之方法,其中該介電質蓋之該頂部使用一氧氣電漿而被氧化。
  3. 如請求項1所述之方法,其中形成該連通柱開口包含:執行一第一蝕刻製程以形成延伸穿過該層間介電質層之該連通柱開口並暴露該蝕刻停止層之一頂面;以及執行一第二蝕刻製程以加深該連通柱開口,使得該連通柱開口延伸穿過該蝕刻停止層。
  4. 一種半導體元件的製造方法,包含: 沉積一源極/汲極接觸於一源極/汲極區域上方;氧化該源極/汲極接觸之一頂部以形成一金屬氧化物區域於該源極/汲極接觸中;在氧化該源極/汲極接觸之該頂部之後,形成覆蓋該源極/汲極接觸之該金屬氧化物區域之一層間介電質層;形成一連通柱開口於該層間介電質層中以暴露該源極/汲極接觸;以及填充一源極/汲極連通柱於該連通柱開口中。
  5. 如請求項4所述之方法,進一步包含在形成該連通柱開口於該層間介電質層中之後去除該源極/汲極接觸之該金屬氧化物區域之一部位。
  6. 如請求項4所述之方法,進一步包含在形成該層間介電質層之前沉積覆蓋該源極/汲極接觸之該金屬氧化物區域之一蝕刻停止層。
  7. 一種半導體元件,包含:一閘極結構;一介電質蓋,於閘極結構上方,並包含一氧化區域以及一未氧化區域於該閘極結構與該氧化區域之間,且該氧化區域具有一氧濃度梯度;一源極/汲極接觸,與該閘極結構相鄰;一層間介電質層,於該介電質蓋以及該源極/汲極接觸上 方;以及一源極/汲極連通柱,於該層間介電質層中,並電連接至該源極/汲極接觸。
  8. 如請求項7所述之半導體元件,其中該源極/汲極連通柱與該介電質蓋之該氧化區域接觸。
  9. 如請求項7所述之半導體元件,其中該氧化區域之一厚度在約1埃至約50埃之一範圍內。
  10. 如請求項7所述之半導體元件,其中該介電質蓋之該氧化區域具有從該介電質蓋之一頂面於一深度方向上減少之一氧原子百分比。
TW110123883A 2020-09-29 2021-06-29 半導體元件及其製造方法 TWI769879B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063084732P 2020-09-29 2020-09-29
US63/084,732 2020-09-29
US17/214,417 US11967526B2 (en) 2020-09-29 2021-03-26 Integrated circuit structure and manufacturing method thereof
US17/214,417 2021-03-26

Publications (2)

Publication Number Publication Date
TW202213537A TW202213537A (zh) 2022-04-01
TWI769879B true TWI769879B (zh) 2022-07-01

Family

ID=79327370

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110123883A TWI769879B (zh) 2020-09-29 2021-06-29 半導體元件及其製造方法

Country Status (5)

Country Link
US (1) US11967526B2 (zh)
KR (1) KR102652690B1 (zh)
CN (1) CN113948471A (zh)
DE (1) DE102021107968A1 (zh)
TW (1) TWI769879B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11942371B2 (en) * 2020-09-29 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of via opening

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180151678A1 (en) * 2016-11-30 2018-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20200006532A1 (en) * 2018-05-01 2020-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Increasing Source/Drain Dopant Concentration to Reduced Resistance
US20200051858A1 (en) * 2018-03-14 2020-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive Feature Formation and Structure Using Bottom-Up Filling Deposition
TW202013503A (zh) * 2018-09-27 2020-04-01 台灣積體電路製造股份有限公司 半導體裝置製造方法和半導體裝置

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5933741A (en) * 1997-08-18 1999-08-03 Vanguard International Semiconductor Corporation Method of making titanium silicide source/drains and tungsten silicide gate electrodes for field effect transistors
KR100487547B1 (ko) * 2002-09-12 2005-05-03 삼성전자주식회사 비휘발성 메모리 장치의 제조 방법
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9029215B2 (en) * 2012-05-14 2015-05-12 Semiconductor Components Industries, Llc Method of making an insulated gate semiconductor device having a shield electrode structure
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9006842B2 (en) * 2013-05-30 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning strain in semiconductor devices
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US8993457B1 (en) * 2014-02-06 2015-03-31 Cypress Semiconductor Corporation Method of fabricating a charge-trapping gate stack using a CMOS process flow
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9741812B1 (en) 2016-02-24 2017-08-22 International Business Machines Corporation Dual metal interconnect structure
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US11145751B2 (en) 2018-03-29 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with doped contact plug and method for forming the same
US10937876B2 (en) * 2018-10-26 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain feature to contact interfaces
US11335596B2 (en) * 2018-10-30 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Selective deposition for integrated circuit interconnect structures

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180151678A1 (en) * 2016-11-30 2018-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20200051858A1 (en) * 2018-03-14 2020-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive Feature Formation and Structure Using Bottom-Up Filling Deposition
US20200006532A1 (en) * 2018-05-01 2020-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Increasing Source/Drain Dopant Concentration to Reduced Resistance
TW202013503A (zh) * 2018-09-27 2020-04-01 台灣積體電路製造股份有限公司 半導體裝置製造方法和半導體裝置

Also Published As

Publication number Publication date
KR20220043841A (ko) 2022-04-05
DE102021107968A1 (de) 2022-03-31
US20220102211A1 (en) 2022-03-31
KR102652690B1 (ko) 2024-03-28
TW202213537A (zh) 2022-04-01
US11967526B2 (en) 2024-04-23
CN113948471A (zh) 2022-01-18

Similar Documents

Publication Publication Date Title
US20230361185A1 (en) Etch profile control of via opening
US20230298934A1 (en) Etch profile control of gate contact opening
US20230326978A1 (en) Etch profile control of gate contact opening
US20230187270A1 (en) Etch profile control of gate contact opening
US20230335435A1 (en) Integrated circuit structure and manufacturing method thereof
TWI769879B (zh) 半導體元件及其製造方法
TWI807386B (zh) 半導體裝置及其製造方法
TWI806122B (zh) 半導體裝置及其製造方法
TWI812954B (zh) 積體電路元件與其製造方法