TW202206949A - 冷卻裝置、微影裝置及微影方法 - Google Patents

冷卻裝置、微影裝置及微影方法 Download PDF

Info

Publication number
TW202206949A
TW202206949A TW110129727A TW110129727A TW202206949A TW 202206949 A TW202206949 A TW 202206949A TW 110129727 A TW110129727 A TW 110129727A TW 110129727 A TW110129727 A TW 110129727A TW 202206949 A TW202206949 A TW 202206949A
Authority
TW
Taiwan
Prior art keywords
substrate
cooling
cooling element
gas
exposure area
Prior art date
Application number
TW110129727A
Other languages
English (en)
Other versions
TWI779770B (zh
Inventor
安卓尼斯 亨瑞克 克佛耶茲
艾立可 喬漢 勒馬克
山多 卡特琳娜 雷納 德可
史喬德 尼可拉斯 蘭伯特 唐德斯
沃佛瑞德 愛德華 艾登迪傑克
法蘭西瑟斯 喬漢那斯 約瑟夫 簡森
雷孟德 威黑墨斯 路易斯 拉法瑞
李昂 馬汀 李維榭
金 文森 奧維卡皮
凱特 尼可拉斯 添
迪 沙登 賈寇柏 卡理尼司 賈拉度司 凡
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW202206949A publication Critical patent/TW202206949A/zh
Application granted granted Critical
Publication of TWI779770B publication Critical patent/TWI779770B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • G03F7/70875Temperature, e.g. temperature control of masks or workpieces via control of stage temperature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70258Projection system adjustments, e.g. adjustments during exposure or alignment during assembly of projection system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70783Handling stress or warp of chucks, masks or workpieces, e.g. to compensate for imaging errors or considerations related to warpage of masks or workpieces due to their own weight
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping

Abstract

一種微影裝置,其包含一投影系統,該投影系統經組態以投影一經圖案化輻射光束以在固持於一基板台上之一基板上形成一曝光區域,該微影裝置進一步包含用於冷卻該基板之一冷卻裝置,其中該冷卻裝置包含位於該基板台上方且鄰近於該曝光區域之一冷卻元件,該冷卻元件經組態以自該基板移除熱。

Description

冷卻裝置、微影裝置及微影方法
本發明係關於一種微影裝置及一種微影方法。
微影裝置為經建構以將所要圖案施加至基板上之機器。微影裝置可用於(例如)積體電路(IC)製造中。微影裝置可(例如)將圖案自圖案化器件(例如,光罩)投影至提供於基板上之輻射敏感材料(抗蝕劑)層上。 由微影裝置使用以將圖案投影至基板上之輻射之波長判定可形成於彼基板上之特徵之最小大小。相比於習知微影裝置(其可(例如)使用具有193奈米之波長之電磁輻射),使用為具有在4奈米至20奈米之範圍內之波長之電磁輻射的EUV輻射之微影裝置可用以在基板上形成較小特徵。 用以將圖案投影至基板上之輻射光束將把相當大量熱遞送至彼基板,且將造成該基板之局域化加熱。由加熱造成之基板之局域化擴展將縮減經投影圖案上覆已經存在於基板上之圖案之準確度。
可需要提供處理以上所識別之問題或與先前技術相關聯之某其他問題之微影裝置。 根據本發明之一第一態樣,提供一種微影裝置,其包含一投影系統,該投影系統經組態以投影一經圖案化輻射光束以在固持於一基板台上之一基板上形成一曝光區域,該微影裝置進一步包含用於冷卻該基板之一冷卻裝置,其中該冷卻裝置包含位於該基板台上方且鄰近於該曝光區域之一冷卻元件,該冷卻元件經組態以自固持於該基板台上之一基板移除熱。 該冷卻元件可與固持於該基板台上之該基板熱連通。 由冷卻元件提供之冷卻局域地抑制接近曝光區域之基板區域中之加熱。此情形有利,此係因為彼區域中之加熱可有造成基板擴展的傾向,基板擴展導致基板在基板台之瘤節上滑動,此又將縮減圖案經投影至基板上之準確度。 該冷卻元件可經組態以冷卻與平分該曝光區域之一線相隔3公分以內或更小的一區域。 該冷卻元件可經組態以冷卻與該曝光區域之一邊緣相隔2公分以內或更小的一區域。 該冷卻元件可在實質上與該微影裝置之一掃描方向對應之一方向上與該曝光區域分離。 該冷卻元件可為提供於該曝光區域之任一側上之一對冷卻元件中的一者。 該冷卻元件可包含一本體,一敞開空腔提供於該本體之一最低面中,且該冷卻元件進一步包含經組態以將氣體遞送至該空腔之一氣體遞送導管。該氣體導管允許控制該冷卻元件之該空腔內之壓力。 該空腔可經組態以連同該基板之一上部表面形成接收由該氣體遞送導管遞送之氣體的一體積。 該冷卻元件之一空腔在該微影裝置之一非掃描方向上之範圍可等於或大於該微影裝置在該非掃描方向上之最大曝光區域長度。 該空腔可具有一頂部,該頂部在使用中與該基板之該上部表面相隔小於1毫米。 該空腔之該頂部可實質上平行於該基板台之一平面。 遞送至該空腔之氣體之壓力及該空腔頂部與該基板表面之間的分離度之組合可使得該基板之適應係數對熱自該基板至該冷卻元件本體之轉移沒有相當大影響。 該空腔之該頂部可傾斜,該頂部圍繞橫向於該微影裝置之一掃描方向而延行之一軸線而傾斜。 該冷卻元件可包含一本體,該本體含有連接至一氣體遞送導管之一腔室,該腔室之一底板具備開口。 該腔室之該底板中之該等開口可包含一孔陣列。 該腔室之該底板可由多孔材料形成,且該等開口可為該多孔材料之微孔。 該冷卻元件可進一步包含至少一個擋板,該至少一個擋板可自一經回縮位置移動至一經部署位置,且其中將該擋板自該經回縮位置移動至該經部署位置會封閉該冷卻元件之該底板中之一些開口。 該至少一個擋板可經組態成使得在該擋板處於該經回縮位置時無開口由該擋板封閉。 該至少一個擋板可移動至介於該經回縮位置與該經部署位置之間的一中間位置。 該冷卻元件可包含提供於該腔室之任一側上之額外腔室,該等額外腔室連接至一或若干不同氣體遞送導管。 該微影裝置可進一步包含:經組態以控制氣體至該腔室之遞送的一閥;及經組態以分離地控制氣體至該等額外腔室之遞送的一或多個閥。 該冷卻元件之該本體可具有為3毫米或更小之一厚度。 該冷卻元件之該本體可定位成與該曝光區域之一邊緣相隔3毫米或更小。 該冷卻元件之該本體可具有在該微影裝置之一掃描方向上有小於5毫米之量度的一最低表面。 該冷卻元件之該本體可具有面向該輻射光束之一傾斜內部表面。 該冷卻元件可包括經組態以自該冷卻元件移除熱之一熱移除系統。 該熱移除系統可為一流體冷卻系統。 該熱移除系統可包括一帕耳帖冷卻器。該帕耳帖冷卻器可位於該流體冷卻系統與該空腔之間。 該流體冷卻系統可包含:一冷卻器,其經組態以冷卻氣體,該冷卻器位於該冷卻元件之遠端;一入口導管,其經組態以將該經冷卻氣體遞送至該冷卻元件以便冷卻該冷卻元件;及一出口導管,其經組態以自該冷卻元件移除該氣體。 該入口導管及該出口件兩者包括適應該冷卻元件之移動之一可撓性部分。 一溫度感測器可提供於該入口導管上,且一溫度感測器可提供於該出口導管上。 該裝置可進一步包含經組態而以大於10公升/分鐘之一速率提供該氣體之一氣體源。 該裝置可進一步包含經組態而以小於2公升/分鐘之一速率提供該氣體一氣體源。 該熱移除系統可包含連接至一冷卻器之一熱管。 該熱管可具有在一水平方向上比在垂直方向上更大的一橫截面形狀。 該熱管可包括適應該冷卻元件之移動之一可撓性部分。 該熱管可為一微熱管。 該流體冷卻系統可為一兩相冷卻系統,其包含一泵、一冷凝器及一累加器。 該兩相冷卻系統可進一步包含提供於該冷卻元件上之一溫度感測器。 該流體冷卻系統可包括提供於一熱交換器中之一收縮件,該收縮件經組態以冷卻用以冷卻該冷卻元件之氣體。 該冷卻元件可具備一加熱器。 一帕耳帖冷卻器可位於該流體冷卻系統與該空腔之間。 該微影裝置可進一步包含一氣體供應件,該氣體供應件經組態以遞送處於200帕斯卡或更大之一壓力之氣體。該氣體供應件可經組態以遞送處於100千帕或更大之一壓力之氣體。該氣體供應件可經組態以遞送處於大約500千帕或更大之一壓力之氣體。儘管任何壓力可適合於熱移除,但藉由增加氣體壓力,氣體密度將相應地增加,且在較高氣體密度下,可更有效率地移除熱。 該冷卻元件可經組態以在使用期間與該基板有20微米或更大的一分離度。 該冷卻元件可經組態以在使用期間與該基板有200微米或更小的一分離度。 該冷卻元件可經組態以提供自該冷卻元件下方之一向外氣流,該向外氣流充當防止或抑制在該冷卻元件與該基板之間發生接觸之一墊。 該冷卻元件可提供於一支撐件上,該支撐件包括一回縮機構,該回縮機構經組態以在偵測到未預期移動的情況下將該冷卻元件拉動遠離該基板。 該冷卻元件可包含經配置以將液滴導向至該基板上之一噴嘴陣列。 該冷卻元件可經組態以在使用期間與該基板有50微米或更大的一分離度。 該冷卻元件可經組態以在使用期間與該基板有1毫米或更大的一分離度。 該冷卻元件之該噴嘴陣列在該微影裝置之一非掃描方向上之範圍可等於或大於該微影裝置在該非掃描方向上之該最大曝光區域長度。 該噴嘴陣列可為一二維陣列,其中該等噴嘴橫越該冷卻元件之一底部表面均勻地分佈。 該等噴嘴可經組態以提供一直徑為大約數十微米或更小的液滴。 根據本發明之一第二態樣,提供一種微影方法,其包含:投影一經圖案化輻射光束以在固持於一基板台上之一基板上形成一曝光區域;及使用一冷卻裝置以冷卻該基板,該冷卻裝置包含位於該基板台上方且鄰近於該曝光區域之一冷卻元件,該冷卻元件用以自該基板移除熱。 該冷卻元件可與固持於該基板台上之該基板熱連通。 該冷卻元件可經組態以冷卻與平分該曝光區域之一線相隔3公分以內或更小的一區域。 該冷卻元件可經組態以冷卻與該曝光區域之一邊緣相隔2公分以內或更小的一區域。 該冷卻元件可包含:一本體;一敞開空腔,其提供於該本體之一最低面中,該敞開空腔及該基板之該上部表面形成一體積;及一氣體遞送導管,其經組態以將氣體遞送至該體積。 該空腔可具有一頂部,該頂部與該基板之該上部表面相隔小於1毫米。 該冷卻元件可包含一本體,該本體含有連接至一氣體遞送導管之一腔室,該腔室之一底板具備開口。 可將處於200帕斯卡或更大之一壓力之該氣體遞送至該體積。可將處於100千帕或更大之一壓力之該氣體遞送至該體積。可將處於大約500千帕或更大之一壓力之該氣體遞送至該體積。。 該冷卻元件可與該基板分離達20微米或更大。 該冷卻元件可與該基板分離達200微米或更小。 該冷卻元件可包含將液滴導向至該基板上之一噴嘴陣列。 該冷卻元件可與該基板分離達50微米或更大。 該冷卻元件可與該基板分離達1毫米或更大。 該冷卻元件之該噴嘴陣列在該微影裝置之一非掃描方向上之範圍可等於或大於該微影裝置在該非掃描方向上之最大曝光區域長度。 該噴嘴陣列可經配置以提供液滴在該基板上之一均勻分佈。 該液體可為水。 根據本發明之一第三態樣,提供一種微影裝置,其包含一投影系統,該投影系統經組態以將一經圖案化輻射光束投影至固持於一基板台上之一基板上,該微影裝置進一步包含一基板溫度調整單元,該基板溫度調整單元經組態以在該基板被置放於該基板台上之前將該基板之溫度調整至高於該基板台之溫度的一溫度。 此情形有利,此係因為基板接著在其被置放於基板台上時冷卻至基板台之溫度,藉此將應力引入至該基板中。引入至基板中之應力傾向於朝向基板之中心向內拖曳基板之外部邊緣。當使用輻射光束來圖案化基板時,此情形加熱基板且引入應力,該應力傾向於將基板之外部邊緣向外拉動遠離基板之中心。在基板之冷卻期間已經引入之應力將至少部分地抵消由基板之加熱造成之應力,藉此縮減由基板經歷之累積應力。 該基板溫度調整單元可經組態以將該基板之該溫度調整至比該基板台之該溫度高至多大約0.5℃的一溫度。 根據本發明之一第四態樣,提供一種在一基板在一微影裝置中之曝光之前調節彼基板之方法,該方法包含:使用一溫度調整單元以將該基板之溫度調整至高於該微影裝置之一基板台之溫度的一溫度;將該基板轉移至該基板台且啟動將該基板夾持至該基板台之一夾具;將該基板冷卻至該基板台之該溫度,且藉此在該基板中誘發一應力。 根據本發明之第五態樣,提供一種掃描微影裝置,其包含一投影系統,該投影系統經組態以投影一經圖案化輻射光束以在固持於一基板台上之一基板上形成一曝光區域,該微影裝置進一步包含用於加熱該基板之一加熱裝置,其中該加熱裝置包含第一加熱元件及第二加熱元件,該第一加熱元件及該第二加熱元件經組態以加熱在該微影裝置之一非掃描方向上位於該曝光區域之相對末端處的基板區域。 該加熱裝置有利,此係因為其防止或縮減在該非掃描方向上之該曝光區域之該等末端處該基板之失真。此允許改良該微影裝置之疊對效能。 該第一加熱元件及該第二加熱元件可位於該基板台上方且在該微影裝置之一非掃描方向上位於該曝光區域之相對末端處。 該第一加熱元件及該第二加熱元件可在該非掃描方向上鄰近於該曝光區域。 該第一加熱元件及該第二加熱元件可經組態以加熱在該非掃描方向上與該曝光區域重疊之區域。 該第一加熱元件及該第二加熱元件可經組態而以某向外發散度發射熱使得接收熱之區域延伸超出該等加熱元件之佔據面積。 該第一加熱元件及該第二加熱元件可經組態以加熱在掃描方向上之一大小大體上與該曝光區域在該掃描方向上之大小對應的區域。 該第一加熱元件及該第二加熱元件可各自經組態以加熱在該非掃描方向上之一大小小於該曝光區域在非掃描方向上之大小的區域。 該第一加熱元件及該第二加熱元件可經組態以加熱在該非掃描方向上之一大小小於該曝光區域在非掃描方向上之該大小之一半的區域。 該加熱區域亦可在該掃描方向上具有大於該曝光區域之大小的一大小。該加熱區域中之該加熱無需均一。 該等加熱元件可各自包含一LED陣列。 該等LED可經組態以發射紅外線輻射。 該等LED中之至少一些可經組態以發射輻射光束,該等輻射光束發散使得其在其入射於該基板上之前與該經圖案化輻射光束重疊。 該第一加熱元件及該第二加熱元件可包含經組態以提供雷射光束之一或多個雷射,該等雷射光束加熱位於該微影裝置在該非掃描方向上之該曝光區域之相對末端處的該等基板區域。 該一或多個雷射及關聯光學件可經組態成使得該等雷射光束在入射於該基板上之前自位於該微影裝置之一投影系統外殼之一底板中的一開口傳遞出。 該一或多個雷射可位於該微影裝置之一投影系統外殼之外部。 該投影系統外殼可具備一窗口,該窗口允許該等雷射光束傳遞至該投影系統外殼中。 該掃描微影裝置可進一步包含安裝於該投影系統外殼內之致動器上之鏡面,該等鏡面可操作以改變該等雷射光束之方向且藉此將由該等雷射光束加熱之該等基板區域移動至不同位置。 該掃描微影裝置可進一步包含一冷卻元件,該冷卻元件位於該基板台上方且位於該微影裝置在一掃描方向上之該曝光區域的一側處。 該掃描微影裝置可進一步包含一額外冷卻元件,該額外冷卻元件位於該基板台上方且位於該微影裝置在一掃描方向上之該曝光區域的一相對側處。 根據本發明之一第六態樣,提供一種使用一掃描微影裝置來曝光一基板之方法,該方法包含:投影一經圖案化輻射光束以在固持於一基板台上之一基板上形成一曝光區域;使用一加熱裝置以加熱該基板上之在該微影裝置之一非掃描方向上位於該曝光區域之相對末端處的區域;及在一掃描移動中相對於該曝光區域及該等經加熱區域移動該基板,以便使用該經圖案化輻射光束來曝光該基板之一目標部分。待曝光之連續部分亦可鄰近於在該掃描方向上之該經曝光部分。 經曝光之下一目標部分可不鄰近於在該非掃描方向上之該經曝光目標部分,而是代替地與該非掃描方向上之該經曝光目標部分分離達至少一個經插入目標部分。 該方法可進一步包含使用一冷卻裝置以冷卻該基板上之位於鄰近於該微影裝置在一掃描方向上之該曝光區域之至少一個側的一區域。
圖1展示根據本發明之一實施例的包括冷卻裝置之微影系統。該微影系統包含輻射源SO及微影裝置LA。輻射源SO經組態以產生極紫外線(EUV)輻射光束B。微影裝置LA包含照明系統IL、經組態以支撐圖案化器件MA (例如,光罩)之支撐結構MT、投影系統PS,及經組態以支撐基板W之基板台WT。照明系統IL經組態以在輻射光束B入射於圖案化器件MA上之前調節輻射光束B。投影系統經組態以將輻射光束B (現在由光罩MA而圖案化)投影至基板W上。基板W可包括先前形成之圖案。在此種狀況下,微影裝置將經圖案化輻射光束B與先前形成於基板W上之圖案對準。 輻射源SO、照明系統IL及投影系統PS可全部經建構且經配置成使得其可與外部環境隔離。處於低於大氣壓力之壓力下之氣體(例如,氫氣)可提供於輻射源SO中。真空可提供於照明系統IL及/或投影系統PS中。在充分地低於大氣壓力之壓力下之少量氣體(例如,氫氣)可提供於照明系統IL及/或投影系統PS中。 圖1所展示之輻射源SO屬於可被稱作雷射產生電漿(LPP)源之類型。可(例如)為CO2 雷射之雷射1經配置以經由雷射光束2而將能量沈積至自燃料發射器3提供之諸如錫(Sn)之燃料中。儘管在以下描述中提及錫,但可使用任何合適燃料。燃料可(例如)呈液體形式,且可(例如)為金屬或合金。燃料發射器3可包含一噴嘴,該噴嘴經組態以沿著朝向電漿形成區4之軌跡而導向(例如)呈小滴之形式的錫。雷射光束2在電漿形成區4處入射於錫上。雷射能量至錫中之沈積會在電漿形成區4處產生電漿7。在電漿之離子之去激發及再結合期間自電漿7發射包括EUV輻射之輻射。 EUV輻射係由近正入射輻射收集器5 (有時更通常被稱作正入射輻射收集器)收集及聚焦。收集器5可具有經配置以反射EUV輻射(例如,具有諸如13.5奈米之所要波長之EVU輻射)之多層結構。收集器5可具有橢圓形組態,其具有兩個橢圓焦點。第一焦點可處於電漿形成區4處,且第二焦點可處於中間焦點6處,如下文所論述。 雷射1可與輻射源SO分離。在此種狀況下,雷射光束2可憑藉包含(例如)合適導向鏡面及/或光束擴展器及/或其他光學件之光束遞送系統(圖中未繪示)而自雷射1傳遞至輻射源SO。雷射1及輻射源SO可一起被認為是輻射系統。 由收集器5反射之輻射形成輻射光束B。輻射光束B聚焦在點6處以形成充當照明系統IL之虛擬輻射源的電漿形成區4之影像。輻射光束B聚焦於之點6可被稱作中間焦點。輻射源SO經配置使得中間焦點6位於輻射源之圍封結構9中之開口8處或附近。 輻射光束B自輻射源SO傳遞至照明系統IL中,該照明系統IL經組態以調節輻射光束。照明系統IL可包括琢面化場鏡面器件10及琢面化光瞳鏡面器件11。琢面化場鏡面器件10及琢面化光瞳鏡面器件11一起向輻射光束B提供所要橫截面形狀及所要角度分佈。輻射光束B自照明系統IL傳遞且入射於由支撐結構MT固持之圖案化器件MA上。圖案化器件MA反射且圖案化輻射光束B。除了琢面化場鏡面器件10及琢面化光瞳鏡面器件11以外或代替琢面化場鏡面器件10及琢面化光瞳鏡面器件11,照明系統IL亦可包括其他鏡面或器件。 在自圖案化器件MA反射之後,經圖案化輻射光束B進入投影系統PS。投影系統包含複數個鏡面,該複數個鏡面經組態以將輻射光束B投影至由基板台WT固持之基板W上。投影系統PS可將縮減因數應用於輻射光束,從而形成特徵小於圖案化器件MA上之對應特徵之影像。舉例而言,可應用為4之縮減因數。儘管投影系統PS在圖1中具有兩個鏡面,但投影系統可包括任何數目個鏡面(例如,六個鏡面)。 冷卻裝置40位於基板W上方。冷卻裝置40提供在輻射光束B附近之基板之局域化冷卻。下文進一步詳細地描述冷卻裝置40。圖1亦描繪經組態以加熱基板W之基板溫度調整單元AU。下文進一步詳細地描述該溫度調整單元AU。微影裝置LA可進一步包含加熱裝置(未被描繪),下文對該加熱裝置進行進一步描述。 圖2展示具有圖1所展示之輻射源之替代組態的雷射產生電漿(LPP)輻射源SO。輻射源SO包括燃料發射器3,燃料發射器3經組態以將燃料遞送至電漿形成區4。燃料可(例如)為錫,但可使用任何合適燃料。預脈衝雷射16發射預脈衝雷射光束17,預脈衝雷射光束17入射於燃料上。預脈衝雷射光束17用以預加熱燃料,藉此改變燃料之屬性,諸如,燃料之大小及/或形狀。主雷射18發射主雷射光束19,主雷射光束19在預脈衝雷射光束17之後入射於燃料上。主雷射光束將能量遞送至燃料,且藉此將燃料轉換成EUV輻射發射電漿7。 可為所謂掠入射收集器之輻射收集器20經組態以收集EUV輻射,且將EUV輻射聚焦於可被稱作中間焦點之點6處。因此,輻射發射電漿7之影像形成於中間焦點6處。輻射源SO之圍封體結構21包括在中間焦點6處或附近之開口22。EUV輻射通過開口22而傳遞至微影裝置(例如,屬於圖1示意性地所展示之形式)之照明系統。 輻射收集器20可為巢套式收集器,其具有複數個掠入射反射器23、24及25 (例如,如示意性地所描繪)。掠入射反射器23、24及25可經安置成圍繞光軸O軸向地對稱。所說明輻射收集器20僅僅作為一實例被展示,且可使用其他輻射收集器。 污染截留器26位於電漿形成區4與輻射收集器20之間。污染截留器26可(例如)為旋轉箔片截留器,或可為任何其他合適形式之污染截留器。在一些實施例中,可省略污染截留器26。 輻射源SO之圍封體21包括預脈衝雷射光束17可傳遞至電漿形成區4所通過的窗口27,及主雷射光束19可傳遞至電漿形成區所通過的窗口28。鏡面29係用以將主雷射光束19通過污染截留器26中之開口而導向至電漿形成區4。 圖1及圖2所展示之輻射源SO可包括未說明之組件。舉例而言,光譜濾光器可提供於輻射源中。光譜濾光器可實質上透射EUV輻射,但實質上阻擋其他波長之輻射,諸如,紅外線輻射。 圖3示意性地描繪根據本發明之一實施例之冷卻裝置40。圖3A為自下方檢視之冷卻裝置40的示意圖,且圖3B為自一側檢視之在橫截面中之冷卻裝置的示意圖。圖3A及圖3B展示由微影裝置投影之輻射光束B。該輻射光束照明基板W上之由該輻射光束曝光之曝光區域E (如圖3B所描繪)。圖3展示笛卡爾座標,且笛卡爾座標使用通常用於微影裝置之記數法,亦即,Y方向為基板W在曝光期間之掃描移動方向,X方向橫向於Y方向且處於基板之平面中,且Z方向大體上與輻射光束B之光軸對應。 冷卻裝置40包含第一冷卻元件42及第二冷卻元件44。如圖3所描繪,第一冷卻元件及第二冷卻元件可具有相同總構造。冷卻元件42、44在掃描方向上(亦即,在Y方向上)位於輻射光束B之任一側。冷卻元件42、44鄰近於曝光區域E (亦即,輻射光束B入射之區域)。在此內容背景中,術語「鄰近」可被解譯為意謂與曝光區域E之邊緣相隔小於1公分。冷卻元件42、44可與曝光區域E之邊緣相隔小於0.5公分,且可與曝光區域之邊緣相隔大約0.1公分。每一冷卻元件42、44可經組態以冷卻處於與平分曝光區域E之線相隔3公分以內或更小的區域。每一冷卻元件42、44可經組態以冷卻處於與曝光區域之邊緣相隔2公分以內或更小的區域。 冷卻元件42、44提供在處於該等冷卻元件下方之區域中之基板W的局域化冷卻。因此,在基板之掃描曝光(其中基板在正Y方向(在圖3中自左至右)上移動)期間,第一冷卻元件42冷卻將要由輻射光束B曝光之基板之部分,且第二冷卻元件44冷卻剛才已由輻射光束B曝光之基板之部分。若掃描曝光在負Y方向(在圖3中自右向左)上移動基板,則第二冷卻元件44提供將要由輻射光束B曝光之基板之部分之冷卻,且第一冷卻元件42提供剛才已由輻射光束曝光之基板之部分之冷卻。 每一冷卻元件42、44經組態以自基板W接收熱且(例如)使用冷卻流體(例如,水)而將彼熱轉移至某其他部位。在此內容背景中,術語「冷卻流體」並不意欲暗示流體必須具有特定溫度,而是代替地指示流體將熱傳輸遠離冷卻元件42、44。每一冷卻元件42、44包含一本體46、47,在該本體中空腔48、49經形成而具有頂部60、61。空腔48、49形成於冷卻元件本體46、47之最低表面中,且在使用時位於基板W上。每一冷卻元件42、44進一步包含氣體遞送導管50至53,該等氣體遞送導管50至53經組態以將氣體遞送至冷卻元件本體46、47之最低表面。射出氣體遞送導管50至53之氣體傳遞至空腔48、49中且填充該等空腔。氣體亦向外行進且自冷卻元件本體46、47之下方射出至周圍環境。 在足夠高以將相當大量熱自基板W輸送至冷卻元件本體46、47之壓力下遞送氣體。氣體之壓力可保持足夠低使得該氣體不造成對基板W之損害。此外,氣體之壓力可保持足夠低使得其不產生足夠強以使基板W滑過基板台WT上之瘤節之切向力(例如,不產生大於大約10 mN之切向力)。氣體之壓力可保持足夠低使得在基板由基板台WT之瘤節支撐之部位處不會發生基板W之相當大變形。基板可具有為(例如)1毫米至3毫米之外部邊緣,該外部邊緣未由基板台WT之瘤節支撐。氣體之壓力可足夠低使得基板在外部邊緣處之向下變形限於可由微影裝置補償之量(例如,小於10奈米之變形)。空腔48、49中之氣體之壓力可(例如)大於100帕斯卡。空腔48、49中之氣體之壓力可(例如)大於200帕斯卡。空腔中之氣體之壓力可(例如)至多大約1000帕斯卡、可至多大約2000帕斯卡,且可至多大約5000帕斯卡。空腔中之氣體之壓力可(例如)為100千帕或更大。空腔中之氣體之壓力可(例如)為大約500千帕或更大。空腔48、49中之氣體之壓力將受到本體46、47之最低表面與基板W之間的間隙影響(增加間隙將使得較難以維持高壓)。如本文件中之別處所解釋,分離度可(例如)為大約20微米或更大,且可為大約50微米或更大。分離度可為大約200微米或更小。 除了促進熱自基板W至冷卻元件本體46、47之輸送以外,氣體亦可充當防止或抑制在冷卻元件本體與基板W之間發生接觸之墊。在一實施例中,冷卻元件本體46、47之最低表面與基板W之間的分離度可大於20微米,且可(例如)為50微米或更大。若分離度過小,則將存在冷卻元件本體46、47與基板W接觸之相當大風險。此情形不理想,此係因為其可造成對微影裝置之損害。為20微米之分離度可足以將接觸風險縮減至理想程度。為50微米之分離度可足以實質上消除接觸之風險。分離度可(例如)高達100微米,且可(例如)高達200微米。大於200微米之分離度可不理想,此係因為其可允許過多氣體自冷卻元件本體46、47下方洩漏出。 圖4更詳細地描繪第二冷卻元件44。可看到冷卻元件本體47中之空腔49,如可看到氣體遞送導管52、53一樣。如由圖4中之箭頭所描繪,由氣體遞送導管52、53遞送之氣體流動至空腔49中且亦自冷卻元件本體47下方流出。自由彎曲線示意性地描繪之氣體供應件提供氣體。舉例而言,氣體可為氫氣。替代地,可使用任何其他合適氣體(例如,諸如氦氣或氮氣之另一惰性氣體)。 第二冷卻元件44包括提供於兩個部件中之熱轉移系統。第一部件為帕耳帖冷卻器55,其與位於空腔49上方之冷卻元件本體47之一部分熱接觸。帕耳帖冷卻器55與第二冷卻元件本體47之間的熱接觸係由熱電元件56之陣列提供。熱電元件56可以已知方式串聯地電連接。熱轉移系統之第二部分為與帕耳帖冷卻器55熱接觸之冷卻流體系統57。冷卻流體系統57為熱移除系統之實例。冷卻流體系統57包含冷卻流體被抽汲所通過之一導管(或若干導管)。冷卻流體可(例如)為水(或某其他合適流體)。冷卻流體自系統57之本體接收熱且將彼熱攜載遠離第二冷卻元件44。帕耳帖冷卻器之冷側(亦即,在熱電元件56之遠端處)可(例如)具有介於大約-18℃與2℃之間的溫度。帕耳帖冷卻器之冷側可(例如)具有為-50℃或低達-100℃之溫度。 氣體在其自氣體遞送導管50至53引入時之溫度可經調適至氣體遞送導管之壁之溫度,例如,大約22℃。當氣體處於空腔中時,其將調適至基板及帕耳帖冷卻器55之溫度。因此,氣體可(例如)具有大約22℃(基板W之溫度)與大約-50℃之間的溫度。一般而言氣體可具有低至(例如)大約-100℃之溫度。一般而言,氣體可具有高達(例如)大約100℃之溫度。 在一實施例中,冷卻元件主體47之空腔49之X方向範圍可與由微影裝置之輻射光束B形成之曝光區域E的最大X方向範圍對應。舉例而言,此範圍可為26毫米。因此,空腔49可具有為大約26毫米之X方向範圍。藉由向空腔49提供等於曝光區域E之X方向範圍的X方向範圍,空腔49能夠提供橫越將要由輻射光束曝光或恰好已由輻射光束曝光之基板區域之冷卻(取決於基板W之行進之掃描方向)。待曝光之連續部分亦可鄰近於在掃描方向上之經曝光部分。 空腔49之X方向範圍可大於由輻射光束B形成之曝光區域E之最大X方向範圍。因此,空腔49可具有為大約26毫米或更大之X方向範圍。然而,在空腔49之X方向範圍延伸顯著超出曝光區域E的情況下,該空腔除了冷卻經曝光之目標部分以外亦將冷卻基板W上之鄰近目標部分之部分。此情形可造成經部分冷卻之鄰近目標部分之失真。可藉由如下操作避免可由此失真造成之疊對準確度的潛在縮減:使用曲折掃描來曝光基板,其中經曝光之下一目標部分不鄰近於在非掃描方向上之經曝光目標部分,而是代替地與在非掃描方向上之經曝光目標部分分離達至少一個經插入目標部分(例如,如下文參看圖20進一步所描述)。 在一實施例中,第二冷卻元件本體47可(例如)在Y方向上具有介於大約1公分與大約3公分(例如,為大約2公分)之間的寬度。第二冷卻元件本體47可(例如)具有介於大約2毫米與大約7毫米之間的高度(Z方向尺寸)。 第二冷卻元件可經組態以冷卻處於與平分曝光區域E之線(例如,與曝光區域之中心)相隔3公分以內或更小的區域。第二冷卻元件可經組態以冷卻處於與曝光區域E之邊緣相隔2公分以內或更小的區域。藉由遞送至曝光區域之輻射進行之基板之加熱依據與該曝光區域之邊緣相隔之距離而降低。在與曝光區域之邊緣超出大約2公分的情況下,基板之加熱可為可忽略的。因此,冷卻處於與曝光區域之邊緣相隔大約2公分以內的區域將提供基板溫度之縮減(藉此縮減基板之失真)。冷卻延伸顯著超出此範圍之區域將提供可忽略的益處(且將較難以達成,此係因為將需要較大氣體體積)。 本發明之實施例以並未由先前技術預期之方式提供基板W之局域化冷卻。本發明之實施例可防止在發生基板於基板台之瘤節上之相當大滑動的程度上發生基板之局域化加熱。熱自基板W之改良之移除(且因此,避免瘤節滑動)可在遞送至基板之能量之劑量相對於通常遞送之劑量增加時特別重要。舉例而言,將經投影圖案之解析度(例如,半間距)改良至(例如) 7奈米可需要遞送至基板之輻射劑量增加(相比於用於15奈米之解析度之輻射劑量)。 每一空腔48、49之頂部60、61與基板表面的分離度結合空腔中之氣體之壓力可經選擇為使得熱自基板W至冷卻元件本體46、47之轉移並未受到基板之表面(其實務上將為提供於基板上之抗蝕劑之表面)之適應係數顯著影響。若結合氣體壓力之空腔頂部60、61之高度使得適應係數對熱轉移有相當大影響,則由冷卻元件42、44提供之冷卻將取決於基板W上之抗蝕劑之屬性而變化,該等屬性可為未知的。此情形不理想,此係因為由冷卻元件42、44提供之冷卻接著將為未知的。結果,不可能以所要準確度控制基板W之溫度,或以所要準確度控制施加至基板之熱負荷。 材料之適應係數對熱自彼材料之表面至另一本體之轉移有影響的程度取決於該材料表面與該本體之間的分離度及可發生熱交換所經由之氣體之壓力。若分離度足夠小且氣體壓力足夠低,則適應係數將對熱轉移有相當大影響。此係因為給定氣體分子在其入射於一材料之表面且自該材料之表面反射時未將立即適應於彼材料之溫度。通常,大約30%之氣體分子將適應於材料之溫度。然而,此將根據適應係數針對不同材料而變化。若本體足夠接近材料之表面且氣體壓力足夠低,則存在氣體分子將入射於材料之表面上且接著入射於本體上而無任何進一步相互作用(亦即,不再次入射於材料表面上且不與其他氣體分子碰撞)之相當大機會。在此情況下,發生之熱轉移將取決於材料表面之適應係數。增加氣體之壓力將造成在氣體分子入射於本體上之前發生氣體分子之間的較多相互作用,且由於此情形,材料表面附近之氣體分子更可能適應於材料表面之溫度。相似地,將本體進一步移動遠離材料表面亦將增加在氣體分子入射於本體上之前發生的分子間相互作用之數目。再次,此情形幫助確保分子在其入射於本體上之前適應於材料表面之溫度。因此,適應係數之影響隨著氣體壓力增加且隨著材料表面與本體之間的分離度增加而縮減。若壓力及分離度足夠大(組合地),則適應係數將對熱轉移沒有相當大影響。此可被稱作正常壓力體系(如下文結合圖6進一步所解釋)。 在本狀況下,基板表面與空腔48、49之頂部60、61之間的分離度結合空腔中之氣體壓力可使得適應係數對熱轉移沒有相當大影響。亦即,冷卻元件42、44在正常壓力體系中操作。提供處於大約1,000帕斯卡之壓力之氣體及提供基板W (亦即,抗蝕劑上部表面)與空腔頂部60之間的為0.5毫米之分離度將確保抗蝕劑之適應係數對熱自抗蝕劑至冷卻元件42之轉移沒有相當大影響。在另一實例中,提供基板W與空腔頂部60之間的為1毫米之分離度及提供處於500帕斯卡之壓力之氣體亦將確保抗蝕劑之適應係數對熱自抗蝕劑至冷卻元件42之轉移沒有相當大影響。在另一實例中,提供基板W與空腔頂部60之間的為2毫米之分離度及提供處於250帕斯卡之壓力之氣體亦將確保抗蝕劑之適應係數對熱自抗蝕劑至冷卻元件42之轉移沒有相當大影響。 圖6為說明在兩個表面之間由氣體進行之熱轉移之係數如何依據在不同氣體壓力下在彼等表面之間的距離而變化的曲線圖。展示曲線之兩個集合,一集合具有實線且一集合具有虛線。實線指示在該等表面中之一者具有為0.3之適應係數時之熱轉移之係數。虛線指示在該等表面中之一者具有為0.6之適應係數時之熱轉移之係數。最低曲線表示為10帕斯卡之氣體壓力,且最上部曲線表示為1000帕斯卡之氣體壓力,其中氣體壓力在彼兩個壓力值之間增加。箭頭指示表面之間的為0.5毫米之分離度及將在1000帕斯卡之氣體壓力下發生的熱轉移係數(為大約300 W/m2 K)。如可看到,在此分離度及壓力下,為0.3之適應係數(實線)與為0.6之適應係數(虛線)之間的切換對熱轉移係數沒有相當大影響(例如,改變熱轉移係數達小於10%,例如,小於5%)。隨著表面之間的分離度減低(例如,減低至0.1毫米),可看到適應係數對熱轉移係數有相當大影響。如自該曲線圖可看到,對於較低氣體壓力(例如,500帕斯卡),較大分離度(例如,1毫米)可相似地提供未受到適應係數顯著影響的熱轉移係數。 在提供處於大約1,000帕斯卡之壓力之氫氣且抗蝕劑表面與空腔頂部60之間的分離度為大約0.5毫米之實施例中,抗蝕劑表面與空腔頂部60之間的熱轉移適應係數為大約300 W/m2 K。 自基板W轉移至冷卻元件44之熱之量取決於適應係數,且亦取決於基板與冷卻元件之間的溫度差。基板W及基板台WT大體上可具有大約22℃之溫度。冷卻元件44可經固持處於(例如)比基板W及基板台WT之溫度低大約20℃與40℃之間的溫度。舉例而言,冷卻元件44可(例如)經固持處於-18℃與2℃之間的溫度。此情形提供大約6000 W/m2 K至12000 W/m2 K之冷卻。由冷卻元件44提供之經冷卻區域的尺寸在一實施例中可為26毫米乘10毫米。在此狀況下,第二冷卻元件44將自基板移除大約1.5 W至3 W。第一冷卻元件及第二冷卻元件一起將自基板移除大約3 W至6 W。 帕耳帖冷卻器55將熱自冷卻元件本體47之底部轉移至液體冷卻系統。液體冷卻系統57將熱攜載遠離冷卻元件而到達遠端熱轉移系統。 儘管空腔48、49被說明為具有處於XY平面中之頂部,但在一實施例中,該頂部可圍繞X方向傾斜。圖5展示此實施例之實例。為了簡單起見,省略該實施例之與圖4之實施例對應之細節(例如,帕耳帖冷卻器)。在圖5實施例中,空腔頂部60在一末端處具有大約0.5毫米之高度,且在相對末端處向下傾斜至零高度(或接近零高度)。在具有傾斜空腔頂部60之實施例中,適應係數將隨著頂部高度減低而變得更大。因此,適應係數將對由圖5所描繪之實施例提供之熱轉移有相當大影響。儘管將出現以上所解釋之缺點,但傾斜空腔頂部60之優點在於:頂部與基板表面之間的間隙縮減允許發生更有效率的熱轉移。可(例如)在基板W之間的適應係數之所預料變化足夠小使得可足夠準確地控制冷卻的情況下使用具有傾斜頂部之空腔。相反,若預期到不同基板W之適應係數之相當大變化,則空腔頂部60並不傾斜之圖4所展示之實施例可較佳。 污染分子將規則地離開基板W上之抗蝕劑之表面且為投影系統PS (參見圖1)中之光學件之潛在污染的相當大來源。為了防止或縮減污染至投影系統PS中之進入,可自投影系統朝向基板W提供氣流。冷卻元件42、44可經組態成使得其並不產生很可能將污染逼近至投影系統中之氣體射流。換言之,冷卻元件42、44可經組態成使得其並不產生在Z方向上向上行進的足夠強以克服氣流在Z方向上向下行進且行進出投影系統PS之氣體射流。冷卻元件42、44之最低表面與基板W之間的窄間隙(例如,介於20微米與200微米之間)可防止產生可將污染攜載至投影系統PS中之氣體射流。 可需要維持冷卻元件42、44與基板之間的間隙,且詳言之防止在冷卻元件與基板之間發生接觸。在一實施例中,氣體自冷卻元件本體46、47下方之向外流動可提供防止或抑制在冷卻元件與基板之間發生接觸之墊。此氣墊可被稱作氣體軸承支腳。 在一替代配置中,冷卻元件42、44可安裝至微影裝置LA之投影系統PS。該等冷卻元件可由支撐件固持,該支撐件包括將冷卻元件移動至基板台WT上之所要高度之機構。該支撐件可包括一回縮機構,該回縮機構經組態以在偵測到未預期移動的情況下將冷卻元件拉動遠離基板。此機構可形成在微影裝置內發生未預期移動的情況下(例如,在地面顫動的情況下)觸發之更一般安全機構之部件。回縮機構亦可用以在冷卻元件穿過提供於基板台WT中之感測器上方之前提昇該等冷卻元件。 基板之表面(實務上,提供於基板上之抗蝕劑之表面)係使得高度之變化小於1微米。冷卻元件42、44與基板之間的間隙可為20微米或更大,例如,50微米或更大。結果,不需要將冷卻元件42、44向上及向下移動以適應基板W之構形之機構。 在基板之掃描曝光期間,在基板W上之給定目標部分(例如,晶粒)之曝光與下一目標部分(例如,晶粒)之曝光之間流逝相當大時間段。在此時間期間,輻射光束B不入射於基板W上,且因此,不發生由輻射光束進行之基板之加熱。儘管在此時間期間並不發生加熱,帕耳帖冷卻器55及流體冷卻系統57仍繼續操作。在諸曝光之間嘗試切斷帕耳帖冷卻器55不理想,此係因為帕耳帖冷卻器55之回應速度可不足夠快速。此外,接通及切斷帕耳帖冷卻器有縮減帕耳帖冷卻器之壽命的傾向。可使用一閥以在目標部分之間移動時切斷氣體至空腔48、49之供應,且在目標部分待曝光時接通氣體之供應。該閥可以小於大約5毫秒之時間常數而操作。 儘管冷卻元件42、44各自包含一帕耳帖冷卻器55及一流體冷卻系統57,但任何合適熱移除系統皆可用以自冷卻元件移除熱。舉例而言,可在不具有帕耳帖冷卻器的情況下使用使用處於較低溫度之流體的流體冷卻系統。舉例而言,代替水,可使用保持低於0℃之液體之流體,諸如,乙二醇。 圖7示意性地描繪一替代熱移除系統。在圖7中,展示具有空腔48之冷卻元件44。氣體係由氣體遞送導管97遞送至空腔。可藉由控制經由氣體遞送導管97遞送之氣體之壓力而控制空腔48中之氣體之壓力(亦如針對其他實施例之狀況)。冷卻元件44可(例如)具有與上文所描述之冷卻元件相同之組態,惟其不包含帕耳帖冷卻器及流體冷卻系統除外。取而代之,藉由將氮氣(或某其他合適氣體)抽汲至冷卻元件中及抽汲出冷卻元件而執行自冷卻元件44之熱移除。氣體在其經遞送至冷卻元件44時可為冷的(亦即,比冷卻元件更冷)且因此,自冷卻元件接收熱。 氣體係自氣體源94經由第一入口導管部分102a而遞送至熱交換器98。氣體係由熱交換器98預冷卻,且接著經由第二入口導管部分102b而傳遞至帕耳帖冷卻器100。氣體係由帕耳帖冷卻器100冷卻,且接著經由第三入口導管部分102c及第四入口導管部分102d而行進至冷卻元件44。 氣體自冷卻元件44接收熱,且接著經加熱氣體自冷卻元件且沿著第一出口導管部分104a傳遞。經加熱氣體通過第二出口導管部分104b而行進至熱交換器98。氣體接著自熱交換器沿著第三出口導管部分104c行進至外部部位。以此方式,自冷卻元件44移除熱且將熱攜載遠離該冷卻元件。 氣體可(例如)由氣體源94以大於10公升/分鐘之速率被提供。氣體可(例如)以20公升/分鐘或更大(例如,高達50公升/分鐘)之速率被提供。氣體可在其進入冷卻元件44之前由帕耳帖冷卻器100冷卻至(例如)-30℃之溫度。氣體係在冷卻元件44中被加熱達幾度(例如,被加熱達小於5℃),且可(例如)在其離開冷卻元件時具有為-26℃之溫度。此氣體溫度增加與熱自冷卻元件44之移除對應。氣體沿著出口導管104行進至熱交換器,在熱交換器中其與來自氣體源94之氣體交換熱。來自氣體源94之氣體可具有顯著高於-26℃之溫度,且因此,由出口氣體冷卻。出口氣體相應地由來自氣體源94之氣體加溫。 有利的是,高氣體流動(亦即,大於10公升/分鐘)限制冷卻元件44中之熱梯度。舉例而言,冷卻元件中之熱梯度可限於小於1℃。 帕耳帖冷卻器100及熱交換器98遠端地定位且不位於微影裝置LA (參見圖1)之投影系統PS下方。帕耳帖冷卻器100及熱交換器98可在微影裝置LA內具有固定位置。帕耳帖冷卻器100可位於微影裝置之真空區域內,或可位於微影裝置之非真空區域內。相似地,熱交換器98可位於微影裝置之真空區域中,或可位於微影裝置之非真空區域中。 帕耳帖冷卻器可(例如)位於遠離冷卻元件44達0.5公尺或多於0.5公尺。將帕耳帖冷卻器100提供遠離冷卻元件44之優點在於:可得到較大空間以容納帕耳帖冷卻器(可供容納冷卻元件44之投影系統PS下方之空間極有限)。因此,可使用較大帕耳帖冷卻器100。帕耳帖冷卻器100可(例如)為兩級或三級(或更高級)帕耳帖冷卻器。此允許相比於使用位於冷卻元件44中之較小帕耳帖冷卻器(例如,如圖4所描繪)可能的情形達成較大溫度縮減。 冷卻元件44可在z方向上可移動(如在本文件中之別處所解釋)。帕耳帖冷卻器100及熱交換器98可固定(亦即,不可移動)。第四入口導管部分102d係可撓性的以便允許冷卻元件44相對於帕耳帖冷卻器100移動。虛線107示意性地描繪入口導管之非可撓性部分102c連接至入口導管之可撓性部分102d之點。出於相同原因,第一出口導管部分104a亦為可撓性的。虛線107示意性地描繪出口導管之可撓性部分104a連接至出口導管之非可撓性部分104b之點。 溫度感測器110提供於入口導管120d上,例如提供於冷卻元件44附近。溫度感測器112提供於出口導管104上,例如提供於冷卻元件44附近。此等溫度感測器110、112可用以監視進入冷卻元件44及離開冷卻元件之氣體之溫度。此情形又允許演算由冷卻元件44自基板W移除之熱之量,且因此,可提供基板之溫度之指示。回饋及/或前饋校正可用以調整遞送至冷卻元件44之氣體之溫度,及/或用以調整氣體之流動速率以便調整施加至基板W之冷卻之量。 儘管所說明實施例使用帕耳帖冷卻器100,但可使用任何合適冷卻器。舉例而言,可使用焦耳湯姆森冷卻器或可使用液態氮冷卻。 因為使用經遠端定位之冷卻器100 (例如,帕耳帖冷卻器),所以此允許相比於將在帕耳帖冷卻器位於冷卻元件44中的情況下可能的情形將由入口導管102遞送之氣體冷卻至較低溫度。此又允許在冷卻元件44之溫度與基板W之溫度之間達成較大差。此又提供對於冷卻元件44之較多設計自由度,例如,允許冷卻元件具有較小佔據面積。向冷卻元件提供較小佔據面積會允許使用空腔48中之氣體之較高壓力,而不增加由空腔48中之氣體施加至基板之力。此又允許在正常壓力體系或接近正常壓力體系中操作(壓力體系係在上文結合圖6予以描述)。此情形使得由冷卻元件44提供之冷卻較不取決於基板W上之抗蝕劑之適應係數,從而導致冷卻元件向具有不同抗蝕劑之基板提供更一致效能。 圖7所描繪之實施例相比於圖4之實施例之額外優點在於:不將水提供至基板上之組件,藉此避免水漏泄至基板上之可能性且亦避免可藉由抽汲水造成之振動。 一另外優點在於:避免了歸因於圖4之實施例中之帕耳帖之熱側存在而需要的相當大量熱耗散。至圖7所描繪之實施例之環境之冷負荷可極有限(例如,小於50 mW)。此情形亦使得有可能容易藉由量測遞送至冷卻元件44之氣體之溫度、氣體在離開冷卻元件時之溫度且量測氣體之流量而量測自基板移除之熱。 另外,在冷卻元件44中需要較少組件,藉此簡化冷卻元件之設計且縮減可在微影裝置LA之操作期間出故障之元件之數目。 在圖7之實施例或本發明之其他實施例中,冷卻元件44可包括加熱器114,加熱器114可用以有效地使由冷卻元件44提供之冷卻無效歷時所要時間段。舉例而言,可在不需要使用冷卻元件44提供冷卻時(例如,在冷卻元件穿過微影裝置之感測器上方的情況下)使用加熱器114。使用加熱器以使冷卻元件44之效應無效來代替中斷冷卻元件之操作避免了可藉由中斷冷卻元件44之操作造成的問題。舉例而言,停止氣體至及自圖7所描繪之實施例中之冷卻元件44之流動將改變入口導管102及出口導管104中之氣體之溫度。氣體溫度之此等改變之後果為:當冷卻元件44恢復操作時,其將使基板冷卻至與先前狀況不同之溫度,直至氣體之溫度已穩定化為止。 用於冷卻元件44之冷卻系統之一另外替代實施例具有與圖7所描繪之組態對應但使用來自氣體源94之顯著較小氣流之組態。舉例而言,氣體流量可小於5公升/分鐘,且可為大約2公升/分鐘或更小。因為以較慢速率將氣體供應至冷卻元件44及自冷卻元件44移除氣體,所以氣體在其離開冷卻元件時之溫度較高(相比於在以上文所描述之方式以較高流動速率供應氣體時之氣體之溫度)。舉例而言,代替經歷大約4℃之溫度增加,氣體可經歷大約50℃之溫度增加。氣體在進入冷卻元件44時之溫度可為大約-30℃且在離開冷卻元件時之溫度可(例如)為大約22℃。氣體在離開冷卻元件時之溫度可與正被冷卻之基板之所要溫度對應。以此方式將較小氣流提供至冷卻元件44有利,此係因為其供應等於自基板移除所需之熱之量的冷負荷。 圖8示意性地描繪一另外替代冷卻系統。與圖7所描繪之實施例相同,冷卻元件44包括一空腔48及經組態以將氣體遞送至該空腔之一氣體遞送導管97。在此冷卻系統中,熱管120在一末端處連接至冷卻元件44且在相對末端處連接至帕耳帖冷卻器100或其他冷卻器。該熱管可包含剛性部分120a及可撓性部分120b。可撓性部分120b連接至剛性部分120a之部位係由虛線122示意性地指示。熱管之可撓性部分120b允許冷卻元件44相對於帕耳帖冷卻器100之某種移動。 熱管可(例如)具有在水平方向上(例如,在x-y平面中)比在垂直方向(亦即,z方向)上更大的橫截面形狀。熱管120在水平方向上比在垂直方向上更大之優點在於:此允許熱管更容易地容納於投影系統PS之下方(投影系統PS與基板W之間的空間可極有限)。在一實施例中,熱管之剛性部分120a可在垂直方向上比該熱管之可撓性部分120b更大。 熱管可(例如)為微熱管,亦即,經組態為具有用以經由毛細作用轉移流體使得燈芯並非必需之尖銳邊緣。 與上文結合圖7所描述之實施例相同,帕耳帖冷卻器100僅僅為冷卻器之一實例,且可使用任何其他形式之冷卻器。該冷卻器可位於微影裝置LA (參見圖1)之投影系統PS遠端,例如,遠離投影系統0.5公尺或更多。 圖8之實施例之其他優點大體上與上文結合圖7進一步所解釋之優點對應。經遠端定位之帕耳帖冷卻器100允許使冷卻元件44待冷卻至較低溫度。此允許冷卻元件具有較小佔據面積,此情形允許空腔48中之氣體之較高壓力。不將水提供至基板上之組件。帕耳帖冷卻器之熱側不位於正被冷卻之基板附近。在冷卻元件44中需要較少組件,藉此簡化冷卻元件之設計。 圖9示意性地描繪冷卻系統之一另外替代實施例。此冷卻系統包含兩相冷卻系統140,在該兩相冷卻系統140中圍繞冷卻劑迴路142抽汲冷卻劑。冷卻劑經由自液體形式至蒸汽形式之蒸發而自冷卻元件44移除熱。該兩相冷卻系統包含一泵144、一預加熱器146及一冷凝器148。該冷卻系統另外包含一溫度感測器150及一累加器152,該累加器152儲存經加壓冷卻流體且調整遞送至迴路142之冷卻劑之壓力。 在操作中,呈液體形式之冷卻劑係由泵144通過預加熱器146抽汲。此將冷卻劑之溫度設定至所要溫度。冷卻劑接著進入冷卻元件44且圍繞冷卻元件行進。冷卻劑自冷卻元件44接收熱且蒸發至蒸汽形式。此自冷卻元件44移除熱。冷卻劑流體傳遞至冷凝器148,冷凝器148自該冷卻劑移除熱(例如,使用熱交換器,熱交換器使用水或某其他冷卻劑)。冷卻劑在行進通過冷凝器148時冷凝至液體形式。現在呈液體形式之經冷凝冷卻劑接著傳遞至泵144,其再次自該泵144圍繞迴路142被抽汲。 迴路142中之冷卻劑之狀態係由該迴路之線之形式示意性地指示。實線指示冷卻劑呈液體形式。虛線指示冷卻劑至少部分地呈蒸汽形式。 冷卻元件44之溫度係藉由溫度感測器150量測。累加器152受控制以回應於由溫度感測器150量測之溫度而調整迴路142中之冷卻劑之壓力。因此,若經量測溫度過高,則迴路142中之冷卻劑之壓力增加以便使該冷卻劑將自冷卻元件44移除較多熱。相似地,若由溫度感測器150量測之溫度過低,則累加器152用以縮減冷卻劑之壓力以便縮減由冷卻劑自冷卻元件44移除之熱之量。 可使用將提供兩相冷卻之任何合適冷卻劑。舉例而言,冷卻劑可為CO2 、R134a或R1234ze。 圖9所描繪之實施例之優點在於:其並不需要額外加熱器以將快速調整應用於提供至冷卻元件44之冷卻之量(該調整係經由累加器152而獲得)。 圖9之實施例之其他優點大體上與上文結合圖7及圖8之實施例而進一步描述之優點對應。兩相冷卻系統140允許使冷卻元件44待冷卻至較低溫度。此允許冷卻元件具有較小佔據面積,此情形允許空腔48中之氣體之較高壓力。避免了在基板附近具有帕耳帖冷卻器之熱側。在冷卻元件44中需要較少組件,藉此簡化冷卻元件之設計。 圖10示意性地描繪冷卻器158,代替圖7及圖8所描繪之帕耳帖冷卻器100,該冷卻器158可用以將經冷卻氣體遞送至冷卻元件44。該冷卻器158包括增加氣體之流動速率之收縮件162。該收縮件擴展氣體,由於此擴展,氣體之質量流量保持相同但體積流量增加,藉此降低該氣體之溫度。 行進通過冷卻器158之入口導管160的氣體可處於大約室溫(例如,22℃)。氣體傳遞通過收縮件162,收縮件162用以增加氣體之流動速率且藉此減低氣體之溫度。因為收縮件162內部之氣體速度比在該氣體進入收縮件之前顯著更高,所以氣體溫度顯著較低且可(例如)為大約2℃。收縮件位於第一熱交換器164之內部。因為收縮件162內部之氣體之溫度低,所以該氣體自第一熱交換器164吸收熱。舉例而言,熱交換器之溫度可為大約12℃。 在離開收縮件162之後,氣體進入第二氣體導管166,第二氣體導管166具有比該收縮件更大的直徑(例如,與第一氣體導管160相同之直徑)。因此,氣體減速至較低氣體速度。因為氣體已自熱交換器164接收熱,所以氣體現在具有比其初始溫度更高的溫度。舉例而言,氣體可具有大約32℃之溫度。 氣體沿著第二氣體導管166行進至第二熱交換器168,在第二熱交換器168中自氣體移除熱且將熱轉移至水(或由導管170遞送之某其他流體)。此可將氣體冷卻至(例如)大約22℃之溫度。氣體接著沿著第三氣體導管172行進且行進返回通過熱交換器164。此將氣體冷卻達相當大量,例如,幾℃。可(例如)具有大約12℃之溫度之經冷卻氣體接著經由第四氣體導管174而遞送。第四氣體導管174可連接至冷卻元件44。 在一替代組態中,第一熱交換器164可為冷卻元件。 收縮件162之直徑可經調諧以提供高流動速度。可在速度為聲速時可達成大約9℃之溫度下降。具有10公分之長度及5毫米×0.5毫米之橫截面且具有180毫巴之上游壓力及20毫巴之下游壓力的扁平管將在為100毫巴公升/秒之氫氣流動速率下在最後一公分中達成約1000公尺/秒之氣體速度且在前九個公分中達成粗略300公尺/秒。 圖10所描繪之實施例之優點大體上與上文結合圖7至圖9所描述之優點對應。該實施例允許使冷卻元件44冷卻至較低溫度。此允許冷卻元件具有較小佔據面積,此情形允許空腔48中之氣體之較高壓力。避免了在基板附近具有帕耳帖冷卻器之熱側。在冷卻元件44中需要較少組件,藉此簡化冷卻元件之設計。 圖11以橫截面示意性地描繪根據本發明之一實施例之冷卻元件202。冷卻元件202可形成微影裝置(參見圖1)之冷卻裝置40之部分。如由圖11中之笛卡爾座標所指示,該橫截面係沿著X方向(與已展示在Y方向上之橫截面之先前圖形成對比)。冷卻元件202可包括已在上文結合其他實施例所描述之特徵。為了簡潔起見,在此實施例之描述中不包括此等特徵。因此,舉例而言,該實施例可包括熱移除系統,諸如,帕耳帖冷卻器及流體冷卻系統。相似地,舉例而言,該實施例可包括回縮機構。上文關於氣體之壓力及冷卻元件202與基板之間的分離度而進一步解釋之考慮因素可結合此實施例(及結合其他實施例)適用。 冷卻元件202包含連接至氣體遞送導管206之本體204,該氣體遞送導管206經由閥208接收氣體。本體204內之腔室210自氣體遞送導管206接收氣體。本體204具有底板212,底板212形成腔室210之最低表面。底板212具備孔214,氣體可自腔室210流動通過該等孔214。冷卻元件202位於基板W上,且傳遞出孔214之氣體填充冷卻元件202與基板W之間的空間直至其自冷卻元件下方流出為止(如由箭頭示意性地所描繪)。氣體具有低於基板W之溫度。氣體促進熱自基板W至冷卻元件202 (其具有低於基板之溫度)之轉移。因此,氣體用以冷卻基板。可被稱作冷卻氣體之氣體可(例如)為氫氣(或惰性氣體)。在本文件中之別處結合其他實施例論述可用於冷卻氣體(及冷卻元件202)之適當溫度。 孔214可橫越底板212而分佈。孔214可(例如)有至少10微米寬。孔214可(例如)有高達50微米寬。孔214可被提供為二維陣列。可在鄰近孔214之間提供至少100微米之分離度。可在鄰近孔214之間提供高達1毫米之分離度。在X方向上在鄰近孔之間的分離度可不同於在Y方向上在鄰近孔之間的分離度。可(例如)使用雷射鑽孔形成孔214。儘管圖11A中描繪九個孔(且圖11B中描繪45個孔),但此僅僅為示意性說明,且可使用任何合適數目個孔。 冷卻元件202進一步包含擋板216。擋板216在X方向(非掃描方向)上可移動且用以封閉腔室210之底板212中之一些孔214。在圖11A中,擋板216處於第一位置,在該第一位置中其並不封閉底板212中之孔214。此位置可被稱作經回縮位置。在圖11B中,擋板216已經移動至其封閉腔室210之底板212中之一些孔214之位置。此位置可被稱作經部署位置。如自比較圖11A與圖11B可看到,冷卻氣體入射之基板區域之X方向尺寸在擋板216自經回縮位置移動至經部署位置時得以縮減。此情形出於以下結合圖12所論述之原因有利。 圖12示意性地描繪自下方檢視之冷卻元件202。圖12亦描繪由微影裝置LA (參見圖1)之輻射光束B照明之曝光區域E。冷卻元件202之本體204形成環繞該冷卻元件之底板212之外部周邊。底板212具備孔214,氣體在冷卻元件202之操作期間自該等孔流出。冷卻元件202之擋板216在自下方檢視冷卻元件時將不可見,但在此處被描繪以便促進本發明之理解。擋板可自經回縮位置216a(以虛線描繪)移動至經部署位置216b (以點線描繪)。 在微影裝置LA (參見圖1)之操作期間,由輻射光束B照明之曝光區域E在X方向(非掃描方向)上之大小可由微影裝置之操作員選擇。此選擇可藉由待藉由微影裝置而曝光於基板W上之晶粒之大小予以判定。曝光區域E之最大X方向尺寸可(例如)為26毫米(但其他最大X方向尺寸係可能的)。最大X方向尺寸在圖12中被標註為Xmax 。曝光區域E之最小X方向尺寸可(例如)為16.5毫米(但其他最小大小係可能的)。曝光區域之最小大小在圖12中被標註為Xmin 。曝光區域E之X方向尺寸可藉由微影裝置LA (參見圖1)之照明器IL(例如)以已知方式使用遮蔽葉片(未描繪)予以調整。 冷卻元件202之擋板216允許調整冷卻氣體經導向至之區域之X方向尺寸,使得其與曝光區域E之X方向尺寸對應。因此,當曝光區域E具有最大大小Xmax 時,擋板216處於經回縮位置216a。底板212中之將氣體遞送至基板之孔之X方向尺寸接著實質上與Xmax 對應。當曝光區域E具有最小X方向大小Xmin 時,擋板216處於經部署位置216b。底板中之將氣體遞送至基板上之孔之X方向尺寸接著實質上與Xmin 對應。 在Xmax 與Xmin 之間的曝光區域E之其他X方向尺寸係可能的。擋板216可相應地經部署至經回縮位置與經部署位置之間的中間位置。因此,擋板216可定位成使得冷卻元件202將冷卻氣體導向至X方向尺寸與曝光區域E之X方向尺寸對應的區域上。藉由使冷卻氣體經遞送至之區域之X方向尺寸與曝光區域E之X方向尺寸匹配,實施例避免了將冷卻氣體導向至延伸超出曝光區域之X方向邊緣之區域上。若冷卻氣體待遞送至延伸超出曝光區域E之X方向邊緣之區域上,則此可造成基板之非想要失真。此又可縮減微影裝置能夠將圖案投影至基板上之準確度。舉例而言,冷卻氣體可造成鄰近於當前曝光之晶粒的晶粒之部分冷卻,且藉此縮減在圖案隨後經投影至彼鄰近晶粒上時之疊對準確度。藉由本發明之實施例避免此問題,此係因為冷卻氣體入射之區域之X方向尺寸與曝光區域E之X方向尺寸對應。 在本發明之所說明實施例中,當擋板216處於經回縮位置216a時,其並不封閉冷卻元件202之底板212中之孔214中的任一者。然而,沒有必要為此狀況。因此,舉例而言,擋板216可在其處於經回縮位置時封閉底板212之一些孔214。是否為此狀況將取決於擋板及底板之特定組態。在任何情況下,將擋板自經回縮位置216a移動至經部署位置216b會封閉冷卻元件202之底板212中之一些孔214。 在一替代配置中,代替在底板212中提供孔,底板可由多孔材料形成。在此種狀況下,材料中之微孔之網路允許氣體自底板212流出。可使用之多孔材料之實例為石墨(例如,如由荷蘭赫爾蒙德之Xycarb Ceramics出售)及燒結陶瓷(例如,如由荷蘭海爾德馬爾森之Gimex出售)。可視需要藉由將環氧樹脂層連同溶劑施加至多孔材料之表面而縮減多孔材料之孔隙度。所使用之溶劑之量可經選擇為使得達成層之所要滲透性。 孔214及多孔材料之微孔兩者可被認為是允許氣體傳遞通過底板212之開口之實例。擋板216以相同方式工作,而不管開口是為孔214抑或多孔材料之微孔。因此,將擋板216自經回縮位置216a移動至經部署位置216b會封閉冷卻元件202之底板212中之一些開口。 儘管圖11及圖12僅描繪單一冷卻元件202,但應瞭解,根據此實施例之冷卻元件可提供於微影裝置之曝光區域E之任一側上(例如,以與圖3所描繪之配置對應之配置)。 儘管所說明實施例具有兩個擋板,但可使用一些其他數目個擋板。舉例而言,可使用單一擋板。在此種狀況下,可結合擋板之移動需要冷卻元件202之一些X方向移動。此將確保尚未由擋板封閉之開口在X方向上保持與曝光區域E對準。 在一另外實施例(未說明)中,冷卻元件可大體上如圖11及圖12所描繪,但不存在擋板216。因此,冷卻元件可包括具備氣體遞送至基板上所通過的開口之底板。舉例而言,該等開口可為孔陣列或多孔材料之微孔陣列。 圖13示意性地描繪本發明之一替代實施例,其提供與圖11及圖12所描繪之實施例相似之功能性,但以不同方式提供該功能性。圖13為根據本發明之一實施例之冷卻元件302的示意性橫截面圖。如同圖11一樣,該橫截面係沿著冷卻元件之X方向。該冷卻元件302包含提供有三個腔室306至308之本體304。該等腔室係由壁310而彼此分隔。該等腔室可被稱作外部腔室306、308及內部腔室307。每一腔室連接至一不同氣體導管連同關聯閥(圖13未描繪)。本體304具有底板312,底板312形成腔室306至308之最低表面。底板312具備孔314,氣體可自腔室306至308流動通過該等孔314。冷卻元件302位於基板W上,且傳遞出孔314之氣體填充冷卻元件302與基板W之間的空間直至其自冷卻元件下方流出為止(如由箭頭示意性地所描繪)。氣體具有低於基板W之溫度,且因此用以冷卻基板。氣體可被稱作冷卻氣體。可用於冷卻氣體之適當溫度係在本文件中之別處結合其他實施例予以論述。結合其他實施例所論述之特徵及考慮因素亦可結合此實施例而使用。 參看圖13A,連接至所有氣體導管之閥可敞開使得氣體經遞送至所有腔室306至308。自氣體經遞送至所有腔室306至308之後,氣體就自橫越底板312之全X方向尺寸而分佈之孔314傳遞出。此全X方向尺寸可與微影裝置之曝光區域E之最大X方向尺寸Xmax (參見圖12之左側)對應。 參看圖13B,連接傳遞至外部腔室306、308之氣體導管之閥可封閉,其中連接至傳遞至內部腔室307之氣體導管之閥敞開。自已無氣體遞送至外部腔室306、308之後,就不存在通過位於彼等外部腔室下方的底板312中之孔314之氣流。氣體繼續自內部腔室307之底板312中之孔314流動。因此,相比於圖13A,在圖13B中氣體經遞送至之基板區域之X方向尺寸得以縮減。壁310可經定位成使得氣體自內部腔室307遞送至之X方向尺寸與微影裝置之曝光區域E之最小X方向尺寸Xmin (參見圖12之左側)對應。 壁310可為薄的(例如,厚度小於1毫米)以便最小化不自底板312接收冷卻氣體之X方向尺寸部分(可由壁310阻擋緊接在該等壁下方之底板之部分發射冷卻氣體)。 圖13之冷卻元件302可併有上文結合圖11及圖12之冷卻元件所描述之特徵。舉例而言,孔314可具有上文結合圖11及圖12所描述之屬性。底板312可由多孔材料形成。孔314及多孔材料之微孔為冷卻氣體可流動通過之開口之實例。 圖14以自上方檢視之橫截面示意性地描繪冷卻裝置40,冷卻裝置40包含屬於圖13所說明之形式之兩個冷卻元件302、303。圖14亦示意性地描繪冷卻裝置之氣體導管及微影裝置之曝光區域E。如上文已結合圖13所解釋,冷卻元件302、303各自包含一內部腔室307、一第一外部腔室306及一第二外部腔室308。該等腔室306至308係由壁310而彼此分隔。第一閥316連接至第一氣體導管318,第一氣體導管318分支成兩個部分318a、318b。氣體導管分支318a、318b分別連接至第一冷卻元件302之第一外部腔室306及第二冷卻元件303之第二外部腔室306。第二閥320連接至第二氣體導管322。第二氣體導管322分裂成兩個分支322a、322b,該兩個分支322a、322b分別連接至第一冷卻元件302之第二外部腔室308及第二冷卻元件303之第二外部腔室308。第三閥324連接至第三氣體導管326。第三氣體導管326分裂成兩個分支326a、326b,該兩個分支326a、326b分別連接至第一冷卻元件302之內部腔室307及第二冷卻元件303之內部腔室307。 如圖14A示意性地所描繪,當所有閥316、320、324敞開時,氣體經遞送至冷卻元件302、303之所有腔室306至308。結果,氣體由冷卻元件302、303遞送至之基板之X方向尺寸與微影裝置之曝光區域E之最大X方向尺寸Xmax 對應。 在圖14B中,第一閥316及第三閥320封閉,且結果,無氣體流動至冷卻元件302、303之外部腔室306、308。第三閥324敞開,且因此,氣體流動至冷卻元件302、304之內部腔室307。結果,氣體不自外部腔室306、308流出,但自冷卻元件302、303之內部腔室307流出。因此,橫越由微影裝置曝光之基板之較小X方向尺寸來遞送冷卻氣體。冷卻氣體經遞送至之X方向尺寸可與由微影裝置照明之曝光區域E之X方向尺寸對應。舉例而言,此X方向尺寸可為微影裝置之曝光區域E之最小X方向尺寸Xmin 。 因此,藉由敞開及封閉第一閥316及第三閥320,冷卻氣體經遞送至之X方向尺寸可在最大值與最小值之間切換。在一替代組態(未描繪)中,單一閥可經配置以控制氣體(例如)經由分裂為四個導管之單一導管而至外部腔室306、308兩者之流動。 圖13及圖14之實施例相比於圖11及圖12之實施例之缺點在於:冷卻氣體經遞送至之X方向尺寸並不可控制至處於最小值與最大值之間的值。因此,若由微影裝置使用之曝光區域E具有處於最小尺寸Xmin 與最大尺寸Xmax 之間的某處之X方向尺寸,則冷卻氣體由冷卻元件302、303遞送至之基板之X方向尺寸將不與該曝光區域E對應。 圖13及圖14之實施例之優點在於:控制氣體自冷卻元件302、303之遞送之可移動元件(亦即,閥316、320、324)定位成遠離該等冷卻元件自身。與此對比,在圖11及圖12之實施例中,擋板216位於冷卻元件302之內部。如自圖1應瞭解,冷卻元件202、302、303形成位於微影裝置之投影系統PS下方及微影裝置之基板台WT上方之冷卻裝置40之部分。此為相對難以接近的部位。因此,圖13及圖14之實施例之閥316、320、324的維修及修復可比圖11及圖12之實施例之擋板的維修及修復顯著更容易。 圖15示意性地描繪根據本發明之一替代實施例之冷卻元件402。與本發明之其他實施例相同,冷卻元件402經組態以在鄰近於曝光區域E之部位處將冷卻氣體導向至基板W上,該曝光區域E在微影裝置之操作期間由輻射光束B照明。圖15以橫截面示意性地描繪冷卻元件402,且圖16示意性地描繪自下方檢視之冷卻元件402。圖15相對於圖16放大。與一些其他實施例相同,圖15及圖16未描繪氣體遞送導管,但實務上實施例將包括氣體遞送導管。結合其他實施例所論述之特徵及考慮因素亦可結合此實施例而使用。 冷卻元件402包含一本體404,該本體404具備一腔室406。腔室406具有由多孔材料形成之底板408 (合適多孔材料之實例在上文被進一步描述)。本體404具有面向基板W之最低表面410。最低表面410之部分係由多孔材料之底板408形成。可為實質上平面的本體404之最低表面410與基板W分離達間隙G,間隙G可(例如)為大約80微米。間隙G可(例如)小於200微米,且可(例如)小於100微米。間隙G可(例如)大於20微米。 已遞送通過多孔材料之底板408之冷卻氣體填充本體404之最低表面410與基板W之間的間隙G。間隙G中之冷卻氣體係由加陰影區域412示意性地描繪。冷卻氣體412可(例如)為氫氣(或可為某其他氣體)。冷卻氣體412具有低於基板之溫度的溫度。冷卻氣體412促進熱自基板W至冷卻元件本體404之轉移,冷卻元件本體404亦具有低於基板之溫度的溫度。冷卻氣體412因此用以冷卻基板W。 圖15之冷卻元件402進一步包含第一冷卻流體通道414及第二冷卻流體通道416。通過該等冷卻流體通道414、416抽汲冷卻流體,且藉此冷卻流體將熱攜載遠離冷卻元件402。冷卻流體可(例如)為氮氣(或某其他氣體),且可(例如)經冷卻至大約-100℃之溫度。氮氣可(例如)經固持處於高於大氣壓力之壓力(例如,大於4巴,例如大約8巴,例如12巴或小於12巴)。氮氣(或其他氣體)用以將冷卻元件402冷卻至低於0℃之溫度。氮氣(或其他氣體)可用以將冷卻元件402冷卻至低於-50℃之溫度,且可用以將冷卻元件冷卻至低於-70℃之溫度(例如,大約-100℃)。自冷卻元件402經固持處於低於0℃之溫度(例如,大約-100℃)之後,腔室406中之冷卻氣體就亦經冷卻至彼溫度。因此,遞送通過多孔底板408之冷卻氣體412具有與冷卻元件402之溫度相同的溫度。 以將提供冷卻元件402與基板W之間的冷卻氣體412之大於大氣壓力的壓力之速率遞送冷卻氣體。該壓力可(例如)超過500帕斯卡,且可(例如)為大約700帕斯卡。該壓力可(例如)小於1000帕斯卡。冷卻氣體412可(例如)提供大於300 W/m2 K之熱轉移係數。冷卻氣體412可(例如)提供小於600 W/m2 K之熱轉移係數。冷卻氣體412可(例如)提供大約450 W/m2 K之熱轉移係數。 冷卻元件402定位成鄰近於曝光區域E之邊緣。在此內容背景中,術語「鄰近」可被解譯為意謂與曝光區域E之邊緣相隔小於1公分。冷卻元件402可與曝光區域E之邊緣相隔小於0.5公分,且可與曝光區域之邊緣相隔大約1毫米。冷卻元件402可在與曝光區域E之邊緣相隔1毫米至1公分之範圍內。冷卻元件與曝光區域之間的分離度愈小,愈大程度地避免由基板加熱造成之基板之一些不理想失真。 為了使冷卻元件402定位成儘可能地接近曝光區域E,冷卻元件可經塑形成使得其在其與曝光區域E相隔所要距離時不與輻射光束B相交。因此,舉例而言,此實施例中之冷卻元件具備面向輻射光束B之傾斜內部表面418。傾斜表面418可(例如)相對於垂直線對向一角度,該角度實質上與由輻射光束B相對於垂直線而對向之角度對應。此形狀避免冷卻元件402具有投影至輻射光束B中之最內隅角。根據本發明之其他實施例,對應形狀可用於冷卻元件。 冷卻元件402薄(當在垂直方向上量測時),此係因為此允許冷卻元件經定位成更接近於輻射光束B,而不與該輻射光束相交。因此,舉例而言,冷卻元件可在垂直方向(z方向)上具有為3毫米或更小之厚度。舉例而言,冷卻元件402之厚度可為2毫米或更小。冷卻元件402足夠厚以容許用於接收冷卻氣體之腔室406之空間且亦容許用於冷卻流體通道414、416之空間。因此,用於冷卻元件402之最小厚度可(例如)為大約1毫米。 冷卻元件402愈接近曝光區域E,愈大程度地避免由基板加熱造成之基板之一些不理想失真。因此,舉例而言,冷卻元件402與曝光區域E之邊緣之間的小於3毫米之分離度可理想。為2毫米或更小之分離度可較佳,此係因為此分離度提供更有效冷卻。為1毫米或更小之分離度可最佳,但實務上此實施起來可有挑戰性。曝光區域E之邊緣之位置可存在(例如)大約0.3毫米之容許度。冷卻元件402可相對於曝光區域E而定位之準確度可存在(例如)大約0.3毫米之容許度。上文所提及之值可考量此容許度。因此,上文所提及之值可(例如)具有為+/- 0.6毫米之準確度。 冷卻元件402之最低表面410可在Y方向上(例如)有介於1毫米與3毫米之間的量度(例如,1.5毫米與2毫米之間)。冷卻元件402之最低表面410可在Y方向上(例如)有小於5毫米之量度。最低表面410在Y方向上之長度對提供至基板W之冷卻之量有相當大影響,此係因為此長度判定位於冷卻元件402與基板之間的冷卻氣體412之區域。多孔材料之底板408可(例如)與冷卻元件402之內部邊緣分離達大約0.5毫米,其係在Y方向(掃描方向)上予以量測。多孔材料之底板408可(例如)具有在Y方向上之介於0.3毫米與0.7毫米之間(例如,大約0.5毫米)的長度。 圖15之實施例藉由在接近經曝光區域E之部位處提供冷卻可縮減基板歸因於由輻射光束B造成之加熱而擴展之傾向。此擴展在基板之邊緣處特別有問題,此係因為基板在其邊緣處傾向於受到基板台WT較不良好地約束。該實施例之額外益處在於:其可放寬必須提供基板台WT之瘤節之勁度容許度(瘤節之勁度之所需精度與基板之歸因於加熱之擴展相關)。 圖16描繪自下方檢視的曝光區域E之一側上之第一冷卻元件402及曝光區域之相對側上之第二冷卻元件403。該等冷卻元件屬於圖15所說明之類型。一冷卻元件402在基板之一區域由輻射光束B曝光之前冷卻彼區域,且另一冷卻元件403在該區域已曝光之後冷卻基板。將在交換掃描曝光之方向時交換此情形。 如自圖16應瞭解,由多孔材料形成之底板408之X方向尺寸可與曝光區域E之X方向對應。此X方向可(例如)為微影裝置能夠照明之曝光區域之最大X方向尺寸Xmax 。冷卻元件402、403可包括可操作以調整冷卻氣體經遞送通過之底板408之X方向尺寸的一或多個擋板或腔室或其他組件(未描繪)。 儘管圖15及圖16所描繪之實施例具有由多孔材料形成之底板408,但在其他實施例中,該底板可由無孔材料形成,其中孔陣列提供於底板中以允許冷卻氣體遞送通過該底板。該等孔可包括上文結合先前實施例進一步所提及之屬性。孔及微孔為冷卻氣體可流動通過之開口之實例。 圖2至圖4所描繪之本發明之實施例可經修改使得其包括面向基板W之底板,而代替具有空腔48、49。該底板可實質上為平面的且可(例如)與冷卻元件之最低表面共面。該底板可具備開口(例如,由多孔材料形成或具備孔陣列)。腔室可提供於底板之上,氣體自該腔室遞送通過底板。 儘管本發明之所描述實施例包含兩個冷卻元件,但本發明之其他實施例可包含其他數目個冷卻元件。舉例而言,可提供單一冷卻元件。該單一冷卻元件可(例如)沿著曝光區域E之一側延伸(或具有沿著曝光區域之一側延伸之空腔)。在此種狀況下,冷卻元件將在曝光之前或在曝光之後冷卻曝光區域E (取決於在彼曝光期間之掃描方向)。替代地,單一冷卻元件可(例如)圍繞曝光區域之複數個側延伸(例如,具有一空腔或底板,該空腔或底板具有圍繞曝光區域之複數個側延伸之開口)。單一冷卻元件可(例如)圍繞曝光區域之周邊而延伸(例如,具有一空腔或底板,該空腔或底板具有圍繞曝光區域之周邊延伸之開口)。 在一替代實例中,可提供兩個以上冷卻元件。舉例而言,可提供四個冷卻元件,一冷卻元件被提供成鄰近於曝光區域之每一邊緣。 圖17示意性地描繪本發明之一替代實施例。圖17之冷卻裝置70相似於以上所描述之實施例,此係因為其包含一對冷卻元件72、74,然而,在此實施例中,用以一不同冷卻機構以自基板W移除熱。每一冷卻元件72、74具備一噴嘴陣列76、78,該噴嘴陣列76、78經配置以將液滴導向至基板W上。液滴係由圖17中之圓圈80示意性地描繪。液體可為水,且結合所使用之小水滴來描述圖17之實施例。然而,可使用任何其他合適液體。小水滴自基板W接收熱且自基板之表面蒸發。熱至小水滴之轉移及小滴之蒸發提供基板W之冷卻。小滴自基板W之經冷卻之區之蒸發可在彼區由輻射光束B照明之前完成。 噴嘴陣列76、78可為二維陣列。噴嘴可(例如)被提供為矩形陣列(例如,其中噴嘴具有類矩形柵格之配置)。每一噴嘴陣列76、78可具有任何合適配置。噴嘴可橫越每一陣列76、78均勻地分佈。噴嘴可經配置以提供小水滴在基板W上之均勻分佈。 上文關於先前所描述實施例之冷卻元件42、44之定位進一步闡明的考慮因素結合此實施例之冷卻元件70、72之定位大體上適用。因此,舉例而言,冷卻元件72、74位於在掃描方向上(亦即,在Y方向上)之輻射光束B之任一側上。冷卻元件72、74鄰近於曝光區域E。在此內容背景中,術語「鄰近」可被解譯為意謂與曝光區域E之邊緣相隔小於1公分。冷卻元件72、74可與曝光區域E之邊緣相隔小於0.5公分,且可與曝光區域之邊緣相隔大約0.1公分。每一冷卻元件可經組態以冷卻處於與平分曝光區域E之線相隔3公分以內或更小的區域。每一冷卻元件可經組態以冷卻處於與曝光區域之邊緣相隔2公分以內或更小的區域。 出於上文進一步所解釋之原因,噴嘴陣列在Y方向上之範圍可等於或大於微影裝置在Y方向上之最大曝光區域長度。噴嘴陣列可(例如)經組態以將小水滴遞送至在X方向上延伸大約26毫米之區域。每一噴嘴陣列可(例如)經組態以將小水滴遞送至在Y方向上延伸大約5毫米之區域。 每一冷卻元件72、74之底部表面(其可被視為噴嘴76、78之底部表面)之間的分離度相比於上文進一步描述之實施例中可顯著較大,此係因為應用不同考慮因素。在小水滴自噴嘴76、78行進至基板W時將發生小水滴之一些蒸發。然而,倘若小水滴在其到達基板W之前未完全汽化,則該等小水滴將提供基板之某種冷卻。發生之小水滴之蒸發之量將取決於小水滴之飛行時間,且此又將取決於小水滴之速度及冷卻元件72、74與基板W之間的分離度。可(例如)提供一毫米或大於一毫米(例如,高達大約5毫米)之分離度,而不會造成有問題的小水滴蒸發之量(例如,在行進至基板W期間之蒸發可為大約50%或更小,且可小於10%)。可提供較小分離度,例如,50微米或大於50微米。如以上進一步所提及,50微米之分離度可足以實質上消除在冷卻元件72、74與基板W之間接觸之風險。 在給定時間期間蒸發之小水滴之比例將取決於該小水滴之半徑。本發明之實施例之小水滴可(例如)具有大約數微米或數十微米之直徑。舉例而言,小水滴可具有大約20微米之直徑。在此種狀況下,一半小水滴蒸發所花費的時間可為大約5毫秒。噴嘴76、78可以大約10公尺/秒之速度之速度將小水滴導向朝向基板。若噴嘴與基板之間的分離度為5毫米,則大約6%的小水滴將在其到達基板W之前蒸發。若需要較少蒸發或需要較低小滴速度,則可相應地縮減冷卻元件72、74與基板W之間的分離度。舉例而言,將分離度縮減至100微米將允許以相同速度以大約0.1%蒸發遞送小滴。 每一冷卻元件72、74可經配置以自基板移除大約1.5 W至3 W。水之蒸發能量為2×106 J/kg。因此,為了(例如)自基板移除2 W,可以大約1 mg/s之速率將小水滴遞送至基板。 儘管結合圖17描述之實施例包含兩個冷卻元件72、74,但本發明之其他實施例可包含其他數目個冷卻元件。舉例而言,可提供單一冷卻元件。舉例而言,該單一冷卻元件可具有沿著曝光區域E之一側延伸之噴嘴陣列。在此種狀況下,冷卻元件將在曝光之前或在曝光之後冷卻曝光區域(取決於在彼曝光期間之掃描方向)。替代地,單一冷卻元件可(例如)圍繞曝光區域之複數個側而延伸(例如,具有圍繞曝光區域之複數個側延伸之噴嘴陣列)。單一冷卻元件可(例如)圍繞曝光區域之周邊而延伸(例如,具有圍繞曝光區域之周邊延伸之噴嘴陣列)。 在一替代實例中,可提供兩個以上冷卻元件。舉例而言,可提供四個冷卻元件,一冷卻元件被提供成鄰近於曝光區域之每一邊緣。 應瞭解,在適當時,上文結合先前所描述實施例進一步所描述之變化亦可應用於當前描述之實施例。 儘管所描述實施例使用小水滴,但可使用將自基板蒸發之任何其他合適液體。舉例而言,經固持處於足夠高壓及/或足夠低溫之呈液體之形式的CO2 、H2 或惰性氣體可自噴嘴陣列噴出。 本發明之一替代實施例包含加熱裝置。圖18至圖22示意性地描繪加熱裝置之實施例且展示可如何使用加熱裝置。圖18A為自下方檢視之加熱裝置200之示意圖,且圖18B為來自加熱裝置之加熱元件202之一側的示意圖。由微影裝置投影之輻射光束B在圖18B中被展示,且由輻射光束照明之曝光區域E在圖18A中被展示。 與其他所說明實施例相同,圖18至圖22展示笛卡爾座標且笛卡爾座標使用通常用於微影裝置之記數法,亦即,Y方向為基板W在曝光期間之掃描移動方向,X方向橫向於Y方向且處於基板之平面中,且Z方向大體上與輻射光束B之光軸對應。 加熱裝置200包含第一加熱元件502及第二加熱元件504。如圖18A所描繪,第一加熱元件502及第二加熱元件504可具有相同總構造。加熱元件502、504在非掃描方向上(亦即,在X方向上)位於曝光區域E之相對末端處。加熱元件502、504可鄰近於曝光區域E。在此內容背景中,術語「鄰近」可被解譯為意謂與曝光區域E之邊緣相隔小於1公分。冷卻元件502、504可與曝光區域E之邊緣相隔小於0.5公分,且可與曝光區域之邊緣相隔大約0.1公分。 每一加熱元件502、504在直接處於彼加熱元件下方之區域中提供基板W之局域化加熱。由每一加熱元件502、504加熱之區域亦可延伸超出直接位於彼加熱元件下方之區域。經加熱區域在圖18A中由點線506、508示意性地描繪。每一加熱元件502、504包含經組態以發射紅外線輻射之LED 510、512陣列。儘管所描繪LED 510、512陣列各自包含十二個LED,但可使用任何合適數目個LED。 圖18B描繪自一側檢視之加熱元件502中之一者。如可看到,LED 510發射紅外線輻射光束514。紅外線輻射光束514發散,且因此,照明基板W上之延伸超出加熱元件502之佔據面積之區域506。如圖18B示意性地描繪,紅外線輻射光束514中之至少一者可與輻射光束B之一邊緣重疊。因此,加熱裝置200可加熱與曝光區域E重疊之區域。 一般而言,加熱元件502、504之熱發射器可經組態而以某種向外發散度發射熱,使得基板上之接收熱之區域506、508延伸超出該加熱元件之佔據面積。 加熱元件502將經局域化加熱遞送至基板W,該加熱元件502用以加熱緊接在由輻射光束B照明之曝光區域E (在X方向上)之邊緣外部的基板之部分。結果,基板W之溫度在曝光區域E之邊緣處並不快速降低,而是代替地較緩慢縮減。此情形有利,此係因為縮減了將另外由此溫度下降造成的基板之失真。此實現可藉由微影裝置LA將圖案投影至基板W上之準確度之改良(其可提供微影裝置之疊對效能之改良)。 圖19示意性地描繪由微影裝置之輻射光束B曝光之曝光區域E,且另外示意性地描繪由加熱裝置之加熱元件加熱之區域506、508。如在圖19中可看出,經加熱區域506中之一者在X方向上在曝光區域之一側上鄰接曝光區域E且另一經加熱區域508在X方向上在相對側上鄰接曝光區域E。如已在上文所提及,加熱元件加熱基板使得不存在(或縮減)將以其他方式存在於曝光區域E之X方向邊緣處之熱梯度。取而代之,彼等熱梯度在X方向上向外移動至經加熱區域506、508之遠離曝光區域E之邊緣。因為此等邊緣未由輻射光束B曝光,所以彼等邊緣處之熱梯度之存在對圖案經投影至基板W上之準確度沒有相當大影響。 在一實施例中,經加熱區域506、508可與曝光區域E之X方向邊緣重疊。儘管曝光區域E被描繪為具有尖銳邊緣,但實務上其可隨著輻射光束B之強度在X方向上減低而具有模糊邊緣。相似地,經加熱區域506、508 (儘管被描繪為具有尖銳邊緣)可具有模糊邊緣,其中由紅外線輻射光束514提供之熱在X方向上逐漸降低。加熱元件502、504可經組態成使得經加熱區域506、508在X方向上之模糊邊緣與曝光區域E之模糊邊緣重疊。因此,可存在在X方向上自由輻射光束B加熱之區域至由加熱元件502、504加熱之區域之逐漸轉變。 加熱元件502、504可經組態以發射紅外線輻射(如上文所提及),此係因為紅外線輻射對於微影抗蝕劑並非光化的。輻射之其他非光化波長可由加熱元件502、504發射。儘管所說明實施例使用LED 510、512以提供將熱遞送至基板W之輻射光束514,但可使用任何合適形式之熱發射器以將熱發射至基板上。加熱區域亦可在掃描方向上具有大於曝光區域之大小的大小。加熱區域中之加熱無需均一。 加熱裝置500可安裝於投影系統PS之外殼上。此安裝可(例如)以大體上與圖1示意性地描繪之冷卻裝置40之安裝對應之方式進行。冷卻區域可在施加加熱時在X方向上較大,亦即,其可延伸直至在X方向上之寬度以圖18之506+E+508為特徵為止。 圖20及圖21示意性地描繪代替圖18所描繪之加熱裝置可使用的加熱裝置的替代實施例。首先參看圖20,加熱裝置600包含第一雷射602及第二雷射604,第一雷射602及第二雷射604經組態以輸出入射於基板W上之雷射光束L。雷射光束L照明由微影裝置之輻射光束B照明之曝光區域E之任一側上的區域606、608。經照明區域606、608可鄰接曝光區域E。雷射602、604為加熱元件之實例。 雷射光束L照明基板W上之大體上與圖19所描繪之區域506、608對應的區域606、608。此等區域之形狀及大小可藉由光學件603、605判定。光學件603、605可包括用以將雷射光束導向至基板W上之鏡面。雷射光束L可(例如)為紅外線雷射光束。雷射光束L可具有某其他非光化波長。雷射光束L將經局域化加熱遞送至基板W,雷射光束L用以加熱緊接在由輻射光束B照明之曝光區域E (在X方向上)之邊緣外部的基板之部分。結果,基板W之溫度在曝光區域E之邊緣處並不快速降低,而是代替地較緩慢縮減。此提供如下優點:縮減了將另外由此溫度下降造成的基板之失真。此又提供可藉由微影裝置LA將圖案投影至基板W上之準確度之改良(其可提供微影裝置之疊對效能之改良)。此在上文結合圖19進一步更詳細地予以解釋。 基板W上之由雷射光束L照明之區域606、608可與曝光區域E之X方向邊緣重疊。曝光區域E可具有模糊邊緣,在該模糊邊緣處輻射光束B之強度在X方向上減低。相似地,由雷射光束L照明之區域606、608可具有模糊邊緣,在該等模糊邊緣處雷射光束之強度在X方向上減低。雷射光束L可經定位成使得由該等雷射光束照明之區域606、608在X方向上之模糊邊緣與曝光區域E之模糊邊緣重疊。因此,可存在在X方向上自由微影裝置之輻射光束B加熱之區域E至由雷射光束L加熱之區域606、608之逐漸轉變。 雷射光束L通過投影系統外殼之底板618中之開口619行進出。主要提供開口619以允許微影裝置之輻射光束B射出投影系統外殼且入射於基板W上。然而,雷射光束L亦利用開口619。雷射光束L可(例如)彼此交叉(例如,在X方向上),如圖20示意性地所描繪。 雷射602、604可提供於微影裝置(參見圖1)之投影系統PS之外殼616內。外殼內之環境可為真空環境,且可選擇適於用於真空環境中之雷射(例如,不遭受相當大除氣之雷射)。在一替代途徑中,雷射可位於投影系統之外殼618之外部,其中雷射光束傳遞通過提供於投影系統外殼之壁中之一或多個窗口。圖21示意性地描繪此情形。 參看圖21,描繪加熱裝置600之一實施例,該加熱裝置600經組態而以與上文結合圖20所描述之方式對應的方式操作,但在該加熱裝置600中雷射620、622位於投影系統之外殼616之外部。雷射620、622可被認為是加熱元件之實例。雷射620、622可位於外殼618遠端,且提供自彼等遠端部位行進至投影系統外殼616之雷射光束L。窗口624提供於投影系統外殼616中。窗口624可(例如)由石英或某其他合適材料形成,且在雷射光束L之波長下實質上透明。位於投影系統外殼618內之鏡面626、628經組態以接收並反射雷射光束L。鏡面626、628經定向以將雷射光束L導向至曝光區域E之任一側(在X方向上)上之基板W上。因此,雷射光束L照明曝光區域E之任一側之區域606、608 (例如,其鄰接曝光區域)。經照明區域606、608之形狀及大小可藉由位於雷射620、622下游之光學件621、623判定。 鏡面626、628係由安裝件630、632固持。安裝件可固定使得鏡面626、628之定向固定。替代地,安裝件630、632可包括經組態以調整鏡面626、628之定向之致動器。該等致動器可受到控制器(未描繪)控制。若提供致動器,則此等致動器可用以改變雷射光束L入射於基板W上之位置。舉例而言,可在由微影裝置之操作員選擇具有縮減之X方向尺寸之曝光區域E的情況下使用此位置調整。有利的是,此位置調整可確保由雷射光束L照明之區域606、608繼續鄰接曝光區域E之邊緣。 可在任何合適部位處提供致動器上之鏡面,且致動器上之鏡面可用以控制基板W上之經照明區域606、608之位置。 儘管圖20及圖21之實施例具有兩個雷射,但可使用任何合適數目個雷射。舉例而言,可使用單一雷射以提供單一雷射光束,接著將該單一雷射光束分裂成兩個光束(例如,使用光束分裂器),使得第一雷射光束可照明一個基板區域606且另一雷射光束可照明第二基板區域608。 由加熱裝置500、600照明之區域506、508、606、608之Y方向範圍可大體上與由輻射光束B照明之曝光區域E之Y方向範圍對應。此情形有利,此係因為其允許加熱裝置以與熱由輻射光束B遞送之方式對應的方式將熱遞送於曝光區域E之任一末端處。 加熱裝置500、600之加熱元件可在輻射光束B不入射於基板W上時切斷。舉例而言,可在一目標部分之曝光之後且在下一目標部分之曝光之前移動基板W時發生此情形。此情形有利,此係因為在輻射光束B不加熱基板時使用加熱裝置500、600以加熱基板W不具有益處。 圖22示意性地描繪劃分成目標部分C之陣列之基板W。在基板W之習知掃描曝光中,使用曲折路徑,其中串聯地曝光基板在X方向上之鄰近目標部分C。當使用根據本發明之一實施例之加熱裝置500、600時,可使用不同形式之曲折路徑,其中未曝光在X方向上之鄰近目標部分。取而代之,可曝光在X方向上由至少一個經插入目標部分分離之目標部分。圖22示意性地描繪此情形之實例。在圖22中,箭頭描繪目標部分之掃描曝光之方向。因此,參看所標註之目標部分行,C1在+Y方向上藉由掃描曝光而曝光,接著C3在-Y方向上藉由掃描曝光而曝光。C2未曝光,此係因為其已自加熱裝置500、600接收熱,且因此,可包括某局域化失真。C5接著在+Y方向上藉由掃描曝光而曝光,在此之後C7在-Y方向上藉由掃描曝光而曝光。C4及C6未曝光,此係因為其已自加熱裝置500、600接收熱且可包括某局域化失真。 一旦已曝光包括圖22中之箭頭之所有目標部分,就可曝光其他目標部分。此途徑有利,此係因為其留出時間使已由加熱裝置500、600遞送至目標部分之熱在使用微影裝置曝光彼等目標部分之前耗散。 經加熱區域506、508、606、608之X方向範圍可小於曝光區域E之X方向範圍。此情形有利,此係因為其避免給定加熱元件同時加熱多個目標部分。參看圖22,應瞭解,若經加熱區域506、508、606、608延伸達多於曝光區域之X方向範圍,則(例如)在目標部分C1之曝光期間目標部分C3將被加熱。此加熱將造成將在目標部分C3之曝光期間存在的目標部分C3之非想要失真。 每一經加熱區域506、508、606、608之X方向範圍可小於曝光區域E之X方向範圍的一半。 可結合上文進一步描述之冷卻裝置40使用加熱裝置500、600。可能預期冷卻裝置40與加熱裝置500、600之組合之使用不提供淨益處,此係因為冷卻裝置之效應將抵消加熱裝置之效應。然而,並非為此狀況。可在相對於曝光區域E之不同位置處提供冷卻裝置40及加熱裝置500、600以獲得不同有益效應。因此,舉例而言,加熱裝置500之加熱元件502、504可提供於曝光區域E之X方向末端處以便縮減曝光區域之邊緣處出現的失真。可被稱作邊緣效應之此失真在曝光區域E之Y方向邊緣處並不為相當大的問題。此係因為基板W相對於曝光區域E之掃描移動將在Y方向上遞送至基板W之輻射平均化,使得不出現邊緣效應。因此,將冷卻裝置40提供於曝光區域E之Y方向邊緣處將提供上文進一步所描述之有利效應,而在Y方向邊緣處不會造成邊緣效應。因此,加熱裝置500可提供於曝光區域E之X方向末端處,且冷卻裝置40可提供於曝光區域之至少一個Y方向末端處。加熱裝置500及冷卻裝置40可連接到一起以形成單一單元。 在本文件中對基板之表面之參考可被解譯為係指提供於基板上之抗蝕劑之表面。 如已在上文所提及,基板W在基板台WT之瘤節表面上之滑動可縮減經投影圖案與先前經投影圖案對準(通常被稱作疊對)之準確度。使用上述實施例可縮減或防止基板W在瘤節上之滑動。另外或替代地,可藉由將基板W加熱至高於其將被置放之基板台WT之溫度的溫度來縮減或防止該基板在瘤節上之滑動。舉例而言,基板W可藉由溫度調整單元AU (參見圖1)而加熱至比基板台WT之溫度高大約100 mK之溫度。溫度調整單元AU可包含經固持處於所要溫度之板。當基板W置放至板上時,基板W被加熱至該板之溫度。接著將經加熱基板W置放至基板台WT上,且使用形成基板台之部分之夾具(例如,靜電夾具)將該經加熱基板W夾持至基板台。基板接著冷卻至基板台之溫度,藉此將應力引入至基板中。引入至基板中之應力傾向於朝向基板之中心向內拖曳基板之外部邊緣。當使用輻射光束B來圖案化基板時,此加熱基板且引入應力,該應力傾向於將基板之外部邊緣向外推動遠離基板之中心。在基板之冷卻期間已經引入之應力將至少部分地抵消由基板之加熱造成之應力,藉此縮減由基板經歷之累積應力。在基板之外部邊緣附近特別為此狀況。累積應力之縮減會縮減或防止基板W在基板台WT之瘤節上滑動(特別是在基板之外部邊緣附近)。此情形有利,此係因為滑動最可能發生於基板之外部邊緣附近(夾具可在基板之外部邊緣附近施加比在其他部位處更小的力)。 儘管在以上實例中溫度調整單元AU將基板加熱100 mK,但溫度調整單元可將基板加熱其他量。舉例而言,溫度調整單元可將基板加熱高達大約0.5K。 在本發明之實施例之示意性說明中,曝光區域E被描繪為矩形。此係為了易於說明,且應瞭解,曝光區域E可具有某其他形狀。舉例而言,曝光區域E可包括沿著X方向之某曲率(例如,其可具有大體上類似香蕉之形狀)。 在一實施例中,本發明可形成光罩檢測裝置之部分。光罩檢測裝置可使用EUV輻射以照明光罩且使用成像感測器以監視自光罩反射之輻射。由成像感測器接收之影像係用以判定缺陷是否存在於光罩中。光罩檢測裝置可包括經組態以自EUV輻射源接收EUV輻射且將EUV輻射形成為輻射光束以導向於光罩處之光學件(例如,鏡面)。光罩檢測裝置可進一步包括經組態以收集自光罩反射之EUV輻射且在成像感測器處形成光罩之影像的光學件(例如,鏡面)。光罩檢測裝置可包括一處理器,該處理器經組態以分析成像感測器處之光罩之影像且自彼分析判定是否任何缺陷存在於光罩上。處理器可經進一步組態以判定經偵測光罩缺陷在光罩由微影裝置使用時在投影至基板上之影像中是否將造成不可接受的缺陷。 在一實施例中,本發明可形成度量衡裝置之部分。度量衡裝置可用以量測形成於基板上之抗蝕劑中之經投影圖案相對於已經存在於基板上之圖案之對準。相對對準之此量測可被稱作疊對。度量衡裝置可(例如)經定位成鄰近於微影裝置且可用以量測在基板(及抗蝕劑)已被處理之前之疊對。 儘管可在本文中特定地參考在微影裝置之內容背景中之本發明之實施例,但本發明之實施例可用於其他裝置中。本發明之實施例可形成光罩檢測裝置、度量衡裝置或量測或處理諸如晶圓(或其他基板)或光罩(或其他圖案化器件)之物件之任何裝置之部分。此等裝置通常可被稱作微影工具。此微影工具可使用真空條件或環境(非真空)條件。 術語「EUV輻射」可被認為涵蓋具有在4奈米至20奈米之範圍內(例如,在13奈米至14奈米之範圍內)之波長之電磁輻射。EUV輻射可具有小於10奈米之波長,例如,在4奈米至10奈米之範圍內之波長,諸如,6.7奈米或6.8奈米。 儘管圖1及圖2將輻射源SO描繪為雷射產生電漿LPP源,但可使用任何合適源以產生EUV輻射。舉例而言,可藉由使用放電以將燃料(例如,錫)轉換成電漿狀態來產生EUV發射電漿。此類型之輻射源可被稱作放電產生電漿(DPP)源。可由電力供應器產生放電,該電力供應器可形成輻射源之部分,或可為經由電連接而連接至輻射源SO之分離實體。 儘管可在本文中特定地參考在IC製造中微影裝置之使用,但應理解,本文所描述之微影裝置可具有其他應用。可能之其他應用包括製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、平板顯示器、液晶顯示器(LCD)、薄膜磁頭等等。 本發明之實施例可以硬體、韌體、軟體或其任何組合予以實施。本發明之實施例亦可被實施為儲存於機器可讀媒體上之指令,該等指令可由一或多個處理器讀取及執行。機器可讀媒體可包括用於儲存或傳輸以可由機器(例如,計算器件)讀取之形式之資訊的任何機構。舉例而言,機器可讀媒體可包括:唯讀記憶體(ROM);隨機存取記憶體(RAM);磁碟儲存媒體;光學儲存媒體;快閃記憶體器件;電學、光學、聲學或其他形式之傳播信號(例如,載波、紅外線信號、數位信號,等等);及其他者。另外,韌體、軟體、常式、指令可在本文中被描述為執行某些動作。然而,應瞭解,此等描述僅僅係出於方便起見,且此等動作事實上係由計算器件、處理器、控制器或執行韌體、軟體、常式、指令等等之其他器件引起。 雖然上文已描述本發明之特定實施例,但將瞭解,可以與所描述之方式不同的其他方式來實踐本發明。以上描述意欲為說明性而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離下文所闡明之申請專利範圍及條項之範疇的情況下對所描述之本發明進行修改。 1.   一種微影裝置,其包含一投影系統,該投影系統經組態以投影一經圖案化輻射光束以在固持於一基板台上之一基板上形成一曝光區域,該微影裝置進一步包含用於冷卻該基板之一冷卻裝置,其中該冷卻裝置包含: 一冷卻元件,其位於該基板台上方且鄰近於該曝光區域,該冷卻元件經組態以自固持於該基板台上之一基板移除熱。 2.   如條項1之微影裝置,其中該冷卻元件經組態以冷卻與平分該曝光區域之一線相隔3公分以內或更小的一區域。 3.   如條項1或2之微影裝置,其中該冷卻元件經組態以冷卻與該曝光區域之一邊緣相隔2公分以內或更小的一區域。 4.   如前述條項中任一項之微影裝置,其中該冷卻元件在實質上與該微影裝置之一掃描方向對應之一方向上與該曝光區域分離。 5.   如條項4之微影裝置,其中該冷卻元件為提供於該曝光區域之任一側上之一對冷卻元件中的一者。 6.   如前述條項中任一項之微影裝置,其中該冷卻元件包含一本體,一敞開空腔提供於該本體之一最低面中,且該冷卻元件進一步包含經組態以將氣體遞送至該空腔之一氣體遞送導管。 7.   如條項6之微影裝置,其中該空腔經組態以連同該基板之一上部表面形成接收由該氣體遞送導管遞送之氣體的一體積。 8.   如條項6或7之微影裝置,其中該冷卻元件之一空腔在該微影裝置之一非掃描方向上之範圍等於或大於該微影裝置在該非掃描方向上之最大曝光區域長度。 9.   如條項6至8中任一項之微影裝置,其中該空腔具有一頂部,該頂部在使用中與該基板之該上部表面相隔小於1毫米。 10.   如條項6至9中任一項之微影裝置,其中該空腔之該頂部實質上平行於該基板台之一平面。 11.    如條項9或10之微影裝置,其中遞送至該空腔之氣體之壓力及該空腔頂部與該基板表面之間的分離度之組合係使得該基板之適應係數對熱自該基板至該冷卻元件本體之轉移沒有相當大影響。 12.   如條項6至9中任一項之微影裝置,其中該空腔之該頂部傾斜,該頂部圍繞橫向於該微影裝置之一掃描方向而延行之一軸線而傾斜。 13.   如條項1至5中任一項之微影裝置,其中該冷卻元件包含一本體,該本體含有連接至一氣體遞送導管之一腔室,該腔室之一底板具備開口。 14.   如條項13之微影裝置,其中該腔室之該底板中之該等開口包含一孔陣列。 15.   如條項13之微影裝置,其中該腔室之該底板係由多孔材料形成,且其中該等開口為該多孔材料之微孔。 16.   如條項13至15中任一項之微影裝置,其中該冷卻元件進一步包含至少一個擋板,該至少一個擋板可自一經回縮位置移動至一經部署位置,且其中將該擋板自該經回縮位置移動至該經部署位置會封閉該冷卻元件之該底板中之一些開口。 17.   如條項16之微影裝置,其中該至少一個擋板經組態成使得在該擋板處於該經回縮位置時無開口由該擋板封閉。 18.   如條項16或17之微影裝置,其中該至少一個擋板可移動至介於該經回縮位置與該經部署位置之間的一中間位置。 19.   如條項13至15中任一項之微影裝置,其中該冷卻元件包含提供於該腔室之任一側上之額外腔室,該等額外腔室連接至一或若干不同氣體遞送導管。 20.   如條項19之微影裝置,其進一步包含:經組態以控制氣體至該腔室之遞送的一閥;及經組態以分離地控制氣體至該等額外腔室之遞送的一或多個閥。 21.   如條項13至20中任一項之微影裝置,其中該冷卻元件之該本體具有為3毫米或更小之一厚度。 22.   如條項13至21中任一項之微影裝置,其中該冷卻元件之該本體定位成與該曝光區域之一邊緣相隔3毫米或更小。 23.   如條項13至21中任一項之微影裝置,其中該冷卻元件之該本體具有在該微影裝置之一掃描方向上有小於5毫米之量度的一最低表面。 24.   如條項13至23中任一項之微影裝置,其中該冷卻元件之該本體具有面向該輻射光束之一傾斜內部表面。 25.   如前述條項中任一項之微影裝置,其中該冷卻元件包括經組態以自該冷卻元件移除熱之一熱移除系統。 26.   如條項25之微影裝置,其中該熱移除系統為一流體冷卻系統。 27.   如條項25或26之微影裝置,其中該熱移除系統包括一帕耳帖冷卻器。 28.   如條項26之微影裝置,其中該流體冷卻系統包含:一冷卻器,其經組態以冷卻氣體,該冷卻器位於該冷卻元件之遠端;一入口導管,其經組態以將該經冷卻氣體遞送至該冷卻元件以便冷卻該冷卻元件;及一出口導管,其經組態以自該冷卻元件移除該氣體。 29.   如條項28之微影裝置,其中該入口導管及該出口件兩者包括適應該冷卻元件之移動之一可撓性部分。 30.   如條項28或29之微影裝置,其中一溫度感測器提供於該入口導管上,且一溫度感測器提供於該出口導管上。 31.   如條項28至30中任一項之微影裝置,其進一步包含經組態而以大於10公升/分鐘之一速率提供該氣體之一氣體源。 32.   如條項28至30中任一項之微影裝置,其進一步包含經組態而以小於2公升/分鐘之一速率提供該氣體一氣體源。 33.   如條項25之微影裝置,其中該熱移除系統包含連接至一冷卻器之一熱管。 34.   如條項33之微影裝置,其中該熱管具有在一水平方向上比在垂直方向上更大的一橫截面形狀。 35.   如條項33或34之微影裝置,其中該熱管包括適應該冷卻元件之移動之一可撓性部分。 36.   如條項33至35中任一項之微影裝置,其中該熱管為一微熱管。 37.   如條項26之微影裝置,其中該流體冷卻系統為一兩相冷卻系統,其包含一泵、一冷凝器及一累加器。 38.   如條項37之微影裝置,其中該兩相冷卻系統進一步包含提供於該冷卻元件之一溫度感測器上。 39.   如條項26之微影裝置,其中該流體冷卻系統包括提供於一熱交換器中之一收縮件,該收縮件經組態以冷卻用以冷卻該冷卻元件之氣體。 40.   如前述條項中任一項之微影裝置,其中該冷卻元件具備一加熱器。 41.   如條項6至40中任一項之微影裝置,其中該微影裝置進一步包含一氣體供應件,該氣體供應件經組態以將處於200帕斯卡或更大之一壓力之氣體遞送至該冷卻元件。 42.   如條項6至41中任一項之微影裝置,其中該冷卻元件經組態以在使用期間與該基板有20微米或更大的一分離度。 43.   如條項6至42中任一項之微影裝置,其中該冷卻元件經組態以在使用期間與該基板有200微米或更小的一分離度。 44.   如條項6至43中任一項之微影裝置,其中該冷卻元件經組態以提供自該冷卻元件下方之一向外氣流,該向外氣流充當防止或抑制在該冷卻元件與該基板之間發生接觸之一墊。 45.   如條項6至44中任一項之微影裝置,其中該冷卻元件提供於一支撐件上,該支撐件包括一回縮機構,該回縮機構經組態以在偵測到未預期移動的情況下將該冷卻元件拉動遠離該基板。 46.   如條項1至5中任一項之微影裝置,其中該冷卻元件包含經配置以將液滴導向至該基板上之一噴嘴陣列。 47.   如條項46之微影裝置,其中該冷卻元件經組態以在使用期間與該基板有50微米或更大的一分離度。 48.   如條項46或47之微影裝置,其中該冷卻元件經組態以在使用期間與該基板有1毫米或更大的一分離度。 49.   如條項46至48中任一項之微影裝置,其中該冷卻元件之該噴嘴陣列在該微影裝置之一非掃描方向上之範圍等於或大於該微影裝置在該非掃描方向上之該最大曝光區域長度。 50.   如條項46至49中任一項之微影裝置,其中該噴嘴陣列為一二維陣列,其中該等噴嘴橫越該冷卻元件之一底部表面均勻地分佈。 51.   如條項46至50中任一項之微影裝置,其中該等噴嘴經組態以提供一直徑為大約數十微米或更小的液滴。 52.   一種微影方法,其包含:投影一經圖案化輻射光束以在固持於一基板台上之一基板上形成一曝光區域;及使用一冷卻裝置以冷卻該基板,該冷卻裝置包含位於該基板台上方且鄰近於該曝光區域之一冷卻元件,該冷卻元件用以自該基板移除熱。 53.   如條項52之微影方法,其中該冷卻元件經組態以冷卻與平分該曝光區域之一線相隔3公分以內或更小的一區域。 54.   如條項52或53之微影方法,其中該冷卻元件經組態以冷卻與該曝光區域之一邊緣相隔2公分以內或更小的一區域。 55.   如條項52至54中任一項之微影方法,其中該冷卻元件包含: 一本體; 一敞開空腔,其提供於該本體之一最低面中,該敞開空腔及該基板之該上部表面形成一體積;及 一氣體遞送導管,其經組態以將氣體遞送至該體積。 56.   如條項55之微影方法,其中該空腔具有一頂部,該頂部在使用中與該基板之該上部表面相隔小於1毫米。 57.   如條項52至54中任一項之微影方法,其中該冷卻元件包含一本體,該本體含有連接至一氣體遞送導管之一腔室,該腔室之一底板具備開口。 58.   如條項55至57中任一項之微影方法,其中將處於200帕斯卡或更大之一壓力之該氣體遞送至該體積。 59.   如條項55至58中任一項之微影方法,其中該冷卻元件與該基板分離達20微米或更大。 60.   如條項55至59中任一項之微影方法,其中該冷卻元件與該基板分離達200微米或更小。 61.   如條項52至54中任一項之微影方法,其中該冷卻元件包含將液滴導向至該基板上之一噴嘴陣列。 62.   如條項60之微影方法,其中該冷卻元件與該基板分離達50微米或更大。 63.   如條項60或61之微影方法,其中該冷卻元件與該基板分離達1毫米或更大。 64.   如條項61至63中任一項之微影方法,其中該冷卻元件之該噴嘴陣列在該微影裝置之一非掃描方向上之範圍等於或大於該微影裝置在該非掃描方向上之最大曝光區域長度。 65.   如條項61至64中任一項之微影方法,其中該噴嘴陣列經配置以提供液滴在該基板上之一均勻分佈。 66.   如條項61至65中任一項之微影方法,其中該液體為水。 67.   一種微影裝置,其包含一投影系統,該投影系統經組態以將一經圖案化輻射光束投影至固持於一基板台上之一基板上,該微影裝置進一步包含一基板溫度調整單元,該基板溫度調整單元經組態以在該基板被置放於該基板台上之前將該基板之溫度調整至高於該基板台之溫度的一溫度。 68.   如條項67之微影裝置,其中該基板溫度調整單元經組態以將該基板之該溫度調整至比該基板台之該溫度高至多大約0.5℃的一溫度。 69.   一種在一基板在一微影裝置中之曝光之前調節彼基板之方法,該方法包含: 使用一溫度調整單元以將該基板之溫度調整至高於該微影裝置之一基板台之溫度的一溫度; 將該基板轉移至該基板台且啟動將該基板夾持至該基板台之一夾具; 將該基板冷卻至該基板台之該溫度,且藉此在該基板中誘發一應力。 70.   一種掃描微影裝置,其包含一投影系統,該投影系統經組態以投影一經圖案化輻射光束以在固持於一基板台上之一基板上形成一曝光區域,該微影裝置進一步包含用於加熱該基板之一加熱裝置,其中該加熱裝置包含: 第一加熱元件及第二加熱元件,其經組態以加熱在該微影裝置之一非掃描方向上位於該曝光區域之相對末端處的基板區域。 71.   如條項70之掃描微影裝置,其中該第一加熱元件及該第二加熱元件位於該基板台上方且在該微影裝置之一非掃描方向上位於該曝光區域之相對末端處。 72.   如條項71之掃描微影裝置,其中該第一加熱元件及該第二加熱元件在該非掃描方向上鄰近於該曝光區域。 73.   如條項70至72中任一項之掃描微影裝置,其中該第一加熱元件及該第二加熱元件經組態而以某向外發散度發射熱使得接收熱之區域延伸超出該等加熱元件之佔據面積。 74.   如條項70至73中任一項之掃描微影裝置,其中該等加熱元件包含LED陣列。 75.   如條項74之掃描微影裝置,其中該等LED經組態以發射紅外線輻射。 76.   如條項74或75之掃描微影裝置,其中該等LED中之至少一些經組態以發射輻射光束,該等輻射光束發散使得其在其入射於該基板上之前與該經圖案化輻射光束重疊。 77.   如條項70之掃描微影裝置,其中該第一加熱元件及該第二加熱元件包含經組態以提供雷射光束之一或多個雷射,該等雷射光束加熱位於該微影裝置在該非掃描方向上之該曝光區域之相對末端處的該等基板區域。 78.   如條項77之掃描微影裝置,其中該一或多個雷射及關聯光學件經組態成使得該等雷射光束在入射於該基板上之前自位於該微影裝置之一投影系統外殼之一底板中的一開口傳遞出。 79.   如條項77或78之掃描微影裝置,其中該一或多個雷射位於該微影裝置之一投影系統外殼之外部。 80.   如條項79之掃描微影裝置,其中投影系統外殼具備一窗口,該窗口允許該等雷射光束傳遞至該投影系統外殼中。 81.   如條項77至80中任一項之掃描微影裝置,其進一步包含安裝於該投影系統外殼內之致動器上之鏡面,該等鏡面可操作以改變該等雷射光束之方向且藉此將由該等雷射光束加熱之該等基板區域移動至不同位置。 82.   如條項70至81中任一項之掃描微影裝置,其中該第一加熱元件及該第二加熱元件經組態以加熱在該非掃描方向上與該曝光區域重疊之區域。 83.   如條項70至82中任一項之掃描微影裝置,其中該第一加熱元件及該第二加熱元件經組態以加熱在該掃描方向上之一大小大體上與該曝光區域在該掃描方向上之大小對應的區域。 84.   如條項70至83中任一項之掃描微影裝置,其中該第一加熱元件及該第二加熱元件各自經組態以加熱在該非掃描方向上之一大小小於該曝光區域在非掃描方向上之大小的區域。 85.   如條項84之掃描微影裝置,其中該第一加熱元件及該第二加熱元件經組態以加熱在該非掃描方向上之一大小小於該曝光區域在非掃描方向上之該大小之一半的區域。 86.   如條項70至85中任一項之掃描微影裝置,其中該掃描微影裝置進一步包含一冷卻元件,該冷卻元件位於該基板台上方且位於該微影裝置在一掃描方向上之該曝光區域的一側處。 87.   如條項86之掃描微影裝置,其中該掃描微影裝置進一步包含一額外冷卻元件,該額外冷卻元件位於該基板台上方且位於該微影裝置在一掃描方向上之該曝光區域的一相對側處。 88.   一種使用一掃描微影裝置來曝光一基板之方法,該方法包含: 投影一經圖案化輻射光束以在固持於一基板台上之一基板上形成一曝光區域; 使用一加熱裝置以加熱該基板上之在該微影裝置之一非掃描方向上位於該曝光區域之相對末端處的區域;及在一掃描移動中相對於該曝光區域及該等經加熱區域而移動該基板,以便使用該經圖案化輻射光束來曝光該基板之一目標部分。 89.   如條項88之方法,其中經曝光之下一目標部分不鄰近於在該非掃描方向上之該經曝光目標部分,而是代替地與該非掃描方向上之該經曝光目標部分分離達至少一個經插入目標部分。 90.   如條項88或89之方法,其中該方法進一步包含使用一冷卻裝置以冷卻該基板上之位於鄰近於該微影裝置在一掃描方向上之該曝光區域之至少一個側的一區域。
1:雷射 2:雷射光束 3:燃料發射器 4:電漿形成區 5:近正入射輻射收集器 6:中間焦點/點 7:極紫外線(EUV)輻射發射電漿 8:開口 9:圍封結構 10:琢面化場鏡面器件 11:琢面化光瞳鏡面器件 16:預脈衝雷射 17:預脈衝雷射光束 18:主雷射 19:主雷射光束 20:輻射收集器 21:圍封體結構/圍封體 22:開口 23:掠入射反射器 24:掠入射反射器 25:掠入射反射器 26:污染截留器 27:窗口 28:窗口 29:鏡面 40:冷卻裝置 42:第一冷卻元件 44:第二冷卻元件 46:冷卻元件本體 47:冷卻元件本體 48:空腔 49:空腔 50:氣體遞送導管 51:氣體遞送導管 52:氣體遞送導管 53:氣體遞送導管 55:帕耳帖冷卻器 56:熱電元件 57:冷卻流體系統/液體冷卻系統/流體冷卻系統 60:空腔頂部 61:空腔頂部 70:冷卻裝置 72:冷卻元件 74:冷卻元件 76:噴嘴陣列 78:噴嘴陣列 80:液體小滴 94:氣體源 97:氣體遞送導管 98:熱交換器 100:帕耳帖冷卻器 102c:第三入口導管部分/非可撓性部分 102d:第四入口導管部分/可撓性部分 104a:第一出口導管部分/可撓性部分 104b:第二出口導管部分/非可撓性部分 107:虛線 110:溫度感測器 112:溫度感測器 114:加熱器 120:熱管 120a:剛性部分 120b:可撓性部分 122:虛線 140:兩相冷卻系統 142:冷卻劑迴路 144:泵 146:預加熱器 148:冷凝器 150:溫度感測器 152:累加器 158:冷卻器 160:入口導管/第一氣體導管 162:收縮件 164:第一熱交換器 166:第二氣體導管 168:第二熱交換器 170:導管 172:第三氣體導管 174:第四氣體導管 202:冷卻元件 204:本體 206:氣體遞送導管 208:閥 210:腔室 212:底板 214:孔 216:擋板 216a:經回縮位置 216b:經部署位置 302:第一冷卻元件 303:第二冷卻元件 304:本體 306:第一外部腔室 307:內部腔室 308:第二外部腔室 310:壁 312:底板 316:第一閥 318:第一氣體導管 318a:部分/氣體導管分支 318b:部分/氣體導管分支 320:第二閥 322:第二氣體導管 322a:分支 322b:分支 324:第三閥 326:第三氣體導管 326a:分支 326b:分支 402:第一冷卻元件 404:本體 406:腔室 408:多孔底板 410:最低表面 412:冷卻氣體 500:加熱裝置 502:第一加熱元件 504:第二加熱元件 506:經加熱區域 508:經加熱區域 510:LED 514:紅外線輻射光束 600:加熱裝置 602:第一雷射 603:光學件 604:第二雷射 605:光學件 606:經照明區域/基板區域/經加熱區域 608:經照明區域/第二基板區域/經加熱區域 616:投影系統外殼 618:底板 619:開口 620:雷射 621:光學件 622:雷射 623:光學件 624:窗口 626:鏡面 628:鏡面 630:安裝件 632:安裝件 AU:基板溫度調整單元 B:極紫外線(EUV)輻射光束/經圖案化輻射光束 C:目標部分 C1:目標部分 C2:目標部分 C3:目標部分 C4:目標部分 C5:目標部分 C6:目標部分 C7:目標部分 E:曝光區域 G:間隙 IL:照明系統 L:雷射光束 LA:微影裝置 MA:圖案化器件/光罩 MT:支撐結構 O:光軸 PS:投影系統 SO:雷射產生電漿(LPP)輻射源 W:基板 WT:基板台
現在將參看隨附示意性圖式而僅作為實例來描述本發明之實施例,在該等圖式中: -  圖1示意性地描繪根據本發明之一實施例的包含微影裝置及輻射源之微影系統; -  圖2示意性地描繪微影裝置之輻射源; -  圖3 (包括圖3A及圖3B)以自一側檢視及自下方檢視之橫截面示意性地描繪微影裝置之冷卻裝置; -  圖4示意性地更詳細描繪冷卻裝置; -  圖5示意性地描繪冷卻裝置之替代實施例; -  圖6為說明適應係數對本發明之實施例之效應的曲線圖; -  圖7示意性地描繪具備替代熱移除系統之本發明的一實施例; -  圖8示意性地描繪具備一另外替代熱移除系統中本發明的一實施例; -  圖9示意性地描繪具備一另外替代熱移除系統之本發明的一實施例; -  圖10示意性地描繪可形成本發明之一實施例之部件的一另外替代熱移除系統; -  圖11 (包括圖11A及圖11B)以橫截面示意性地描繪根據本發明之一實施例之冷卻元件; -  圖12示意性地描繪自圖11之實施例下方之檢視圖; -  圖13 (包括圖13A及圖13B)以橫截面示意性地描繪根據本發明之一替代實施例的冷卻元件; -  圖14 (包括圖14A及圖14B)示意性地描繪自圖13之實施例下方之檢視圖; -  圖15以橫截面示意性地描繪根據本發明之一替代實施例之冷卻元件; -  圖16示意性地描繪自包含圖15之實施例之冷卻元件的冷卻裝置下方之檢視圖; -  圖17示意性地描繪根據本發明之一替代實施例之微影裝置的冷卻裝置; -  圖18 (包括圖18A及圖18B)示意性地描繪根據本發明之一實施例之微影裝置的加熱裝置; -  圖19示意性地描繪圖18中所展示之加熱裝置的效應; -  圖20示意性地描繪根據本發明之一替代實施例之微影裝置的加熱裝置; -  圖21示意性地描繪根據本發明之一另外替代實施例之微影裝置的加熱裝置;及 -  圖22示意性地描繪在使用圖18至圖21中所描繪之實施例的情況下之基板之掃描曝光。
40:冷卻裝置
42:第一冷卻元件
44:第二冷卻元件
46:冷卻元件本體
47:冷卻元件本體
48:空腔
49:空腔
50:氣體遞送導管
51:氣體遞送導管
52:氣體遞送導管
53:氣體遞送導管
60:空腔頂部
61:空腔頂部
B:極紫外線(EUV)輻射光束/經圖案化輻射光束
E:曝光區域
W:基板

Claims (11)

  1. 一種用於冷卻固持於一微影裝置之一基板台上之一基板之冷卻裝置,該微影裝置包含一投影系統,該投影系統經組態以投影一經圖案化輻射光束以在該基板上形成一曝光區域,該冷卻裝置包含: 一冷卻元件,其位於該基板台上方且鄰近於該曝光區域,該冷卻元件經組態以自固持於該基板台上之一基板移除熱, 其中該冷卻元件包含:一本體;一敞開(open)空腔提供於該本體之一最低面中;及經組態以將氣體遞送至該敞開空腔之一氣體遞送導管(gas delivery conduit)。
  2. 如請求項1之冷卻裝置,其中該冷卻元件經組態以冷卻與平分該曝光區域之一線相隔3公分以內或更小的一區域。
  3. 如請求項1或2之冷卻裝置,其中該冷卻元件經組態以冷卻與該曝光區域之一邊緣相隔2公分以內或更小的一區域。
  4. 如請求項1或2之冷卻裝置,其中該冷卻元件在實質上與該微影裝置之一掃描方向對應之一方向上與該曝光區域分離。
  5. 如請求項4之冷卻裝置,其中該冷卻元件為提供於該曝光區域之任一側上之一對冷卻元件中的一者。
  6. 如請求項1之冷卻裝置,其中該敞開空腔經組態以連同該基板之一上部表面形成接收由該氣體遞送導管遞送之氣體的一體積。
  7. 如請求項1之冷卻裝置,其中該冷卻元件之一空腔在該微影裝置之一非掃描方向上之範圍等於或大於該微影裝置在該非掃描方向上之最大曝光區域長度。
  8. 如請求項1之冷卻裝置,其中該敞開空腔具有一頂部,該頂部在使用中與該基板之一上部表面相隔小於1毫米。
  9. 如請求項1之冷卻裝置,其中該敞開空腔之該頂部實質上平行於該基板台之一平面。
  10. 一種微影方法,其包含: 使用一冷卻裝置以用於冷卻固持於一微影裝置之一基板台上之一基板,該微影裝置包含一投影系統,該投影系統用以投影一經圖案化輻射光束以在該基板上形成一曝光區域該冷卻裝置包含: 位於該基板台上方且鄰近於該曝光區域之一冷卻元件,該冷卻元件用以自固持於該基板台上之一基板移除熱, 其中該冷卻元件包含:一本體;一敞開空腔提供於該本體之一最低面中;及經組態以將氣體遞送至該敞開空腔之一氣體遞送導管。
  11. 一種微影裝置,其包含一投影系統,該投影系統經組態以投影一經圖案化輻射光束以在固持於一基板台上之一基板上形成一曝光區域,該微影裝置進一步包含用於冷卻該基板之一冷卻裝置,其中該冷卻裝置包含: 一冷卻元件,其位於該基板台上方且鄰近於該曝光區域,該冷卻元件經組態以自固持於該基板台上之一基板移除熱, 其中該冷卻元件包含:一本體;一敞開空腔提供於該本體之一最低面中;及經組態以將氣體遞送至該敞開空腔之一氣體遞送導管。
TW110129727A 2015-04-21 2016-04-13 冷卻裝置、微影裝置及微影方法 TWI779770B (zh)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
EP15164362.4 2015-04-21
EP15164362 2015-04-21
EP15169023 2015-05-22
EP15169023.7 2015-05-22
EP15192297 2015-10-30
EP15192297.8 2015-10-30
EP15201030.2 2015-12-18
EP15201030 2015-12-18

Publications (2)

Publication Number Publication Date
TW202206949A true TW202206949A (zh) 2022-02-16
TWI779770B TWI779770B (zh) 2022-10-01

Family

ID=55646617

Family Applications (2)

Application Number Title Priority Date Filing Date
TW105111539A TWI738649B (zh) 2015-04-21 2016-04-13 冷卻裝置、微影裝置及微影方法
TW110129727A TWI779770B (zh) 2015-04-21 2016-04-13 冷卻裝置、微影裝置及微影方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW105111539A TWI738649B (zh) 2015-04-21 2016-04-13 冷卻裝置、微影裝置及微影方法

Country Status (7)

Country Link
US (2) US10416574B2 (zh)
JP (2) JP6952606B2 (zh)
KR (1) KR102592761B1 (zh)
CN (1) CN107771303B (zh)
NL (1) NL2016541A (zh)
TW (2) TWI738649B (zh)
WO (1) WO2016169758A1 (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107771303B (zh) 2015-04-21 2021-06-04 Asml荷兰有限公司 光刻设备
JP7060584B2 (ja) * 2016-09-02 2022-04-26 エーエスエムエル ネザーランズ ビー.ブイ. 冷却装置およびリソグラフィ装置
NL2019362A (en) * 2016-09-02 2018-03-06 Asml Netherlands Bv Lithographic Apparatus
US10394138B2 (en) * 2016-09-14 2019-08-27 Nikon Corporation System and method for control of a workpiece and a chuck
KR102550337B1 (ko) * 2017-04-11 2023-07-04 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 냉각 방법
KR102655267B1 (ko) * 2017-06-26 2024-04-08 에이에스엠엘 네델란즈 비.브이. 냉각 장치 및 냉각 장치용 플라즈마-세정 스테이션
US10503085B2 (en) * 2017-11-16 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography apparatus and method
NL2024322A (en) 2018-12-19 2020-07-07 Asml Netherlands Bv Lithographic apparatus with thermal conditioning system for conditioning the wafer
US20230095108A1 (en) * 2020-03-31 2023-03-30 Asml Netherlands B.V. Method for preparing a substrate and lithographic apparatus
CN112255886B (zh) * 2020-09-07 2023-08-18 中国科学院微电子研究所 极紫外光学元件的微环境控制系统
KR20240021180A (ko) 2021-06-14 2024-02-16 에이에스엠엘 네델란즈 비.브이. 레티클용 냉각 후드

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5114541A (ja) * 1974-07-26 1976-02-05 Toyota Motor Co Ltd Shokubaitsukisharyono shokubaihogosochi
US4194233A (en) 1978-01-30 1980-03-18 Rockwell International Corporation Mask apparatus for fine-line lithography
JPS57149731A (en) * 1981-03-11 1982-09-16 Seiko Epson Corp Exposing device
JP3014513B2 (ja) * 1991-10-22 2000-02-28 松下電子工業株式会社 微細パターンの露光方法及び縮小投影露光装置
JPH10284373A (ja) 1997-04-03 1998-10-23 Nikon Corp 荷電粒子線露光装置
KR20010026371A (ko) * 1999-09-06 2001-04-06 윤종용 웨이퍼 냉각 수단을 구비하는 노광장치 및 이를 이용한 노광방법
US6934003B2 (en) * 2002-01-07 2005-08-23 Canon Kabushiki Kaisha Exposure apparatus and device manufacturing method
JP3809416B2 (ja) * 2002-01-07 2006-08-16 キヤノン株式会社 走査露光装置及びそれを用いたデバイス製造方法
US6734117B2 (en) 2002-03-12 2004-05-11 Nikon Corporation Periodic clamping method and apparatus to reduce thermal stress in a wafer
JP4307130B2 (ja) * 2003-04-08 2009-08-05 キヤノン株式会社 露光装置
JP2005033179A (ja) * 2003-06-18 2005-02-03 Canon Inc 露光装置及びデバイス製造方法
US7304715B2 (en) * 2004-08-13 2007-12-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7170578B2 (en) 2004-11-30 2007-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern control system
JP5040646B2 (ja) * 2005-03-23 2012-10-03 株式会社ニコン 露光装置及び露光方法、並びにデバイス製造方法
JP2006287160A (ja) * 2005-04-05 2006-10-19 Nikon Corp 露光装置及びデバイスの製造方法
JP2007027371A (ja) * 2005-07-15 2007-02-01 Nikon Corp 気体供給装置、露光装置及びデバイスの製造方法
US20080118849A1 (en) 2006-11-21 2008-05-22 Manish Chandhok Reflective optical system for a photolithography scanner field projector
JP5517766B2 (ja) 2010-06-16 2014-06-11 キヤノン株式会社 露光装置およびデバイス製造方法
US9075322B2 (en) 2010-09-10 2015-07-07 Nikon Corporation Reflective imaging optical system, exposure apparatus, and method for producing device
NL2008250A (en) * 2011-03-08 2012-09-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
JP6099883B2 (ja) * 2011-05-24 2017-03-22 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及び部品
KR20130022676A (ko) * 2011-08-26 2013-03-07 에스케이하이닉스 주식회사 레티클에 균일한 가열을 유도할 수 있는 노광 장비 및 이를 이용한 웨이퍼 노광 방법
WO2013072144A1 (en) 2011-11-17 2013-05-23 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9310685B2 (en) * 2013-05-13 2016-04-12 Nokia Technologies Oy Method and apparatus for the formation of conductive films on a substrate
CN107771303B (zh) 2015-04-21 2021-06-04 Asml荷兰有限公司 光刻设备

Also Published As

Publication number Publication date
JP6952606B2 (ja) 2021-10-20
TWI738649B (zh) 2021-09-11
NL2016541A (en) 2016-10-24
TWI779770B (zh) 2022-10-01
US20190369508A1 (en) 2019-12-05
KR102592761B1 (ko) 2023-10-24
KR20170141741A (ko) 2017-12-26
JP2021176018A (ja) 2021-11-04
CN107771303B (zh) 2021-06-04
TW201643559A (zh) 2016-12-16
JP7305715B2 (ja) 2023-07-10
JP2018513421A (ja) 2018-05-24
US10935895B2 (en) 2021-03-02
CN107771303A (zh) 2018-03-06
WO2016169758A1 (en) 2016-10-27
US20180173116A1 (en) 2018-06-21
US10416574B2 (en) 2019-09-17

Similar Documents

Publication Publication Date Title
TWI779770B (zh) 冷卻裝置、微影裝置及微影方法
TWI729191B (zh) 微影設備
JP6608978B2 (ja) パターニングデバイス支持体、リソグラフィ装置及びパターニングデバイスの温度制御方法
TWI420258B (zh) 微影裝置及元件製造方法
US7978304B2 (en) Processing apparatus for processing object in vessel
US8730448B2 (en) Lithographic apparatus and device manufacturing method
US20040051984A1 (en) Devices and methods for cooling optical elements in optical systems, including optical systems used in vacuum environments
KR100731896B1 (ko) 리소그래피 장치, 조명 시스템 및 필터 시스템
CN107885044B (zh) 光刻设备及器件制造方法
KR20100085045A (ko) 리소그래피 장치 및 디바이스 제조 방법
TW201812477A (zh) 微影裝置
JP2010129687A (ja) 真空装置、光源装置、露光装置及びデバイスの製造方法
JP2010080754A (ja) 照明光学系及び露光装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent