TW202141800A - 半導體裝置 - Google Patents

半導體裝置 Download PDF

Info

Publication number
TW202141800A
TW202141800A TW110110309A TW110110309A TW202141800A TW 202141800 A TW202141800 A TW 202141800A TW 110110309 A TW110110309 A TW 110110309A TW 110110309 A TW110110309 A TW 110110309A TW 202141800 A TW202141800 A TW 202141800A
Authority
TW
Taiwan
Prior art keywords
active pattern
dummy
pattern
gate
gate structure
Prior art date
Application number
TW110110309A
Other languages
English (en)
Inventor
金柱然
姜尙廷
姜智秀
辛潤相
Original Assignee
南韓商三星電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商三星電子股份有限公司 filed Critical 南韓商三星電子股份有限公司
Publication of TW202141800A publication Critical patent/TW202141800A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82385Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Semiconductor Memories (AREA)

Abstract

一種半導體裝置包括:基底,包括N型金屬氧化物半導體(NMOS)區及P型金屬氧化物半導體(PMOS)區;第一主動圖案及第二主動圖案,位於NMOS區上;第三主動圖案及第四主動圖案,位於PMOS區上,第三主動圖案與第一主動圖案間隔開;第一虛設閘極結構,位於第一主動圖案及第三主動圖案上;第二虛設閘極結構,位於第二主動圖案及第四主動圖案上;正常閘極結構,位於第三主動圖案上;第一源極/汲極圖案,位於第三主動圖案上以及正常閘極結構與第一虛設閘極結構之間;以及第一元件分隔結構,位於第一虛設閘極結構與第二虛設閘極結構之間且將第三主動圖案與第四主動圖案隔開,其中第一虛設閘極結構包括與第三主動圖案相交的第一虛設絕緣閘極。

Description

半導體裝置
各種實施例是有關於一種半導體裝置。
作為用於增大半導體裝置的密度的按比例縮放技術中的一者,已考慮了多閘極電晶體(multi-gate transistor),在多閘極電晶體中具有鰭形狀或奈米線形狀的多通道主動圖案(或矽本體)形成於基底上且閘極形成於多通道主動圖案的表面上。
可藉由提供一種半導體裝置達成所述實施例,所述半導體裝置包括:基底,包括N型金屬氧化物半導體(N-type metal oxide semiconductor,NMOS)形成區及P型金屬氧化物半導體(P-type metal oxide semiconductor,PMOS)形成區;場絕緣膜,位於所述基底上;第一主動圖案及第二主動圖案,位於所述NMOS形成區上、藉由所述場絕緣膜在第一方向上彼此間隔開且各自在所述第一方向上延伸;第三主動圖案及第四主動圖案,位於所述PMOS形成區上且各自在所述第一方向上延伸,所述第三主動圖案在與所述第一方向相交的第二方向上與所述第一主動圖案間隔開;第一虛設閘極結構,位於所述第一主動圖案及所述第三主動圖案上且在所述第二方向上延伸;第二虛設閘極結構,位於所述第二主動圖案及所述第四主動圖案上且在所述第二方向上延伸;正常閘極結構,在所述第三主動圖案上在所述第二方向上延伸;第一源極/汲極圖案,位於所述第三主動圖案上以及所述正常閘極結構與所述第一虛設閘極結構之間;以及第一元件分隔結構,位於所述第一虛設閘極結構與所述第二虛設閘極結構之間且將所述第三主動圖案與所述第四主動圖案隔開,其中所述第一虛設閘極結構包括與所述第三主動圖案相交的第一虛設絕緣閘極。
可藉由提供一種半導體裝置達成所述實施例,所述半導體裝置包括:第一主動圖案、第二主動圖案及第三主動圖案,彼此間隔開且排列於水平方向上;第一元件分隔結構,將所述第一主動圖案與所述第二主動圖案隔開;第二元件分隔結構,將所述第二主動圖案與所述第三主動圖案隔開;至少一個第一導電閘極,位於所述第一主動圖案上;至少一個第二導電閘極,位於所述第二主動圖案上;第一源極/汲極圖案,在所述至少一個第一導電閘極的一側處位於所述第一主動圖案上;以及第二源極/汲極圖案,在所述至少一個第二導電閘極的一側處位於所述第二主動圖案上,其中所述至少一個第一導電閘極中的一個第一導電閘極在所述水平方向上最靠近所述第一元件分隔結構,所述至少一個第一導電閘極中的所述一個第一導電閘極的寬度中心與所述第一元件分隔結構的寬度中心之間在所述水平方向上的距離大於閘極節距,且所述第一元件分隔結構的上表面在垂直方向上距所述第一主動圖案的上表面的高度相同於或高於所述至少一個第一導電閘極的上表面在所述垂直方向上距所述第一主動圖案的所述上表面的高度。
可藉由提供一種半導體裝置達成所述實施例,所述半導體裝置包括:場絕緣膜;第一下部主動圖案與第二下部主動圖案,藉由所述場絕緣膜在第一方向上彼此間隔開,所述第一下部主動圖案及所述第二下部主動圖案中的每一者在所述第一方向上延伸;第一片材圖案,在所述第一下部主動圖案上與所述第一下部主動圖案間隔開;第二片材圖案,在所述第二下部主動圖案上與所述第二下部主動圖案間隔開;第三下部主動圖案,在與所述第一方向相交的第二方向上與所述第一下部主動圖案間隔開,所述第三下部主動圖案在所述第一方向上延伸;第四下部主動圖案,在所述第一方向上與所述第三下部主動圖案間隔開且在所述第一方向上延伸;第三片材圖案,在所述第三下部主動圖案上與所述第三下部主動圖案間隔開;第四片材圖案,在所述第四下部主動圖案上與所述第四下部主動圖案間隔開;第一虛設閘極結構,位於所述第一下部主動圖案及所述第三下部主動圖案上且在所述第二方向上延伸;第二虛設閘極結構,位於所述第二下部主動圖案及所述第四下部主動圖案上且在所述第二方向上延伸;以及第一元件分隔結構,位於所述第一虛設閘極結構與所述第二虛設閘極結構之間,所述第一元件分隔結構將所述第三下部主動圖案與所述第四下部主動圖案隔開,其中所述第一虛設閘極結構包括與所述第三下部主動圖案相交的第一虛設絕緣閘極,且所述第一虛設絕緣閘極包繞所述第三片材圖案。
在根據一些實施例的半導體裝置的圖式中,儘管示出包括具有鰭型圖案形狀的通道區的鰭型電晶體(鰭型場效電晶體(fin-type field effect transistor,FinFET))以及包括奈米線或奈米片的電晶體,然而本揭露並非僅限於此。可將本揭露的技術思想應用於基於二維(two-dimensional,2D)材料的電晶體(基於2D材料的場效電晶體(FET))及其異質結構。
另外,根據一些實施例的半導體裝置可包括穿遂電晶體(穿遂FET)或三維(three-dimensional,3D)電晶體。根據一些實施例的半導體裝置亦可包括雙極性接面電晶體(bipolar junction transistor)、橫向雙擴散電晶體(橫向雙擴散金屬氧化物半導體場效電晶體(metal-oxide-semiconductor field effect transistor,MOSFET)(lateral double diffused MOSFET,LDMOS))等。
圖1至圖6示出根據本揭露一些實施例的半導體裝置。
圖1是根據一些實施例的半導體裝置的示例性佈局圖。圖2及圖3是沿著圖1所示線A-A及B-B截取的剖視圖。圖4A及圖4B是沿著圖1所示線C-C截取的剖視圖。圖5及圖6是沿著圖1所示線D-D及E-E截取的剖視圖。為便於闡釋,未在圖1中示出層間絕緣膜191、192及193、源極/汲極接觸件170及配線結構195。作為參照,圖4A是其中在剖視圖中包括第一主動圖案AP1的情形,且圖4B是其中在剖視圖中不包括第一主動圖案AP1的情形。
參照圖1至圖6,根據一些實施例的半導體裝置可包括第一主動圖案AP1至第六主動圖案AP6、第一正常閘極結構GS1至第三正常閘極結構GS3、第一虛設閘極結構DGS1至第三虛設閘極結構DGS3以及第一元件分隔結構DB1。
基底100可包括第一主動區RN 、第二主動區RP 以及位於第一主動區RN 與第二主動區RP 之間的場區。場區可與第一主動區RN 及第二主動區RP 形成邊界。第一主動區RN 與第二主動區RP 彼此間隔開。第一主動區RN 與第二主動區RP 可藉由場區隔開。
在實施方案中,元件分隔膜可環繞彼此間隔開的第一主動區RN 與第二主動區RP 的周邊。第一主動區RN 與第二主動區RP 之間的元件分隔膜的一部分可被界定為場區。舉例而言,其中形成可為半導體裝置的實例的電晶體的通道區的一部分可為主動區,且在主動區中對電晶體的通道區進行劃分的一部分可為場區。作為另外一種選擇,主動區是其中形成用作電晶體的通道區的鰭型圖案或奈米片的一部分,且場區可為其中未形成用作通道區的鰭型圖案或奈米片的區。
如圖4A至圖5中所示,場區可由例如深溝槽DT界定。
在根據一些實施例的半導體裝置中,第一主動區RN 可為其中或上面形成有NMOS的區,且第二主動區RP 可為其中或上面形成有PMOS的區。
基底100可為矽基底或絕緣體上矽(silicon-on-insulator,SOI)。在實施方案中,基底100可包含例如矽鍺、絕緣體上矽鍺(silicon germanium on insulator,SGOI)、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵或銻化鎵。如本文中所使用的用語「或(or)」不是排他性用語,例如「A或B(A or B)」將包括A、B或A及B。
第一主動圖案AP1至第三主動圖案AP3可位於第一主動區RN 中。第一主動圖案AP1至第三主動圖案AP3中的每一者可自基底100的第一主動區RN 突出。第一主動圖案AP1至第三主動圖案AP3中的每一者可在第一方向D1上延伸(例如,縱向延伸)。第一主動圖案AP1至第三主動圖案AP3可在第一方向D1上彼此間隔開且排列於第一方向D1上。
第一主動圖案AP1與第二主動圖案AP2可藉由鰭切割溝槽FC_T隔開。鰭切割溝槽FC_T可界定第一主動圖案AP1的短側及第二主動圖案AP2的短側。
第二主動圖案AP2與第三主動圖案AP3可藉由第二元件分隔溝槽DB2_T隔開。第二元件分隔溝槽DB2_T可將至少一些第二主動圖案AP2與第三主動圖案AP3隔開。在實施方案中,第二元件分隔溝槽DB2_T可不完全將第二主動圖案AP2與第三主動圖案AP3隔開。在實施方案中,第二元件分隔溝槽DB2_T可完全將第二主動圖案AP2與第三主動圖案AP3隔開。
第四主動圖案AP4至第六主動圖案AP6可位於第二主動區RP 中。第四主動圖案AP4至第六主動圖案AP6中的每一者可自基板100的第二主動區RP 突出。第四主動圖案AP4至第六主動圖案AP6中的每一者可在第一方向D1上延伸(例如,縱向延伸)。第四主動圖案AP4至第六主動圖案AP6可在第一方向D1上彼此間隔開且排列於第一方向D1上。
第四主動圖案AP4與第五主動圖案AP5可藉由第一元件分隔溝槽DB1_T隔開。第一元件分隔溝槽DB1_T可將至少一些第四主動圖案AP4與第五主動圖案AP5隔開。
第五主動圖案AP5與第六主動圖案AP6可藉由第二元件分隔溝槽DB2_T隔開。第二元件分隔溝槽DB2_T可將至少一些第五主動圖案AP5與第六主動圖案AP6隔開。
第一元件分隔溝槽DB1_T的最下部分的深度可深於第二元件分隔溝槽DB2_T的最下部分的深度。在實施方案中,以第五主動圖案AP5的上表面為基準或當自第五主動圖案AP5的上表面量測(例如,在第三方向D3上)時,第一元件分隔溝槽DB1_T的最下部分的深度d1可深於第二元件分隔溝槽DB2_T的最下部分的深度d2。
在實施方案中,在第一主動圖案AP1的上表面處量測的鰭切割溝槽FC_T在第一方向D1上的寬度W11可大於在第四主動圖案AP4的上表面處量測的第一元件分隔溝槽DB1_T在第一方向D1上的寬度W12。第一主動圖案AP1的上表面可位於與第四主動圖案AP4的上表面實質上相同的高度水準處(例如,與第四主動圖案AP4的上表面共面或者與第四主動圖案AP4的上表面在第三方向D3上距基底100的距離相同)。
第一主動圖案AP1至第六主動圖案AP6中的每一者的長側可由鰭溝槽FN_T界定。第一主動圖案AP1至第六主動圖案AP6中的每一者的長側意指在第一方向D1上延伸的側。
在實施方案中,第一主動圖案AP1至第六主動圖案AP6可為多通道主動圖案。在根據一些實施例的半導體裝置中,第一主動圖案AP1至第六主動圖案AP6中的每一者可為例如鰭型圖案。第一主動圖案AP1至第六主動圖案AP6中的每一者可為電晶體的通道圖案。在實施方案中,可包括第一主動圖案AP1至第六主動圖案AP6中的兩者。在實施方案中,可包括一或多個數目的第一主動圖案AP1至第六主動圖案AP6。
第一主動圖案AP1至第六主動圖案AP6中的每一者可為基底100的一部分且可包括自基底100生長的磊晶層。第一主動圖案AP1至第六主動圖案AP6中的每一者可包含例如作為元素半導體材料的矽或鍺。在實施方案中,第一主動圖案AP1至第六主動圖案AP6中的每一者可包含化合物半導體,例如第IV-IV族化合物半導體或第III-V族化合物半導體。
第IV-IV族化合物半導體可為例如包含碳(C)、矽(Si)、鍺(Ge)及錫(Sn)中的至少兩者的二元化合物或三元化合物或者藉由使用第IV族元素摻雜該些元素而獲得的化合物。
第III-V族化合物半導體可為例如藉由將作為第III族元素的鋁(Al)、鎵(Ga)及銦(In)中的至少一者與作為第V族元素的磷(P)、砷(As)及銻(Sb)中的一者進行組合而形成的二元化合物、三元化合物或四元化合物中的一者。
在實施方案中,第一主動圖案AP1至第六主動圖案AP6可包含相同的材料。在實施方案中,第一主動圖案AP1至第三主動圖案AP3(位於第一主動區RN 中)可包含與第四主動圖案AP4至第六主動圖案AP6(位於第二主動區RP 中)的材料不同的材料。
場絕緣膜105可位於基底100上。場絕緣膜105可填充鰭切割溝槽FC_T的至少一些部分及鰭溝槽FN_T的至少一些部分。場絕緣膜105可填充深溝槽DT。
場絕緣膜105可位於第一主動圖案AP1至第六主動圖案AP6的側壁的一部分上。第一主動圖案AP1至第六主動圖案AP6可自每一場絕緣膜105的上表面向上突出或在每一場絕緣膜105的上表面上方向上突出。場絕緣膜105可包括例如氧化物膜、氮化物膜、氮氧化物膜或其組合膜。在實施方案中,場絕緣膜105可填充鰭切割溝槽FC_T的一部分。
閘極結構GS1、GS2、GS3、DGS1、DGS2及DGS3中的每一者可在第二方向D2上延伸(例如,縱向延伸)。閘極結構可包括正常閘極結構GS1、GS2及GS3以及虛設閘極結構DGS1、DGS2及DGS3。如圖1中所示,第一正常閘極結構GS1、第二正常閘極結構GS2及第三正常閘極結構GS3中的每一者的數目僅是為了便於闡釋。
在實施方案中,虛設閘極結構DGS1、DGS2及DGS3可位於第一主動圖案AP1至第六主動圖案AP6的端部處。因此,在虛設閘極結構DGS1、DGS2及DGS3與第一主動圖案AP1至第六主動圖案AP6相交的點處,源極/汲極圖案可不位於虛設閘極結構DGS1、DGS2及DGS3的一側或兩側上。源極/汲極圖案可位於正常閘極結構GS1、GS2及GS3的兩側上或正常閘極結構GS1、GS2及GS3的兩側處。
在實施方案中,閘極結構GS1、GS2、GS3、DGS1、DGS2及DGS3中的每一者可位於第一主動區RN 及第二主動區RP 上。在實施方案中,正常閘極結構GS1、GS2、GS3中的至少一者可被劃分成兩部分且可位於第一主動區RN 及第二主動區RP 上。
閘極結構GS1、GS2、GS3、DGS1、DGS2及DGS3中的每一者可位於閘極切割結構GCS之間(在第一方向D1上延伸)。閘極結構GS1、GS2、GS3、DGS1、DGS2及DGS3中的每一者可連接至閘極切割結構GCS。
第一虛設閘極結構DGS1及第三虛設閘極結構DGS3可分別位於第一主動圖案AP1及第四主動圖案AP4上。第一虛設閘極結構DGS1及第三虛設閘極結構DGS3可分別與第一主動圖案AP1及第四主動圖案AP4相交。
第一虛設閘極結構DGS1及第三虛設閘極結構DGS3中的每一者可與第一主動圖案AP1的包括第一主動圖案AP1的短側的端部及第四主動圖案AP4的包括第四主動圖案AP4的短側的端部交疊。
在實施方案中,第一虛設閘極結構DGS1及第三虛設閘極結構DGS3中的每一者可包繞第一主動圖案AP1的端部。第一虛設閘極結構DGS1的一部分及第三虛設閘極結構DGS3的一部分可沿著第一主動圖案AP1的上表面延伸或覆蓋第一主動圖案AP1的上表面。第一虛設閘極結構DGS1及第三虛設閘極結構DGS3可不包繞第四主動圖案AP4的端部。
第二虛設閘極結構DGS2可位於第二主動圖案AP2及第五主動圖案AP5上。第二虛設閘極結構DGS2可與第二主動圖案AP2及第五主動圖案AP5相交。
第二虛設閘極結構DGS2可與第二主動圖案AP2的包括第二主動圖案AP2的短側的端部及第五主動圖案AP5的包括第五主動圖案AP5的短側的端部交疊。
在實施方案中,第二虛設閘極結構DGS2可覆蓋(例如,可包繞)第二主動圖案AP2的端部。第二虛設閘極結構DGS2的一部分可沿著第二主動圖案AP2的上表面延伸或覆蓋第二主動圖案AP2的上表面。第二虛設閘極結構DGS2可不包繞第五主動圖案AP5的端部。
將第四主動圖案AP4與第五主動圖案AP5隔開的第一元件分隔溝槽DB1_T可位於第一虛設閘極結構DGS1與第二虛設閘極結構DGS2之間。
在實施方案中,第一虛設閘極結構DGS1可包括第一導電虛設結構CDGS1及第一絕緣虛設結構IDGS1。第二虛設閘極結構DGS2可包括第二導電虛設結構CDGS2且可不包括絕緣虛設結構。
第一導電虛設結構CDGS1可與第一主動圖案AP1相交且可不與第四主動圖案AP4相交。第一絕緣虛設結構IDGS1可與第四主動圖案AP4相交且可不與第一主動圖案AP1相交。第一導電虛設結構CDGS1可接觸第一絕緣虛設結構IDGS1。
第二導電虛設結構CDGS2可與第二主動圖案AP2及第五主動圖案AP5相交。
第一導電虛設結構CDGS1及第二導電虛設結構CDGS2可包括例如虛設導電閘極220、虛設閘極絕緣膜230、虛設閘極間隔件240及虛設閘極頂蓋圖案245。
第一絕緣虛設結構IDGS1可包括例如虛設絕緣閘極225、虛設閘極間隔件240及虛設閘極頂蓋圖案245。虛設絕緣閘極225可位於虛設閘極間隔件240與虛設閘極頂蓋圖案245之間。在實施方案中,第一絕緣虛設結構IDGS1可不包括虛設閘極間隔件240及虛設閘極頂蓋圖案245中的至少一者。
第一絕緣虛設結構IDGS1的虛設絕緣閘極225可與第四主動圖案AP4相交且可不與第一主動圖案AP1相交。第一絕緣虛設結構IDGS1的虛設絕緣閘極225可覆蓋自場絕緣膜105的上表面向上突出的第四主動圖案AP4。在實施方案中,第一絕緣虛設結構IDGS1的虛設絕緣閘極225可接觸(例如,直接接觸)第四主動圖案AP4。第一絕緣虛設結構IDGS1的虛設絕緣閘極225可接觸自場絕緣膜105的上表面向上突出的第四主動圖案AP4的側壁。
第一導電虛設結構CDGS1的虛設導電閘極220可與第一主動圖案AP1相交且可不與第四主動圖案AP4相交。第一絕緣虛設結構IDGS1的虛設絕緣閘極225可接觸第一導電虛設結構CDGS1的虛設導電閘極220。第二導電虛設結構CDGS2的虛設導電閘極220可與第二主動圖案AP2及第五主動圖案AP5相交。
虛設絕緣閘極225可包含例如氮化矽、氧化矽、碳化矽、碳氮化矽、碳氧化矽、氮氧化矽、碳氮氧化矽酮或氧化鋁。
正常閘極結構GS1、GS2及GS3可包括例如正常導電閘極120、正常閘極絕緣膜130、正常閘極間隔件140及正常閘極頂蓋圖案145。
第一正常閘極結構GS1可位於第一主動圖案AP1及第四主動圖案AP4上且可與第一主動圖案AP1及第四主動圖案AP4相交。至少一個第一正常閘極結構GS1可位於第一主動圖案AP1及第四主動圖案AP4上。第一正常閘極結構GS1可位於第一虛設閘極結構DGS1與第三虛設閘極結構DGS3之間。第一正常閘極結構GS1可包括最靠近第一虛設閘極結構DGS1的一個(例如,第一_1)正常閘極結構GS1_1。
第二正常閘極結構GS2可位於第二主動圖案AP2及第五主動圖案AP5上且可與第二主動圖案AP2及第五主動圖案AP5相交。至少一個第二正常閘極結構GS2可位於第二主動圖案AP2及第五主動圖案AP5上。第二正常閘極結構GS2可包括最靠近第二虛設閘極結構DGS2的一個(例如,第二_1)正常閘極結構GS2_1。
第三正常閘極結構GS3可位於第三主動圖案AP3及第六主動圖案AP6上且可與第三主動圖案AP3及第六主動圖案AP6相交。至少一個第三正常閘極結構GS3可位於第三主動圖案AP3及第六主動圖案AP6上。
在實施方案中,如圖5中所示,第二正常閘極結構GS2的正常閘極絕緣膜130可沿著自場絕緣膜105的上表面向上突出的第二主動圖案AP2及第五主動圖案AP5的輪廓延伸。正常導電閘極120可位於正常閘極絕緣膜130上。第一正常閘極結構GS1及第三正常閘極結構GS3亦可具有與第二正常閘極結構GS2的形狀相似的形狀。
正常導電閘極120及正常閘極絕緣膜130可位於正常閘極間隔件140之間。正常閘極頂蓋圖案145可位於正常導電閘極120及正常閘極絕緣膜130上。在實施方案中,如圖式中所示,正常閘極頂蓋圖案145可覆蓋正常閘極間隔件140的上表面。在實施方案中,正常閘極頂蓋圖案145可置於正常閘極間隔件140之間。
相鄰的第一正常閘極結構GS1可藉由閘極節距GP 彼此間隔開。在實施方案中,當正常閘極間隔件140之間的正常閘極絕緣膜130及正常導電閘極120被設定為正常閘極圖案時,正常閘極圖案可在第一方向D1上具有閘極寬度GW 。當正常閘極圖案包括在第一方向D1上彼此面對的一個側壁與另一側壁時,閘極節距可為相鄰的正常閘極圖案的一個側壁之間的距離。正常閘極圖案可面對正常閘極間隔件140,且正常閘極圖案的一個側壁可為正常閘極絕緣膜130與正常閘極間隔件140之間的邊界。在實施方案中,閘極節距GP 可為相鄰的正常導電閘極120的寬度中心之間的距離。
相鄰的第二正常閘極結構GS2亦可藉由閘極節距GP 彼此間隔開,且第三正常閘極結構GS3亦可藉由閘極節距GP 彼此間隔開。
對第一導電虛設結構CDGS1及第二導電虛設結構CDGS2的闡釋可相似於對正常閘極結構GS1、GS2及GS3的闡釋。
正常導電閘極120及虛設導電閘極220可為包含導電材料的導電閘極。至少一個導電閘極可位於相應的第一主動圖案AP1至第六主動圖案AP6上。在實施方案中,一個虛設導電閘極220及兩個正常導電閘極120可位於第五主動圖案AP5上,且三個虛設導電閘極220可位於第五主動圖案AP5上。
正常導電閘極120與虛設導電閘極220可包含相同的材料。正常導電閘極120及虛設導電閘極220可包含例如氮化鈦(TiN)、碳化鉭(TaC)、氮化鉭(TaN)、氮化鈦矽(TiSiN)、氮化鉭矽(TaSiN)、氮化鉭鈦(TaTiN)、氮化鈦鋁(TiAlN)、氮化鉭鋁(TaAlN)、氮化鎢(WN)、釕(Ru)、鈦鋁(TiAl)、碳氮化鈦鋁(TiAlC-N)、碳化鈦鋁(TiAlC)、碳化鈦(TiC)、碳氮化鉭(TaCN)、鎢(W)、鋁(Al)、銅(Cu)、鈷(Co)、鈦(Ti)、鉭(Ta)、鎳(Ni)、鉑(Pt)、鎳鉑(Ni-Pt)、鈮(Nb)、氮化鈮(NbN)、碳化鈮(NbC)、鉬(Mo)、氮化鉬(MoN)、碳化鉬(MoC)、碳化鎢(WC)、銠(Rh)、鈀(Pd)、銥(Ir)、鋨(Os)、銀(Ag)、金(Au)、鋅(Zn)、釩(V)或其組合。當正常導電閘極120及虛設導電閘極220包括多個堆疊的導電膜時,正常導電閘極120與虛設導電閘極220可具有相同的堆疊膜結構。
正常閘極絕緣膜130與虛設閘極絕緣膜230可包含相同的材料。正常閘極絕緣膜130及虛設閘極絕緣膜230可包含氧化矽、氮氧化矽、氮化矽或具有較氧化矽高的介電常數的高介電常數材料。高介電常數材料可包括例如氮化硼、氧化鉿、氧化鉿矽、氧化鉿鋁、氧化鑭、氧化鑭鋁、氧化鋯、氧化鋯矽、氧化鉭、氧化鈦、氧化鋇鍶鈦、氧化鋇鈦、氧化鍶鈦、氧化釔、氧化鋁、氧化鉛鈧鉭或鈮酸鉛鋅。
正常閘極間隔件140與虛設閘極間隔件240可包含相同的材料。正常閘極間隔件140及虛設閘極間隔件240可包含例如氮化矽(SiN)、氮氧化矽(SiON)、氧化矽(SiO2 )、碳氮氧化矽(SiOCN)、氮化矽硼(SiBN)、氮化矽氧硼(silicon oxyboron nitride)(SiOBN)、碳氧化矽(SiOC)或其組合。
正常閘極頂蓋圖案145及虛設閘極頂蓋圖案245可包含例如氮化矽(SiN)、氮氧化矽(SiON)、氧化矽(SiO2 )、碳氮化矽(SiCN)及碳氮氧化矽(SiOCN)或其組合。
在實施方案中,正常閘極頂蓋圖案145及虛設閘極頂蓋圖案245可不位於正常導電閘極120及虛設導電閘極220上。在此種情形中,閘極結構GS1、GS2、GS3、DGS1、DGS2及DGS3的上表面(例如,圖4A所示245_U)中的一些上表面可由正常導電閘極120及虛擬導電閘極220界定。
第一源極/汲極圖案150_1及150_2可置於第一主動圖案AP1上。第一源極/汲極圖案150_1及150_2可位於第一正常閘極結構GS1的正常導電閘極120的兩側上。一個第一源極/汲極圖案150_2可在第一正常閘極結構GS1與第一虛設閘極結構DGS1之間位於第一主動圖案AP1上。
第二源極/汲極圖案250_1、250_2及250_3可位於第二主動圖案AP2上。第二源極/汲極圖案250_1、250_2及250_3可位於第二正常閘極結構GS2的正常導電閘極120的兩側上。一個第二源極/汲極圖案250_1可在第二正常閘極結構GS2與第二虛設閘極結構DGS2之間位於第二主動圖案AP2上。
第三源極/汲極圖案350可位於第三主動圖案AP3上。第三源極/汲極圖案350可位於第三正常閘極結構GS3的正常導電閘極120的兩側上。
第四源極/汲極圖案450_1及450_2可位於第四主動圖案AP4上。第四源極/汲極圖案450_1及450_2可位於第一正常閘極結構GS1的正常導電閘極120的兩側上。一個第四源極/汲極圖案450_2可在第一正常閘極結構GS1與第一虛設閘極結構DGS1之間位於第四主動圖案AP4上。
第五源極/汲極圖案550_1、550_2及550_3可位於第五主動圖案AP5上。第五源極/汲極圖案550_1、550_2及550_3可位於第二正常閘極結構GS2的正常導電閘極120的兩側上。一個第五源極/汲極圖案550_1可在第二正常閘極結構GS2與第二虛設閘極結構DGS2之間位於第五主動圖案AP5上。
第六源極/汲極圖案650可位於第六主動圖案AP6上。第六源極/汲極圖案650可位於第三正常閘極結構GS3的正常導電閘極120的兩側上。
第一源極/汲極圖案150_1、150_2、第二源極/汲極圖案250_1、250_2、250_3、第三源極/汲極圖案350、第四源極/汲極圖案450_1、450_2、第五源極/汲極圖案550_1、550_2、550_3及第六源極/汲極圖案650可包括磊晶圖案,所述磊晶圖案包含藉由磊晶製程生長的半導體材料。
蝕刻停止膜155可沿著第一源極/汲極圖案150_1、150_2的上表面、第二源極/汲極圖案250_1、250_2、250_3的上表面、第三源極/汲極圖案350的上表面、第四源極/汲極圖案450_1、450_2的上表面、第五源極/汲極圖案550_1、550_2、550_3的上表面及第六源極/汲極圖案650的上表面延伸。蝕刻停止膜155可沿著閘極結構GS1、GS2、GS3、DGS1、DGS2及DGS3的側壁延伸。蝕刻停止膜155可沿著場絕緣膜105的上表面延伸。蝕刻停止膜155可包含例如氮化矽(SiN)、氮氧化矽(SiON)、碳氧化矽(SiOC)、碳氮氧化矽(SiOCN)或其組合。
第一層間絕緣膜191可位於蝕刻停止膜155上。第一層間絕緣膜191的上表面可位於與閘極結構GS1至GS3及DGS1至DGS3的上表面(例如,圖4A所示245_U)相同的平面上。第一層間絕緣膜191可包含例如氧化矽、氮化矽、氮氧化矽或低介電常數材料。低介電常數材料可包括例如氟化四乙基正矽酸鹽(Fluorinated TetraEthylOrthoSilicate,FTEOS)、氫倍半矽氧烷(Hydrogen SilsesQuioxane,HSQ)、雙苯並環丁烯(Bis-benzoCycloButene,BCB)、四甲基正矽酸鹽(TetraMethylOrthoSilicate,TMOS)、八甲基乙基環四矽氧烷(OctaMethyleyCloTetraSiloxane,OMCTS)、六甲基二矽氧烷(HexaMethylDiSiloxane,HMDS)、三甲基矽基硼酸鹽(TriMethylSilyl Borate,TMSB)、二乙醯氧基二第三丁基矽氧烷(DiAcetoxyDitertiaryButoSiloxane,DADBS)、三甲基矽基磷酸鹽(TriMethylSilil Phosphate,TMSP)、聚四氟乙烯(PolyTetraFluoroEthylene,PTFE)、東燃矽氮烷(Tonen SilaZen,TOSZ)、氟化矽酸鹽玻璃(Fluoride Silicate Glass,FSG)、聚醯亞胺奈米泡沫(polyimide nanofoam)(例如聚丙烯氧化物(polypropylene oxide))、經碳摻雜的氧化矽(Carbon Doped silicon Oxide,CDO)、有機矽酸鹽玻璃(Organo Silicate Glass,OSG)、西爾克(SiLK)、非晶氟化碳、二氧化矽氣凝膠、二氧化矽乾凝膠、中孔二氧化矽(mesoporous silica)或其組合。
第一元件分隔結構DB1可位於第一虛設閘極結構DGS1與第二虛設閘極結構DGS2之間。第四主動圖案AP4與第五主動圖案AP5可藉由第一元件分隔結構DB1隔開。第一元件分隔結構DB1可將第四主動圖案AP4的至少一部分與第五主動圖案AP5的至少一部分隔開。
在實施方案中,第一元件分隔結構DB1可不在第二方向D2上與第一主動圖案AP1及第二主動圖案AP2交疊。
第四源極/汲極圖案450_1及450_2可不位於第一元件分隔結構DB1與第一虛設閘極結構DGS1之間。第五源極/汲極圖案550_1、550_2及550_3可不位於第一元件分隔結構DB1與第二虛設閘極結構DGS2之間。
第一_1正常閘極結構GS1_1可包括最靠近第一元件分隔結構DB1的正常導電閘極。一個第四源極/汲極圖案450_2及虛設絕緣閘極225可位於第一元件分隔結構DB1與第一_1正常閘極結構GS1_1之間,且第一_1正常閘極結構GS1_1的正常導電閘極120的寬度中心與第一元件分隔結構DB1的寬度中心之間在第一方向D1上的間隔距離L可大於閘極節距GP
第一絕緣虛設結構IDGS1的虛設絕緣閘極225可位於第一_1正常閘極結構GS1_1的正常導電閘極120與第一元件分隔結構DB1之間。參照製造製程,虛設絕緣閘極225可形成於虛設導電閘極220及虛設閘極絕緣膜230被移除的位置處,且最靠近第一元件分隔結構DB1的第四源極/汲極圖案450_2與第一元件分隔結構DB1之間在第一方向D1上的間隔距離可大於閘極寬度GW ,如在第四主動圖案AP4的上表面處所量測。
第一元件分隔結構DB1可位於第一元件分隔溝槽DB1_T中。第一元件分隔結構DB1可填充第一元件分隔溝槽DB1_T。
源極/汲極圖案EP_R的一部分可沿著第一元件分隔結構DB1的側壁的一部分。在實施方案中,源極/汲極圖案EP_R的一部分可不位於第一元件分隔結構DB1的側壁上。蝕刻停止膜155可沿著第一元件分隔結構DB1的側壁的一部分。在實施方案中,蝕刻停止膜155可不位於第一元件分隔結構DB1的側壁上。
在實施方案中,以第四主動圖案AP4的上表面為基準,第一元件分隔結構的上表面DB1_U的高度可高於正常導電閘極120的上表面的高度。在實施方案中,若正常閘極結構不包括正常閘極頂蓋圖案145,則自第四主動圖案AP4的上表面量測的第一元件分隔結構的上表面DB1_U的高度可相同於正常導電閘極120的上表面的高度。
自第五主動圖案AP5的上表面量測,到第四源極/汲極圖案450_1及450_2的最下部分的深度d4可淺於到第一元件分隔結構DB1的最下部分的深度d1。
如圖5中所示,第一元件分隔結構DB1下方的場絕緣膜105的一部分可凹陷。第一元件分隔結構DB1的底表面可由場絕緣膜105、基底100及餘留鰭RF界定。餘留鰭RF可為在形成第一元件分隔溝槽(圖3所示DB1_T)的蝕刻製程中移除主動圖案部分之後留下的部分。在實施方案中,可不存在餘留鰭RF。
第二元件分隔結構DB2可將第二主動圖案AP2的至少一部分與第三主動圖案AP3的至少一部分隔開,且可將第五主動圖案AP5的至少一部分與第六主動圖案AP6的至少一部分隔開。
第二元件分隔結構DB2可位於最靠近彼此的第五源極/汲極圖案550_3與第六源極/汲極圖案650之間。第二正常閘極結構GS2的正常導電閘極120可不位於第二元件分隔結構DB2與第五源極/汲極圖案550_3之間。第三正常閘極結構GS3的正常導電閘極120可不位於第二元件分隔結構DB2與第六源極/汲極圖案650之間。
第二元件分隔結構DB2可位於第二元件分隔溝槽DB2_T中。第二元件分隔結構DB2可填充第二元件分隔溝槽DB2_T。第二元件分隔結構DB2可在第二方向D2上延伸。
在實施方案中,第二元件分隔結構DB2可將第一主動區RN 及第二主動區RP 中的一者中的主動圖案隔開。在實施方案中,第二元件分隔結構DB2可將第二主動區RP 的第五主動圖案AP5與第六主動圖案AP6隔開且可不將第一主動區RN 的主動圖案隔開。在此種情形中,第二主動圖案AP2及第三主動圖案AP3可為不被隔開的單個主動圖案。
在實施方案中,自第五主動圖案AP5的上表面量測的第二元件分隔結構DB2的上表面DB2_U的高度可高於正常導電閘極120的上表面的高度。在實施方案中,若正常閘極結構不包括正常閘極頂蓋圖案145,則自第五主動圖案AP5的上表面量測的第二元件分隔結構的上表面DB2_U的高度可相同於正常導電閘極120的上表面的高度。
自第五主動圖案AP5的上表面(例如,第三方向D3上)量測,到第二元件分隔結構DB2的最下部分的深度d2可深於到第五源極/汲極圖案550_3的最下部分的深度d3。
在實施方案中,自第五主動圖案AP5的上表面量測,到第二元件分隔結構DB2的最下部分的深度d2可淺於到第一元件分隔結構DB1的最下部分的深度d1。即使用於第一元件分隔結構DB1的第一元件分隔溝槽DB1_T被形成得深,第一元件分隔溝槽DB1_T亦可不影響周邊源極/汲極圖案。另一方面,若用於第二元件分隔結構DB2的第二元件分隔溝槽DB2_T將被形成得深,則第二元件分隔溝槽DB2_T可對周邊源極/汲極圖案的一部分進行蝕刻。因此,第一元件分隔溝槽DB1_T可被形成得較第二元件分隔溝槽DB2_T深。
第一元件分隔結構DB1及第二元件分隔結構DB2中的每一者可包含例如氮化矽、氧化矽、碳化矽、碳氮化矽、碳氧化矽、氮氧化矽、碳氮氧化矽、氧化鋁。在實施方案中,第一元件分隔結構DB1及第二元件分隔結構DB2中的每一者可為單個膜。在實施方案中,第一元件分隔結構DB1及第二元件分隔結構DB2中的至少一者可由多個膜形成。
在實施方案中,第一元件分隔結構的上表面DB1_U、第二元件分隔結構的上表面DB2_U及虛設絕緣閘極的上表面225_U可位於與閘極結構GS1至GS3及DGS1至DGS3的上表面相同的平面上。在實施方案中,第一元件分隔結構的上表面DB1_U、第二元件分隔結構的上表面DB2_U及虛設絕緣閘極的上表面225_U中的至少一者可高於閘極結構GS1至GS3及DGS1至DGS3的上表面。此外,根據第一元件分隔結構DB1、第二元件分隔結構DB2及虛設絕緣閘極225的製造過程,自第五主動圖案AP5的上表面量測,第一元件分隔結構的上表面DB1_U、第二元件分隔結構的上表面DB2_U及虛設絕緣閘極的上表面225_U可位於彼此不同的高度處。
在實施方案中,如圖1至圖3中所示,第二主動圖案AP2與第三主動圖案AP3可藉由第二元件分隔結構DB2隔開,且第五主動圖案AP5與第六主動圖案AP6可藉由第二元件分隔結構DB2隔開。在實施方案中,第二主動圖案AP2與第三主動圖案AP3可藉由鰭切割溝槽FC_T隔開,且第五主動圖案AP5與第六主動圖案AP6可藉由第一元件分隔結構DB1隔開。
在實施方案中,第一導電虛設結構CDGS1可與第四主動圖案AP4相交且可不與第一主動圖案AP1相交。第一絕緣虛設結構IDGS1可與第一主動圖案AP1相交且可不與第四主動圖案AP4相交。
第二層間絕緣膜192可位於閘極結構GS1至GS3及DGS1至DGS3上。第三層間絕緣膜193可位於第二層間絕緣膜192上。第二層間絕緣膜192及第三層間絕緣膜193可各自包含例如氧化矽、氮化矽、氮氧化矽或低介電常數材料。
源極/汲極接觸件170可連接至第一源極/汲極圖案150_1、150_2、第二源極/汲極圖案250_1、250_2、250_3、第三源極/汲極圖案350、第四源極/汲極圖案450_1、450_2、第五源極/汲極圖案550_1、550_2、550_3及第六源極/汲極圖案650。源極/汲極接觸件170可位於第二層間絕緣膜192及第一層間絕緣膜191中。源極/汲極接觸件170可包括例如接觸障壁膜及接觸填充膜。
在實施方案中,在源極/汲極接觸件170與第一源極/汲極圖案150_1、150_2、第二源極/汲極圖案250_1、250_2、250_3、第三源極/汲極圖案350、第四源極/汲極圖案450_1、450_2、第五源極/汲極圖案550_1、550_2、550_3及第六源極/汲極圖案650之間可進一步形成有矽化物膜。
源極/汲極接觸件170可包含例如金屬、金屬氮化物、金屬碳氮化物、二維(2D)材料或導電半導體材料。
配線結構195可位於第三層間絕緣膜193中。配線結構195可電性連接至例如源極/汲極接觸件170。配線結構195可包括通孔196及配線圖案197。配線結構195可包括配線障壁膜及配線填充膜。
在實施方案中,通孔196的配線填充膜與配線圖案197的配線填充膜可由配線障壁膜劃分開。
虛設閘極結構DGS1至DGS3中所包括的虛設導電閘極220可不用作電晶體的閘極電極。在實施方案中,虛設閘極結構DGS1至DGS3中所包括的虛設導電閘極220可用作訊號線。在實施方案中,儘管虛設導電閘極220中的一些虛設導電閘極220可用作訊號線,然而其餘的虛設導電閘極220可不用作訊號線。
若虛設導電閘極220的不被用作訊號線的一部分位於連接至源極/汲極圖案的源極/汲極接觸件170周圍,則虛設導電閘極220可能會產生元件操作所不必要的寄生電容。
因此,虛設導電閘極220的不被用作訊號線的所述部分可被例如虛設絕緣閘極225等絕緣圖案取代。因此,可減少元件操作所不必要的寄生電容且可改善半導體裝置的操作效能。
圖7及圖8是根據一些實例的半導體裝置的圖。為便於闡釋,將主要闡述與使用圖1至圖6闡釋的半導體裝置的不同之處。作為參照,圖7是沿著圖1所示線A-A截取的剖視圖,且圖8是沿著圖1所示線C-C截取的剖視圖。
參照圖7及圖8,在根據一些實施例的半導體裝置中,第一虛設閘極結構DGS1可不包括第一導電虛設結構(圖2所示CDGS1)。在實施方案中,第一虛設閘極結構DGS1可僅包括第一絕緣虛設結構IDGS1。
第一絕緣虛設結構IDGS1可與第一主動圖案AP1及第四主動圖案AP4相交。第一絕緣虛設結構IDGS1的虛設絕緣閘極225可與第一主動圖案AP1及第四主動圖案AP4相交。
第一絕緣虛設結構IDGS1的虛設絕緣閘極225可覆蓋自場絕緣膜105的上表面向上突出的第一主動圖案AP1及第四主動圖案AP4。第一絕緣虛設結構IDGS1的虛設絕緣閘極225可接觸第一主動圖案AP1及第四主動圖案AP4。第一絕緣虛設結構IDGS1的虛設絕緣閘極225可與自場絕緣膜105的上表面向上突出的第一主動圖案AP1的側壁及第四主動圖案AP4的側壁接觸。
第一絕緣虛設結構IDGS1的虛設絕緣閘極225可包繞第一主動圖案AP1的包括第一主動圖案AP1的短側的端部。
圖9及圖10是根據一些實例的半導體裝置的圖。為便於闡釋,將主要闡述與使用圖1至圖6闡釋的半導體裝置的不同之處。作為參照,圖9是沿著圖1所示線A-A截取的剖視圖,且圖10是沿著圖1所示線B-B截取的剖視圖。
參照圖9及圖10,在根據一些實施例的半導體裝置中,第二虛設閘極結構DGS2可不包括第二導電虛設結構(圖2及圖3所示CDGS2),但可包括第二絕緣虛設結構IDGS2。
第二絕緣虛設結構IDGS2可包括例如虛設絕緣閘極225、虛設閘極間隔件240及虛設閘極頂蓋圖案245。在實施方案中,第二絕緣虛設結構IDGS2可不包括虛設閘極間隔件240及虛設閘極頂蓋圖案245中的至少一者。
第二絕緣虛設結構IDGS2可與第二主動圖案AP2及第五主動圖案AP5相交。第二絕緣虛設結構IDGS2的虛設絕緣閘極225可與第二主動圖案AP2及第五主動圖案AP5相交。第二絕緣虛設結構IDGS2的虛設絕緣閘極225可位於第二主動圖案AP2及第五主動圖案AP5上。
第二絕緣虛設結構IDGS2的虛設絕緣閘極225可覆蓋自場絕緣膜105的上表面向上突出的第二主動圖案AP2及第五主動圖案AP5。第二絕緣虛設結構IDGS2的虛設絕緣閘極225可接觸第二主動圖案AP2及第五主動圖案AP5。第二絕緣虛設結構IDGS2的虛設絕緣閘極225可與自場絕緣膜105的上表面向上突出的第二主動圖案AP2的側壁及第五主動圖案AP5的側壁接觸。
第二絕緣虛設結構IDGS2的虛設絕緣閘極225可包繞第二主動圖案AP2的包括第二主動圖案AP2的短側的端部。
第二絕緣虛設結構IDGS2的虛設絕緣閘極225可位於第一元件分隔結構DB1與最靠近第一元件分隔結構DB1的第二_1正常閘極結構GS2_1之間。第二絕緣虛設結構IDGS2的虛設絕緣閘極225可位於第一元件分隔結構DB1與第二_1正常閘極結構GS2_1的正常導電閘極120之間。
在實施方案中,第二虛設閘極結構DGS2可包括第二導電虛設結構CDGS2及第二絕緣虛設結構IDGS2。在實施方案中,第二導電虛設結構CDGS2可與第二主動圖案AP2相交且可不與第五主動圖案AP5相交。第二絕緣虛設結構IDGS2可與第五主動圖案AP5相交且可不與第二主動圖案AP2相交。在實施方案中,第二導電虛設結構CDGS2可與第五主動圖案AP5相交且可不與第二主動圖案AP2相交。第二絕緣虛設結構IDGS2可與第二主動圖案AP2相交且可不與第五主動圖案AP5相交。
圖11及圖12是根據一些實例的半導體裝置的圖。為便於闡釋,將主要闡述與使用圖1至圖6闡釋的半導體裝置的不同之處。
作為參照,圖11是沿著圖1所示線A-A截取的剖視圖,且圖12是沿著圖1所示線C-C截取的剖視圖。
參照圖11及圖12,在根據一些實施例的半導體裝置中,第一絕緣虛設結構IDGS1可包括虛設閘極絕緣膜230。
虛設絕緣閘極225可位於虛設閘極絕緣膜230上。第一絕緣虛設結構IDGS1的虛設閘極絕緣膜230可沿著自場絕緣膜105的上表面向上突出的第四主動圖案AP4的輪廓延伸。
第一絕緣虛設結構IDGS1的虛設閘極絕緣膜230可直接連接至第一導電虛設結構CDGS1的虛設閘極絕緣膜230。
第一絕緣虛設結構IDGS1的虛設閘極絕緣膜230可為絕緣襯墊,且第一絕緣虛設結構IDGS1的虛設絕緣閘極225可為對由絕緣襯墊界定的空間進行填充的填充絕緣圖案。
圖13至圖16是根據一些實施例的半導體裝置的圖。為便於闡釋,將主要闡述與使用圖1至圖6闡釋的半導體裝置的不同之處。
作為參照,圖13至圖15是沿著圖1所示線B-B截取的剖視圖,且圖16是沿著圖1所示線C-C截取的剖視圖。
參照圖13,在根據一些實施例的半導體裝置中,虛設絕緣閘極225可包括下部絕緣圖案225a及位於下部絕緣圖案225a上的上部絕緣圖案225b。
下部絕緣圖案225a可包括沿著第四主動圖案AP4的上表面延伸的底部部分以及在第三方向D3上自底部部分延伸的側壁部分。上部絕緣圖案225b可填充由下部絕緣圖案225a界定的空間。下部絕緣圖案225a可包含與上部絕緣圖案225b不同的材料。
參照圖14,在根據一些實例的半導體裝置中,通孔196與配線圖案197可不被配線障壁膜劃分開。通孔196的配線填充膜與配線圖案197的配線填充膜可直接連接至彼此(例如,彼此相接以形成整體式單件結構)。
參照圖15,在根據一些實施例的半導體裝置中,源極/汲極接觸件170可包括連接至配線結構195的連接部分及不連接至配線結構195的非連接部分。
源極/汲極接觸件170的連接部分的上表面可位於與第二層間絕緣膜192的上表面相同的平面上。源極/汲極接觸件170的非連接部分的上表面可低於源極/汲極接觸件170的連接部分的上表面。源極/汲極接觸件170的非連接部分的上表面可低於閘極結構GS1至GS3及DGS1至DGS3的上表面。
參照圖16,根據一些實施例的半導體裝置可包括位於第一主動區RN 與第二主動區RP 之間的場區中的虛設突起圖案DPF。可不在所述場區中形成深溝槽(圖4A所示DT)。虛設突起圖案DPF的上表面可被場絕緣膜105覆蓋。
圖17至圖19是根據一些實施例的半導體裝置的圖。為便於闡釋,將主要闡述與使用圖1至圖6闡釋的半導體裝置的不同之處。作為參照,圖17是用於闡釋根據一些實施例的半導體裝置的示例性佈局圖。圖18及圖19是沿著圖17所示線A-A及B-B截取的剖視圖。
參照圖17至圖19,根據一些實施例的半導體裝置可更包括第四虛設閘極結構DGS4及第五虛設閘極結構DGS5,第四虛設閘極結構DGS4及第五虛設閘極結構DGS5位於第一虛設閘極結構DGS1與第二虛設閘極結構DGS2之間且在第二方向D2上延伸。
第四虛設閘極結構DGS4可在第一方向D1上與第五虛設閘極結構DGS5間隔開。第四虛設閘極結構DGS4及第五虛設閘極結構DGS5可不與第一主動圖案AP1及第二主動圖案AP2相交。第四虛設閘極結構DGS4及第五虛設閘極結構DGS5可穿過第一主動圖案AP1與第二主動圖案AP2之間。在實施方案中,第四虛設閘極結構DGS4及第五虛設閘極結構DGS5可不位於第一主動圖案AP1及第二主動圖案AP2上。第四虛設閘極結構DGS4及第五虛設閘極結構DGS5可在第一主動圖案AP1與第二主動圖案AP2之間位於鰭切割溝槽FC_T中的場絕緣膜105上。
第四虛設閘極結構DGS4及第五虛設閘極結構DGS5可不與第四主動圖案AP4及第五主動圖案AP5相交。第四虛設閘極結構DGS4及第五虛設閘極結構DGS5可穿過第四主動圖案AP4與第五主動圖案AP5之間。在實施方案中,第四虛設閘極結構DGS4及第五虛設閘極結構DGS5可不位於第四主動圖案AP4及第五主動圖案AP5上。在第四主動圖案AP4與第五主動圖案AP5之間,第四虛設閘極結構DGS4及第五虛設閘極結構DGS5可位於第四主動圖案AP4與第五主動圖案AP5之間的虛設主動圖案DAP上。虛設主動圖案DAP可藉由第一元件分隔結構DB1與第四主動圖案AP4及第五主動圖案AP5隔開。
在實施方案中,第四虛設閘極結構DGS4及第五虛設閘極結構DGS5中的每一者可包括虛設導電閘極220、虛設閘極絕緣膜230、虛設閘極間隔件240及虛設閘極頂蓋圖案245。第四虛設閘極結構DGS4及第五虛設閘極結構DGS5的虛設導電閘極220可穿過第一主動圖案AP1與第二主動圖案AP2之間以及第四主動圖案AP4與第五主動圖案AP5之間。第四虛設閘極結構DGS4及第五虛設閘極結構DGS5的虛設導電閘極220可位於虛設主動圖案DAP上。
第一元件分隔結構DB1可包括多個元件分隔圖案DB11、DB12及DB13。第一元件分隔圖案DB11可位於第一虛設閘極結構DGS1與第四虛設閘極結構DGS4之間。第二元件分隔圖案DB12可位於第四虛設閘極結構DGS4與第五虛設閘極結構DGS5之間。第三元件分隔圖案DB13可位於第五虛設閘極結構DGS5與第二虛設閘極結構DGS2之間。
在實施方案中,第一元件分隔圖案DB11、第二元件分隔圖案DB12及第三元件分隔圖案DB13可在第四虛設閘極結構DGS4及第五虛設閘極結構DGS5上或第四虛設閘極結構DGS4及第五虛設閘極結構DGS5之上連接至彼此。在實施方案中,第一元件分隔圖案DB11、第二元件分隔圖案DB12及第三元件分隔圖案DB13可彼此間隔開。
虛設主動圖案DAP可位於相鄰的元件分隔圖案DB11、DB12、DB13之間。
在實施方案中,不與第一主動圖案AP1及第二主動圖案AP2相交的兩個虛設閘極結構可位於第一虛設閘極結構DGS1與第二虛設閘極結構DGS2之間。在實施方案中,不與第一主動圖案AP1及第二主動圖案AP2相交的虛設閘極結構的數目在第一虛設閘極結構DGS1與第二虛設閘極結構DGS2之間可為一個或三個或者更多個。
在實施方案中,第四虛設閘極結構DGS4及第五虛設閘極結構DGS5可包括虛設絕緣閘極225,如第一絕緣虛設結構IDGS1一般。
圖20至圖24是根據一些實施例的半導體裝置的圖。為便於闡釋,將主要闡述與使用圖1至圖6闡釋的半導體裝置的不同之處。作為參照,圖20是用於闡釋根據一些實施例的半導體裝置的示例性佈局圖。圖21至圖24是沿著圖20所示線A-A、B-B、C-C及D-D截取的剖視圖。
參照圖20至圖24,在根據一些實施例的半導體裝置中,第一主動圖案AP1至第六主動圖案AP6中的每一者可包括第一下部主動圖案BP1至第六下部主動圖案BP6以及第一片材圖案UP1至第六片材圖案UP6。
第一下部主動圖案BP1至第三下部主動圖案BP3可在第一方向D1上彼此間隔開且排列於第一方向D1上。第一下部主動圖案BP1與第二下部主動圖案BP2可藉由鰭切割溝槽FC_T隔開。第一下部主動圖案BP1與第二下部主動圖案BP2可藉由鰭切割溝槽FC_T中的場絕緣膜105隔開。第二下部主動圖案BP2與第三下部主動圖案BP3可藉由第二元件分隔溝槽DB2_T隔開。第二下部主動圖案BP2與第三下部主動圖案BP3可藉由第二元件分隔結構DB2隔開。
第四下部主動圖案BP4至第六下部主動圖案BP6可在第一方向D1上彼此間隔開且排列於第一方向D1上。第四下部主動圖案BP4與第五下部主動圖案BP5可藉由第一元件分隔溝槽DB1_T隔開。第四下部主動圖案BP4與第五下部主動圖案BP5可藉由第一元件分隔結構DB1隔開。第五下部主動圖案BP5與第六下部主動圖案BP6可藉由第二元件分隔溝槽DB2_T隔開。第五下部主動圖案BP5與第六下部主動圖案BP6可藉由第二元件分隔結構DB2隔開。
第一片材圖案UP1可位於第一下部主動圖案BP1上且與第一下部主動圖案BP1隔開。第一片材圖案UP1可包括多個片材圖案。在實施方案中,可包括三個第一片材圖案UP1。對第二片材圖案UP2至第六片材圖案UP6的闡釋可相似於對第一片材圖案UP1的闡釋。
第一片材圖案UP1至第六片材圖案UP6中的每一者可連接至第一源極/汲極圖案150_1、150_2、第二源極/汲極圖案250_1、250_2、250_3、第三源極/汲極圖案350、第四源極/汲極圖案450_1、450_2、第五源極/汲極圖案550_1、550_2、550_3及第六源極/汲極圖案650。第一片材圖案UP1至第六片材圖案UP6中的每一者可為用作電晶體的通道區的通道圖案。在實施方案中,第一片材圖案UP1至第六片材圖案UP6中的每一者可為奈米片或奈米線。
第一虛設閘極結構DGS1及第三虛設閘極結構DGS3中的每一者可位於第一下部主動圖案BP1及第四下部主動圖案BP4上。第一虛設閘極結構DGS1及第三虛設閘極結構DGS3可在第一下部主動圖案BP1的端部附近包繞第一片材圖案UP1且在第四下部主動圖案BP4的端部附近包繞第四片材圖案UP4。
第一導電虛設結構CDGS1的虛設導電閘極220可在第一下部主動圖案BP1的端部附近包繞第一片材圖案UP1。第一絕緣虛設結構IDGS1的虛設絕緣閘極225可在第四下部主動圖案BP4的端部附近包繞第四片材圖案UP4。虛設絕緣閘極225可位於在第三方向D3上彼此間隔開的第四片材圖案UP4之間。
第二虛設閘極結構DGS2可位於第二下部主動圖案BP2及第五下部主動圖案BP5上。第二虛設閘極結構DGS2可在第二下部主動圖案BP2的端部附近包繞第二片材圖案UP2且在第五下部主動圖案BP5的端部附近包繞第五片材圖案UP5。第二導電虛設結構CDGS2的虛設導電閘極220可在第二下部主動圖案BP2的端部附近包繞第二片材圖案UP2且在第五下部主動圖案BP5的端部附近包繞第五片材圖案UP5。
第一正常閘極結構GS1可位於第一下部主動圖案BP1及第四下部主動圖案BP4上。第一正常閘極結構GS1的正常導電閘極120可包繞第一片材圖案UP1及第四片材圖案UP4。第二正常閘極結構GS2可位於第二下部主動圖案BP2及第五下部主動圖案BP5上。第二正常閘極結構GS2的正常導電閘極120可包繞第二片材圖案UP2及第五片材圖案UP5。第三正常閘極結構GS3可位於第三下部主動圖案BP3及第六下部主動圖案BP6上。第三正常閘極結構GS3的正常導電閘極120可包繞第三片材圖案UP3及第六片材圖案UP6。
在實施方案中,如圖21中所示,正常閘極間隔件140可位於第一源極/汲極圖案150_1及150_2與第一片材圖案UP1之間的正常導電閘極120之間。第一片材圖案UP1之間的正常閘極間隔件140可為內部間隔件。位於最上部分處的第一片材圖案UP1上的正常閘極間隔件140可為外部間隔件。內部間隔件的結構可相同於或可不同於外部間隔件的結構。
在圖22中,正常閘極間隔件140可不位於第四源極/汲極圖案450_1及450_2與第四片材圖案UP4之間的正常導電閘極120之間。
在實施方案中,正常閘極間隔件140可不位於第一源極/汲極圖案150_1及150_2與第一片材圖案UP1之間的正常導電閘極120之間。在實施方案中,正常閘極間隔件140可位於第四源極/汲極圖案450_1及450_2與第四片材圖案UP4之間的正常導電閘極120之間。
片材圖案片段UP_R可保留於第二元件分隔結構DB2的側壁的一部分上。在實施方案中,片材圖案片段UP_R可不位於第二元件分隔結構DB2的側壁上。
在實施方案中,如圖21中所示,上述內部間隔件可保留於片材圖案片段UP_R之間。在實施方案中,可不在片材圖案片段UP_R之間保留內部間隔件。
如圖7及圖8中所示,第一虛設閘極結構DGS1可僅包括第一絕緣虛設結構IDGS1。在此種情形中,第一絕緣虛設結構IDGS1的虛設絕緣閘極225可與第一下部主動圖案BP1及第四下部主動圖案BP4相交。第一絕緣虛設結構IDGS1的虛設絕緣閘極225可包繞第一片材圖案UP1及第四片材圖案UP4。
如圖9及圖10中所示,第二虛設閘極結構DGS2可不包括第二導電虛設結構CDGS2且可包括第二絕緣虛設結構IDGS2。在此種情形中,第二絕緣虛設結構IDGS2的虛設絕緣閘極225可與第二下部主動圖案BP2及第五下部主動圖案BP5相交。第二絕緣虛設結構IDGS2的虛設絕緣閘極225可包繞第二片材圖案UP2及第五片材圖案UP5。
圖25是根據一些實例的半導體裝置的圖。為便於闡釋,將主要闡述與使用圖20至圖24闡釋的半導體裝置的不同之處。
參照圖25,在根據一些實施例的半導體裝置中,源極/汲極接觸件170中的一些源極/汲極接觸件170可插置於第一源極/汲極圖案150_1、150_2、第二源極/汲極圖案250_1、250_2、250_3、第三源極/汲極圖案350、第四源極/汲極圖案450_1、450_2、第五源極/汲極圖案550_1、550_2、550_3及第六源極/汲極圖案650中。
源極/汲極接觸件170的底表面可位於例如在第三方向D3上堆疊的多個第一片材圖案UP1中的置於最下部分處的第一片材圖案的上表面與置於最上部分處的第一片材圖案的下表面之間。
圖26是根據一些實例的半導體裝置的佈局圖。為便於闡釋,將主要闡述與使用圖1至圖6闡釋的半導體裝置的不同之處。
參照圖26,在根據一些實施例的半導體裝置中,第一元件分隔結構DB1可包括第四元件分隔圖案DB14及第五元件分隔圖案DB15。
第五元件分隔圖案DB15可位於第一虛設閘極結構DGS1與第二虛設閘極結構DGS2之間。在實施方案中,第四元件分隔圖案DB14可位於第一虛設閘極結構DGS1與第一_1正常閘極結構GS1_1之間。在實施方案中,第一元件分隔結構DB1的第四元件分隔圖案DB14可在第二方向D2上與第一主動圖案AP1交疊。
與第四主動圖案AP4相交的第一_1正常閘極結構GS1_1的一部分可包括如圖3中所示的虛設絕緣閘極225,而非正常導電閘極(圖3所示120)。
圖27至圖33示出根據本揭露一些實施例的製造半導體裝置的方法中的各個階段。圖27至圖33是沿著圖1所示線B-B截取的剖視圖中所示的圖。
參照圖27,可在第一預主動圖案PAP上形成多個預閘極結構GS、第一虛設閘極結構DGS1及第二虛設閘極結構DGS2。可在第一預主動圖案PAP上形成多個預源極/汲極圖案150p。
可在所述多個預源極/汲極圖案150p上形成蝕刻停止膜155及第一層間絕緣膜191。
預閘極結構GS可包括正常導電閘極120、正常閘極絕緣膜130、正常閘極間隔件140及正常閘極頂蓋圖案145。
可在預閘極結構GS、第一虛設閘極結構DGS1及第二虛設閘極結構DGS2上形成第一預層間絕緣膜192a及第一硬罩幕HM1。
參照圖28,可使用第一硬罩幕HM1移除正常導電閘極120、正常閘極絕緣膜130及正常閘極頂蓋圖案145。另外,可使用第一硬罩幕HM1在第一預主動圖案PAP中形成第二元件分隔溝槽DB2_T。
第一預主動圖案PAP可由第二元件分隔溝槽DB2_T分隔成第二預主動圖案PAP1及第六主動圖案AP6。
參照圖29,可形成對第二元件分隔溝槽DB2_T進行填充的第二元件分隔結構DB2。
隨後,可在預閘極結構GS、第一虛設閘極結構DGS1、第二虛設閘極結構DGS2及第二元件分隔結構DB2上形成第二預層間絕緣膜192b及第二硬罩幕HM2。
參照圖30,可使用第二硬罩幕HM2移除第一虛設閘極結構DGS1與第二虛設閘極結構DGS2之間的第一層間絕緣膜191。此外,可使用第二硬罩幕HM2在第二預主動圖案PAP1中形成第一元件分隔溝槽DB1_T。
在形成第一元件分隔溝槽DB1_T的同時,可移除第一虛設閘極結構DGS1及第二虛設閘極結構DGS2中或第一虛設閘極結構DGS1與第二虛設閘極結構DGS2之間的預源極/汲極圖案150p的至少一部分。
第二預主動圖案PAP1可被第二元件分隔溝槽DB1_T分隔成第四主動圖案AP4及第五主動圖案AP5。
在實施方案中,可同時形成第一元件分隔溝槽DB1_T與第二元件分隔溝槽DB2_T。
參照圖31,可形成對第一元件分隔溝槽DB1_T進行填充的第一元件分隔結構DB1。
隨後,可在閘極結構GS1至GS3、第一虛設閘極結構DGS1、第二虛設閘極結構DGS2、第一元件分隔結構DB1及第二元件分隔結構DB2上形成第三預層間絕緣膜192c及第三硬罩幕HM3。
參照圖32,可使用第三硬罩幕HM3移除第一虛設閘極結構DGS1的虛設閘極絕緣膜230及虛設導電閘極220。可移除第一虛設閘極結構DGS1的虛設閘極絕緣膜230及虛設導電閘極220,以形成絕緣閘極溝槽IG_T。
參照圖33,可形成對絕緣閘極溝槽IG_T進行填充的虛設絕緣閘極225。
因此,可在第四主動圖案AP4上形成包括虛設絕緣閘極225、虛設閘極間隔件240及虛設閘極頂蓋圖案245的第一絕緣虛設結構IDGS1。
隨後,可在虛設絕緣閘極225、第一元件分隔結構DB1及第二元件分隔結構DB2上形成第二層間絕緣膜192。
綜上所述,多閘極電晶體可利用三維通道,且可輕易地執行按比例縮放。此外,即使多閘極電晶體的閘極長度不增加,亦可改善電流控制能力。此外,可有效地抑制其中通道區的電位受汲極電壓影響的短通道效應(short channel effect,SCE)。
可藉由提供一種其中元件的積體度得到增加且可靠性及效能得到改善的半導體裝置來達成所述實施例。
本文中已揭露各種示例性實施例,且儘管採用特定用語,然而該些用語僅用於並被解釋為具有通常意義及闡述性意義,而並非用於限制目的。在一些情況下,除非另外明確地指明,否則在本申請案提出申請之前對於此項技術中具有通常知識者將顯而易見的是,結合具體實施例闡述的特徵、特性及/或元件可單獨使用或與結合其他實施例闡述的特徵、特性及/或元件組合使用。因此,熟習此項技術者應理解,在不背離以下申請專利範圍中所述的本發明的精神及範圍的條件下,可進行形式及細節上的各種改變。
100:基底 105:場絕緣膜 120:正常導電閘極 130:正常閘極絕緣膜 140:正常閘極間隔件 145:正常閘極頂蓋圖案 150_1、150_2:第一源極/汲極圖案 150p:預源極/汲極圖案 155:蝕刻停止膜 170:源極/汲極接觸件 191:層間絕緣膜/第一層間絕緣膜 192:層間絕緣膜/第二層間絕緣膜 192a:第一預層間絕緣膜 192b:第二預層間絕緣膜 192c:第三預層間絕緣膜 193:層間絕緣膜/第三層間絕緣膜 195:配線結構 196:通孔 197:配線圖案 220:虛設導電閘極 225:虛設絕緣閘極 225a:下部絕緣圖案 225b:上部絕緣圖案 225_U、245_U、DB1_U、DB2_U:上表面 230:虛設閘極絕緣膜 240:虛設閘極間隔件 245:虛設閘極頂蓋圖案 250_1、250_2、250_3:第二源極/汲極圖案 350:第三源極/汲極圖案 450_1、450_2:第四源極/汲極圖案 550_1、550_2、550_3:第五源極/汲極圖案 650:第六源極/汲極圖案 A-A、B-B、C-C、D-D、E-E:線 AP1:第一主動圖案 AP2:第二主動圖案 AP3:第三主動圖案 AP4:第四主動圖案 AP5:第五主動圖案 AP6:第六主動圖案 BP1:第一下部主動圖案 BP2:第二下部主動圖案 BP3:第三下部主動圖案 BP4:第四下部主動圖案 BP5:第五下部主動圖案 BP6:第六下部主動圖案 CDGS1:第一導電虛設結構 CDGS2:第二導電虛設結構 d1、d2、d3、d4:深度 D1:第一方向 D2:第二方向 D3:第三方向 DAP:虛設主動圖案 DB1:第一元件分隔結構 DB2:第二元件分隔結構 DB11:元件分隔圖案/第一元件分隔圖案 DB12:元件分隔圖案/第二元件分隔圖案 DB13:元件分隔圖案/第三元件分隔圖案 DB14:第四元件分隔圖案 DB15:第五元件分隔圖案 DB1_T:第一元件分隔溝槽 DB2_T:第二元件分隔溝槽 DGS1:第一虛設閘極結構/閘極結構/虛設閘極結構 DGS2:第二虛設閘極結構/閘極結構/虛設閘極結構 DGS3:第三虛設閘極結構/閘極結構/虛設閘極結構 DGS4:第四虛設閘極結構 DGS5:第五虛設閘極結構 DPF:虛設突起圖案 DT:深溝槽 EP_R:源極/汲極圖案 FC_T:鰭切割溝槽 FN_T:鰭溝槽 GP :閘極節距 GCS:閘極切割結構 GS:預閘極結構 GS1:第一正常閘極結構/閘極結構/正常閘極結構 GS1_1:正常閘極結構/第一_1正常閘極結構 GS2:第二正常閘極結構/閘極結構/正常閘極結構 GS2_1:正常閘極結構/第二_1正常閘極結構 GS3:第三正常閘極結構/閘極結構/正常閘極結構 GW :閘極寬度 HM1:第一硬罩幕 HM2:第二硬罩幕 HM3:第三硬罩幕 IDGS1:第一絕緣虛設結構 IDGS2:第二絕緣虛設結構 IG_T:絕緣閘極溝槽 L:間隔距離 PAP:第一預主動圖案 PAP1:第二預主動圖案 RF:餘留鰭 RN :第一主動區 RP :第二主動區 UP1:第一片材圖案 UP2:第二片材圖案 UP3:第三片材圖案 UP4:第四片材圖案 UP5:第五片材圖案 UP6:第六片材圖案 UP_R:片材圖案片段 W11、W12:寬度
藉由參照附圖詳細闡述示例性實施例,各種特徵對於熟習此項技術者而言將顯而易見,在附圖中:
圖1至圖6示出根據本揭露一些實施例的半導體裝置。
圖7及圖8示出根據本揭露一些實施例的半導體裝置。
圖9及圖10示出根據本揭露一些實施例的半導體裝置。
圖11及圖12示出根據本揭露一些實施例的半導體裝置。
圖13至圖16示出根據本揭露一些實施例的半導體裝置。
圖17至圖19示出根據本揭露一些實施例的半導體裝置。
圖20至圖24示出根據本揭露一些實施例的半導體裝置。
圖25示出根據本揭露一些實施例的半導體裝置。
圖26示出根據本揭露一些實施例的半導體裝置的佈局圖。
圖27至圖33示出根據本揭露一些實施例的製造半導體裝置的方法中的各個階段。
100:基底
120:正常導電閘極
130:正常閘極絕緣膜
140:正常閘極間隔件
145:正常閘極頂蓋圖案
155:蝕刻停止膜
170:源極/汲極接觸件
191:層間絕緣膜/第一層間絕緣膜
192:層間絕緣膜/第二層間絕緣膜
193:層間絕緣膜/第三層間絕緣膜
195:配線結構
196:通孔
197:配線圖案
225:虛設絕緣閘極
225_U、DB1_U、DB2_U:上表面
240:虛設閘極間隔件
245:虛設閘極頂蓋圖案
450_1、450_2:第四源極/汲極圖案
550_1、550_2、550_3:第五源極/汲極圖案
650:第六源極/汲極圖案
AP4:第四主動圖案
AP5:第五主動圖案
AP6:第六主動圖案
B-B:線
CDGS2:第二導電虛設結構
d1、d2、d3、d4:深度
D1:第一方向
D3:第三方向
DB1:第一元件分隔結構
DB2:第二元件分隔結構
DB1_T:第一元件分隔溝槽
DB2_T:第二元件分隔溝槽
DGS1:第一虛設閘極結構/閘極結構/虛設閘極結構
DGS2:第二虛設閘極結構/閘極結構/虛設閘極結構
EP_R:源極/汲極圖案
GP :閘極節距
GS1:第一正常閘極結構/閘極結構/正常閘極結構
GS1_1:正常閘極結構/第一_1正常閘極結構
GS2:第二正常閘極結構/閘極結構/正常閘極結構
GS2_1:正常閘極結構/第二_1正常閘極結構
GS3:第三正常閘極結構/閘極結構/正常閘極結構
GW :閘極寬度
IDGS1:第一絕緣虛設結構
L:間隔距離
W12:寬度

Claims (20)

  1. 一種半導體裝置,包括: 基底,包括N型金屬氧化物半導體形成區及P型金屬氧化物半導體形成區; 場絕緣膜,位於所述基底上; 第一主動圖案及第二主動圖案,位於所述N型金屬氧化物半導體形成區上、藉由所述場絕緣膜在第一方向上彼此間隔開且各自在所述第一方向上延伸; 第三主動圖案及第四主動圖案,位於所述P型金屬氧化物半導體形成區上且各自在所述第一方向上延伸,所述第三主動圖案在與所述第一方向相交的第二方向上與所述第一主動圖案間隔開; 第一虛設閘極結構,位於所述第一主動圖案及所述第三主動圖案上且在所述第二方向上延伸; 第二虛設閘極結構,位於所述第二主動圖案及所述第四主動圖案上且在所述第二方向上延伸; 正常閘極結構,在所述第三主動圖案上在所述第二方向上延伸; 第一源極/汲極圖案,位於所述第三主動圖案上以及所述正常閘極結構與所述第一虛設閘極結構之間;以及 第一元件分隔結構,位於所述第一虛設閘極結構與所述第二虛設閘極結構之間且將所述第三主動圖案與所述第四主動圖案隔開, 其中所述第一虛設閘極結構包括與所述第三主動圖案相交的第一虛設絕緣閘極。
  2. 如請求項1所述的半導體裝置,其中所述第一虛設絕緣閘極與所述第一主動圖案相交。
  3. 如請求項1所述的半導體裝置,其中: 所述第一虛設閘極結構包括與所述第一主動圖案相交的第一虛設導電閘極,且 所述第一虛設絕緣閘極接觸所述第一虛設導電閘極。
  4. 如請求項1所述的半導體裝置,其中所述第二虛設閘極結構包括與所述第二主動圖案及所述第四主動圖案相交的第二虛設導電閘極。
  5. 如請求項1所述的半導體裝置,其中所述第二虛設閘極結構包括與所述第二主動圖案及所述第四主動圖案相交的第二虛設絕緣閘極。
  6. 如請求項1所述的半導體裝置,更包括第三虛設閘極結構,所述第三虛設閘極結構位於所述第一虛設閘極結構與所述第二虛設閘極結構之間, 其中: 所述第三虛設閘極結構穿過所述第一主動圖案與所述第二主動圖案之間以及所述第三主動圖案與所述第四主動圖案之間,且 所述第一元件分隔結構包括: 第一子元件分隔圖案,位於所述第一虛設閘極結構與所述第三虛設閘極結構之間,以及 第二子元件分隔圖案,位於所述第三虛設閘極結構與所述第二虛設閘極結構之間。
  7. 如請求項1所述的半導體裝置,其中所述第一虛設絕緣閘極接觸所述第三主動圖案。
  8. 如請求項1所述的半導體裝置,其中: 所述正常閘極結構包括: 閘極絕緣膜,包含高介電常數絕緣材料,以及 導電閘極,位於所述閘極絕緣膜上, 所述第一虛設絕緣閘極包括: 絕緣襯墊,以及 填充絕緣圖案,填充由所述絕緣襯墊界定的空間,且 所述絕緣襯墊包含與所述閘極絕緣膜相同的材料。
  9. 如請求項1所述的半導體裝置,其中所述第一虛設絕緣閘極覆蓋所述第三主動圖案,所述第三主動圖案自所述場絕緣膜的上表面向上突出。
  10. 如請求項1所述的半導體裝置,其中: 所述第一虛設閘極結構包繞所述第一主動圖案的端部,且 所述第二虛設閘極結構包繞所述第二主動圖案的端部。
  11. 一種半導體裝置,包括: 第一主動圖案、第二主動圖案及第三主動圖案,彼此間隔開且排列於水平方向上; 第一元件分隔結構,將所述第一主動圖案與所述第二主動圖案隔開; 第二元件分隔結構,將所述第二主動圖案與所述第三主動圖案隔開; 至少一個第一導電閘極,位於所述第一主動圖案上; 至少一個第二導電閘極,位於所述第二主動圖案上; 第一源極/汲極圖案,在所述至少一個第一導電閘極的一側處位於所述第一主動圖案上;以及 第二源極/汲極圖案,在所述至少一個第二導電閘極的一側處位於所述第二主動圖案上, 其中: 所述至少一個第一導電閘極中的一個第一導電閘極在所述水平方向上最靠近所述第一元件分隔結構, 所述至少一個第一導電閘極中的所述一個第一導電閘極的寬度中心與所述第一元件分隔結構的寬度中心之間在所述水平方向上的距離大於閘極節距,且 所述第一元件分隔結構的上表面在垂直方向上距所述第一主動圖案的上表面的高度相同於或高於所述至少一個第一導電閘極的上表面在所述垂直方向上距所述第一主動圖案的所述上表面的高度。
  12. 如請求項11所述的半導體裝置,更包括第一虛設絕緣閘極,所述第一虛設絕緣閘極在所述第一元件分隔結構與所述一個第一導電閘極之間位於所述第一主動圖案的所述上表面上。
  13. 如請求項12所述的半導體裝置,更包括第二虛設絕緣閘極,所述第二虛設絕緣閘極位於所述第二主動圖案的上表面上, 其中: 所述至少一個第二導電閘極中的一個第二導電閘極在所述水平方向上最靠近所述第一元件分隔結構,且 所述第二虛設絕緣閘極位於所述第一元件分隔結構與所述一個第二導電閘極之間。
  14. 如請求項11所述的半導體裝置,其中: 所述第一元件分隔結構包括位於所述第一主動圖案與所述第二主動圖案之間的第一子元件分隔圖案及第二子元件分隔圖案,且 虛設主動圖案位於所述第一子元件分隔圖案與所述第二子元件分隔圖案之間。
  15. 如請求項14所述的半導體裝置,更包括虛設導電閘極,所述虛設導電閘極位於所述虛設主動圖案的上表面上。
  16. 如請求項11所述的半導體裝置,其中自所述第二主動圖案的上表面至所述第二元件分隔結構的最下部分在所述垂直方向上的深度淺於自所述第二主動圖案的所述上表面至所述第一元件分隔結構的最下部分在所述垂直方向上的深度。
  17. 一種半導體裝置,包括: 場絕緣膜; 第一下部主動圖案與第二下部主動圖案,藉由所述場絕緣膜在第一方向上彼此間隔開,所述第一下部主動圖案及所述第二下部主動圖案中的每一者在所述第一方向上延伸; 第一片材圖案,在所述第一下部主動圖案上與所述第一下部主動圖案間隔開; 第二片材圖案,在所述第二下部主動圖案上與所述第二下部主動圖案間隔開; 第三下部主動圖案,在與所述第一方向相交的第二方向上與所述第一下部主動圖案間隔開,所述第三下部主動圖案在所述第一方向上延伸; 第四下部主動圖案,在所述第一方向上與所述第三下部主動圖案間隔開且在所述第一方向上延伸; 第三片材圖案,在所述第三下部主動圖案上與所述第三下部主動圖案間隔開; 第四片材圖案,在所述第四下部主動圖案上與所述第四下部主動圖案間隔開; 第一虛設閘極結構,位於所述第一下部主動圖案及所述第三下部主動圖案上且在所述第二方向上延伸; 第二虛設閘極結構,位於所述第二下部主動圖案及所述第四下部主動圖案上且在所述第二方向上延伸;以及 第一元件分隔結構,位於所述第一虛設閘極結構與所述第二虛設閘極結構之間,所述第一元件分隔結構將所述第三下部主動圖案與所述第四下部主動圖案隔開, 其中: 所述第一虛設閘極結構包括與所述第三下部主動圖案相交的第一虛設絕緣閘極,且 所述第一虛設絕緣閘極包繞所述第三片材圖案。
  18. 如請求項17所述的半導體裝置,其中所述第一虛設絕緣閘極與所述第一下部主動圖案相交且包繞所述第一片材圖案。
  19. 如請求項17所述的半導體裝置,其中: 所述第二虛設閘極結構包括與所述第二下部主動圖案及所述第四下部主動圖案相交的第二虛設導電閘極,且 所述第二虛設導電閘極包繞所述第二片材圖案及所述第四片材圖案。
  20. 如請求項17所述的半導體裝置,其中: 所述第二虛設閘極結構包括與所述第二下部主動圖案及所述第四下部主動圖案相交的第二虛設絕緣閘極,且 所述第二虛設絕緣閘極包繞所述第二片材圖案及所述第四片材圖案。
TW110110309A 2020-04-20 2021-03-23 半導體裝置 TW202141800A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2020-0047173 2020-04-20
KR1020200047173A KR20210129346A (ko) 2020-04-20 2020-04-20 반도체 장치

Publications (1)

Publication Number Publication Date
TW202141800A true TW202141800A (zh) 2021-11-01

Family

ID=78080961

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110110309A TW202141800A (zh) 2020-04-20 2021-03-23 半導體裝置

Country Status (3)

Country Link
US (2) US11222894B2 (zh)
KR (1) KR20210129346A (zh)
TW (1) TW202141800A (zh)

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6902987B1 (en) 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US6784018B2 (en) 2001-08-29 2004-08-31 Micron Technology, Inc. Method of forming chalcogenide comprising devices and method of forming a programmable memory cell of memory circuitry
US7094369B2 (en) 2002-03-29 2006-08-22 Scimed Life Systems, Inc. Processes for manufacturing polymeric microspheres
US6722434B2 (en) 2002-05-31 2004-04-20 Halliburton Energy Services, Inc. Methods of generating gas in well treating fluids
US9379106B2 (en) * 2013-08-22 2016-06-28 Samsung Electronics Co., Ltd. Semiconductor devices having 3D channels, and methods of fabricating semiconductor devices having 3D channels
US9406676B2 (en) 2014-12-29 2016-08-02 Globalfoundries Inc. Method for forming single diffusion breaks between finFET devices and the resulting devices
US9368496B1 (en) * 2015-01-30 2016-06-14 Globalfoundries Inc. Method for uniform recess depth and fill in single diffusion break for fin-type process and resulting devices
KR102259917B1 (ko) * 2015-02-23 2021-06-03 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9412616B1 (en) * 2015-11-16 2016-08-09 Globalfoundries Inc. Methods of forming single and double diffusion breaks on integrated circuit products comprised of FinFET devices and the resulting products
KR102481427B1 (ko) * 2016-01-13 2022-12-27 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9831272B2 (en) 2016-03-31 2017-11-28 Qualcomm Incorporated Metal oxide semiconductor cell device architecture with mixed diffusion break isolation trenches
KR102457130B1 (ko) * 2016-05-17 2022-10-24 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10134734B2 (en) 2016-06-30 2018-11-20 Qualcomm Incorporated Fin field effect transistor (FET) (FinFET) complementary metal oxide semiconductor (CMOS) circuits employing single and double diffusion breaks for increased performance
KR102390096B1 (ko) 2018-02-28 2022-04-26 삼성전자주식회사 반도체 소자
KR102479996B1 (ko) 2018-05-17 2022-12-20 삼성전자주식회사 반도체 장치

Also Published As

Publication number Publication date
US20210327876A1 (en) 2021-10-21
KR20210129346A (ko) 2021-10-28
US20220130827A1 (en) 2022-04-28
US11222894B2 (en) 2022-01-11
US11569237B2 (en) 2023-01-31

Similar Documents

Publication Publication Date Title
KR102557549B1 (ko) 반도체 장치 및 그 제조 방법
KR20220130352A (ko) 반도체 장치
KR20220101377A (ko) 반도체 장치 및 이의 제조 방법
KR20220086217A (ko) 반도체 장치
US20220406939A1 (en) Semiconductor devices
TW202243264A (zh) 半導體裝置
TW202203074A (zh) 包含元件分離結構的半導體裝置
TW202230785A (zh) 半導體裝置
TW202211334A (zh) 半導體元件及製造其的方法
KR20220124426A (ko) 반도체 장치 및 이의 제조 방법
US20240038841A1 (en) Semiconductor device and method for fabricating the same
KR20220034337A (ko) 반도체 장치
US11626501B2 (en) Semiconductor device and method for fabricating the same
TW202232766A (zh) 半導體裝置
KR20220134891A (ko) 반도체 장치
TW202141800A (zh) 半導體裝置
US20240120393A1 (en) Semiconductor device
US20230326964A1 (en) Semiconductor devices and methods for fabricating the same
US20240128332A1 (en) Semiconductor devices
US20230395667A1 (en) Semiconductor device
US20240162120A1 (en) Semiconductor device
EP4141957A1 (en) Semiconductor device
EP4177956A2 (en) Semiconductor device
TW202403901A (zh) 半導體裝置
KR20240055475A (ko) 반도체 장치