TW202121548A - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TW202121548A
TW202121548A TW109136840A TW109136840A TW202121548A TW 202121548 A TW202121548 A TW 202121548A TW 109136840 A TW109136840 A TW 109136840A TW 109136840 A TW109136840 A TW 109136840A TW 202121548 A TW202121548 A TW 202121548A
Authority
TW
Taiwan
Prior art keywords
gate
filling material
gate electrode
fin
forming
Prior art date
Application number
TW109136840A
Other languages
English (en)
Other versions
TWI755110B (zh
Inventor
林士堯
高魁佑
林志翰
張銘慶
陳昭成
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202121548A publication Critical patent/TW202121548A/zh
Application granted granted Critical
Publication of TWI755110B publication Critical patent/TWI755110B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7856Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with an non-uniform gate, e.g. varying doping structure, shape or composition on different sides of the fin, or different gate insulator thickness or composition on opposing fin sides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一種半導體裝置的形成方法,包括:形成鰭片突出於半導體基板之上;形成複數個隔離區域於鰭片的相對兩側上;形成虛置閘極電極於鰭片之上;移除靠近複數個隔離區域的虛置閘極電極的複數個下部分的厚度,其中在移除複數個下部分之後,在虛置閘極電極與複數個隔離區域之間存在空隙,且虛置閘極電極的下表面面對複數個隔離區域;使用閘極填充材料填充空隙;在填充空隙之後,沿著虛置閘極電極的側壁並且沿著閘極填充材料的側壁形成複數個閘極間隔物;以及將虛置閘極電極的及閘極填充材料替換為金屬閘極。

Description

半導體裝置及其形成方法
本發明實施例係有關於一種半導體裝置,且特別係有關於一種具有鰭式場效電晶體的半導體裝置及其形成方法。
由於各種電子組件(例如,電晶體、二極體、電阻、電容等)的積體密度持續提高,半導體產業經歷了快速的成長。積體密度的提高最主要是來自最小部件尺寸的持續降低,這允許將更多的部件集積到特定區域中。
鰭式場效電晶體(Fin Field-Effect Transistor, FinFET)裝置普遍使用於積體電路中。鰭式場效電晶體裝置具有三維結構,此三維結構包括從基板突出的半導體鰭片。閘極結構環繞半導體鰭片,其中閘極結構被配置為控制鰭式場效電晶體裝置的導電通道內的電荷載子的流動。例如,在三閘極鰭式場效電晶體(tri-gate FinFET)裝置中,閘極結構環繞半導體鰭片的三個側面,而在半導體鰭片的三個側面上形成導電通道。
本揭露之一實施例揭示一種半導體裝置的形成方法,包括:形成鰭片突出於半導體基板之上;形成複數個隔離區域於鰭片的相對兩側上;形成虛置閘極電極於鰭片之上;移除靠近複數個隔離區域的虛置閘極電極的複數個下部分的厚度,其中在移除複數個下部分之後,在虛置閘極電極與複數個隔離區域之間存在空隙,且虛置閘極電極的下表面面對複數個隔離區域;使用閘極填充材料填充空隙;在填充空隙之後,沿著虛置閘極電極的側壁並且沿著閘極填充材料的側壁形成複數個閘極間隔物;以及將虛置閘極電極的及閘極填充材料替換為金屬閘極。
本揭露之一實施例揭示一種半導體裝置的形成方法,包括:形成虛置閘極電極於鰭片之上,其中鰭片突出於半導體基板之上且介於複數個隔離區域之間;藉由移除虛置閘極電極的下部分,以減小虛置閘極電極的高度,其中在減小高度之後,在虛置閘極電極與複數個隔離區域之間存在空隙;形成閘極填充材料於在該虛置閘極電極下方的空隙中;形成複數個閘極間隔物於虛置閘極電極的相對兩側上及閘極填充材料的相對兩側上;在形成複數個閘極間隔物之後,移除虛置閘極電極且移除至少閘極填充材料的部分,以形成開口於複數個閘極間隔物之間;以及形成金屬閘極於開口中。
本揭露之一實施例揭示一種半導體裝置,包括:鰭片,突出於半導體基板之上;複數個隔離區域,位於鰭片的相對兩側上;閘極結構,位於鰭片之上;複數個閘極間隔物,沿著閘極結構的複數個側壁延伸;以及閘極填充材料,位於閘極結構與複數個閘極間隔物之間,其中隨著閘極填充材料朝向複數個隔離區域延伸,面向閘極結構的極填充材料的相對兩側的複數個下側壁之間的一距離減小。
以下揭露提供了許多的實施例或範例,用於實施所提供的標的物之不同元件。各元件和其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成在第一和第二元件之間,使得它們不直接接觸的實施例。
再者,其中可能用到與空間相對用詞,例如「在……之下」、「下方」、「較低的」、「上方」、「較高的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。
本揭露的實施例是在形成鰭式場效電晶體裝置的內容中,特別是,在形成用於鰭式場效電晶體裝置的替換閘極(例如,金屬閘極)的上下文中進行討論。
在一些實施例中,虛置閘極電極形成在鰭片上方,且此鰭片設置在隔離區域之間。之後,移除此虛置閘極電極的下部分,以形成空隙於虛置閘極電極與隔離區域之間。接著,形成閘極填充材料以填充此空隙,並且沿著虛置閘極電極的側壁且沿著閘極填充材料的側壁形成間隔物。在形成閘極間隔物之後,移除虛置閘極電極與至少一部分的閘極填充材料,以在閘極間隔物之間形成開口,並且在此開口中形成金屬閘極結構。
第1圖是鰭式場效電晶體30的一個示範例的立體示意圖。鰭式場效電晶體30包括基板50以及突出於基板50之上的鰭片64。隔離區域62形成在鰭片64的相對兩側上,且鰭片64突出於隔離區域62之上。閘極介電質66沿著鰭片64的側壁且位於鰭片64的頂表面之上,且閘極電極68位於閘極介電質66之上。源極/汲極區域80位於鰭片64中且位於閘極介電質66與閘極電極68的相對兩側。第1圖進一步繪示出後續的圖式中所使用的參考剖面。剖面B-B沿著鰭式場效電晶體30的閘極電極68的縱軸延伸。剖面A-A垂直於剖面B-B,且沿著鰭片64的縱軸,並且在,例如,鰭式場效電晶體的源極/汲極區域80之間的電流流動的方向上。剖面C-C平行於剖面B-B,且穿過源極/汲極區域80。剖面D-D平行於剖面A-A,且位於鰭片64之外(例如,位於兩個相鄰的鰭片64之間)。為了清楚起見,後續的圖式將參考這些參考剖面。
第2圖、第3圖、第4圖、第5A圖、第5B圖、第6圖、第7A圖、第7B圖、第7C圖、第7D圖、第7E圖、第7F圖、第8A圖、第8B圖、第9A圖、第9B圖、第10A圖、第10B圖、第11A圖、第11B圖、第12A圖、第12B圖、第13A圖、第13B圖、第14A圖、第14B圖、第15A圖、第15B圖及第15C圖是依據一些實施例之鰭式場效電晶體裝置100在製造過程的各個階段的各種視圖(例如,剖面示意圖、立體示意圖或上視示意圖)。鰭式場效電晶體裝置100相似於第1圖中的鰭式場效電晶體30,但是其具有多個鰭片及多個閘極結構。在本文的整個討論中,具有相同數字但不同字母的圖式(例如,第5A圖與第5B圖),是指在相同製程階段的鰭式場效電晶體裝置的不同視圖。第2圖、第3圖、第4圖及第5A圖是沿著參考剖面B-B所繪示的鰭式場效電晶體裝置100的剖面示意圖。第5B圖、第6圖及第7A圖是沿著參考剖面D-D所繪示的鰭式場效電晶體裝置100的剖面示意圖。第7B圖及第7C圖分別是立體示意圖及沿著參考剖面B-B所繪示的剖面示意圖。第7D圖、第7E圖及第7F圖分別是沿著參考剖面A-A、參考剖面C-C所繪示的鰭式場效電晶體裝置100的剖面示意圖及鰭式場效電晶體裝置100的上視示意圖。第8A圖、第9A圖、第10A圖、第11A圖、第12A圖、第13A圖、第14A圖、及第15A圖是沿著參考剖面D-D所繪示的鰭式場效電晶體裝置100的剖面示意圖,第8B圖、第9B圖、第10B圖、第11B圖、第12B圖、第13B圖、第14B圖及第15B圖是沿著參考剖面A-A所繪示的鰭式場效電晶體裝置100的剖面示意圖。第15C圖是第15A圖的一部分的放大圖。
第2圖繪示出基板50的剖面示意圖。基板50可以是半導體基板,例如,塊體(bulk)半導體、絕緣體上覆半導體(semiconductor-on-insulator, SOI)基板或其他類似物,其可以被摻雜(例如,用p型或n型摻質摻雜)或未摻雜。基板50可以是晶圓,例如,矽晶圓。通常,絕緣體上覆半導體基板包括形成在絕緣體層上的一層半導體材料。絕緣體層可以是,例如,埋藏氧化物(buried oxide, BOX)層、氧化矽層或其他類似物。絕緣層設置在基板上,且此基板通常是矽或玻璃基板。也可使用其他基板,例如,多層(multi-layered)或漸變(gradient)基板。在一些實施例中,基板50的半導體材料可包括矽;鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦(indium antimonide);合金半導體,包括矽鍺(SiGe)、磷砷化鎵(GaAsP)、砷化銦鋁(AlInAs)、砷化鎵鋁(AlGaAs)、砷化銦鎵(GaInAs)、磷化銦鎵(GaInP)及/或磷砷化銦鎵(GaInAsP);或上述之組合。
請參照第3圖,使用例如光微影(photolithography)及蝕刻技術對第2圖所繪示的基板50進行圖案化。舉例而言,在基板50上方形成罩幕層,例如,墊氧化物層52及覆蓋於其上方的墊氮化物層56。墊氧化物層52可以是一層薄膜,其包括,例如,使用熱氧製程所形成的氧化矽。墊氧化物層52可作為基板50與其上方的墊氮化物層56之間的黏著層。在一些實施例中,墊氮化物層56由氮化矽、氮氧化矽、碳氮化矽(silicon carbonitride)、其他類似物或上述之組合所形成。可藉由,例如,使用低壓化學氣相沉積(low-pressure chemical vapor deposition, LPCVD)或電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition, PECVD)形成墊氮化物層56。
可以使用光微影技術將罩幕層圖案化。通常,光微影技術利用光阻材料(未繪示),此光阻材料被沉積、照射(曝光)並顯影,以移除此光阻材料的一部分。剩餘的光阻材料保護位於其下方的材料(例如,在本實施例中為罩幕層)免受後續製程步驟(例如,蝕刻)的影響。在本實施例中,光阻材料用於圖案化墊氧化物層52及墊氮化物層56,以形成經過圖案化的罩幕58,如第3圖所繪示。
之後,使用經過圖案化的罩幕58將基板50的暴露部分圖案化,以形成溝槽61,而在相鄰的溝槽61之間定義出半導體鰭片64 (例如,64A及64B),如第3圖所繪示。在一些實施例中,藉由使用蝕刻製程在基板50中蝕刻溝槽而形成半導體鰭片64,蝕刻製程可以是,例如,反應離子蝕刻(reactive ion etch, RIE)、中性粒子束蝕刻(neutral beam etch, NBE)、其他類似方法或上述之組合。蝕刻可以是非等向性的。在一些實施例中,溝槽61可以是彼此平行並且相對於彼此緊密間隔的條帶(從上方觀察)。在一些實施例中,溝槽61可以是連續的並且圍繞半導體鰭片64。在下文中,半導體鰭片64也可以被稱為鰭片64。作為非限制性的示範例,在第3圖中繪示出兩個鰭片64。其他數量的鰭片也是可能的,並且完全包括在本揭露的範圍內。
可藉由任何合適的方法將鰭片64圖案化。舉例而言,可使用一個或多個光微影製程將鰭片64圖案化,包括雙重圖案化(double-patterning)製程或多重圖案化(multi-patterning)製程。一般而言,雙重圖案化或多重圖案化製程結合了光微影製程及自對準製程(self-aligned process),以創造具有較小節距(pitch)的圖案,舉例而言,此圖案所具有的節距比使用單一直接光微影製程所能夠得到的節距更小。舉例而言,在一些實施例中,形成犧牲層於基板之上並使用光微影製程將其圖案化。使用自對準製程形成間隔物於經過圖案化的犧牲層旁。之後,移除犧牲層,並且可接著使用剩餘的間隔物或心軸(mandrels)將鰭片圖案化。
第4圖繪示出在相鄰的半導體鰭片64之間形成絕緣材料,以形成隔離區域62。絕緣材料可以是氧化物(例如,氧化矽)、氮化物、其他類似物或上述之組合,並且可藉由下列方法形成,包括高密度電漿化學氣相沉積(high density plasma chemical vapor deposition, HDP-CVD)、流動式化學氣相沉積(flowable chemical vapor deposition, FCVD) (例如,在遠距電漿系統中進行的基於CVD的材料沉積,以及後固化(post curing)而使其轉化為另一種材料,例如,氧化物)、其他類似方法或上述之組合。可使用其他絕緣材料及或其他形成製程。在所示的實施例中,絕緣材料是藉由流動式化學氣相沉積製程所形成的氧化矽。當形成絕緣材料後,即可進行退火製程。平坦化製程,例如,化學機械研磨(chemical mechanical polish),可移除任何多餘的絕緣材料,並且形成彼此共平面的隔離區域62的頂表面及半導體鰭片64的頂表面(未繪示)。經過圖案化的罩幕58 (參照第3圖)也可藉由平坦化製程移除。
在一些實施例中,在隔離區域62與基板50/半導體鰭片64之間的界面處,隔離區域62包括襯層,例如,襯層氧化物(未繪示)。在一些實施例中,形成襯層氧化物是用以減少基板50與隔離區域62之間的界面處的晶體缺陷。相似地,襯層氧化物也可以用以減少半導體鰭片64與隔離區域62之間的界面處的晶體缺陷。襯層氧化物(例如,氧化矽)可以是藉由基板50的表面層的熱氧化而形成的熱氧化物,但是也可以使用其他合適的方法而形成襯層氧化物。
接著,將隔離區域62凹陷化,以形成淺溝槽隔離(STI)區域62。將隔離區域62凹陷化,使得半導體鰭片64的上部分從相鄰的淺溝槽隔離區域62之間突出。此外,淺溝槽隔離區域62的頂表面可具有平坦表面(如圖式所繪示)、凸表面、凹表面(例如,碟形凹陷)或上述之組合。淺溝槽隔離區域62的頂表面可藉由適當的蝕刻而形成為平坦的、凸的及/或凹的。可使用可接受的蝕刻製程將淺溝槽隔離區域62凹陷化,例如,可對隔離區域62進行乾式蝕刻或使用稀氫氟酸(dHF)的濕式蝕刻。
第2圖至第4圖繪示出形成鰭片64的實施例,但是可以藉由各種不同的製程而形成鰭片。例如,基板50的頂部分可以由合適的材料代替,例如適合於後續將形成的半導體裝置的預期類型(例如,N型或P型)的磊晶材料。此後,對在頂部分上具有磊晶材料的基板50進行圖案化,以形成包括磊晶材料的半導體鰭片64。
作為另一示範例,可以形成介電層於基板的頂表面之上;可以蝕刻以形成穿過此介電層的溝槽;可以在此溝槽中磊晶生長同質磊晶結構(homoepitaxial structure);可以將介電層凹陷化,使得同質磊晶結構從介電層突出,以形成鰭片。
作為又一示範例,可以形成介電層於基板的頂表面之上;可以蝕刻以形成穿過此介電層的溝槽;可以使用不同於基板的材料,以在此溝槽中磊晶生長異質磊晶結構(heteroepitaxial structure);可以將介電層凹陷化,使得異質磊晶結構從介電層突出,以形成鰭片。
在一些實施例中,當成長磊晶材料或磊晶結構(例如,異質磊晶結構或同質磊晶結構)時,所成長的材料或結構可以在成長過程中被原位(in-situ)摻雜,如此可省略原位摻雜之前及之後的佈植,雖然原位摻雜和佈植摻雜亦可一起使用。再者,在N型金屬氧化物半導體(NMOS)區域中磊晶成長與在P型金屬氧化物半導體(PMOS)區域中的材料不同的材料,如此可能是有優點的。在各個實施例中,鰭片64可包括矽鍺(Six Ge1-x ,其中x可以在0至1的範圍內)、碳化矽、純的或實質上純的鍺、III-V族化合物半導體、II-VI化合物半導體或其他類似物。舉例而言,用以形成III-V化合物半導體的可用材料包括但不限於:砷化銦(InAs)、砷化鋁(AlAs)、砷化鎵(GaAs)、磷化銦(InP)、氮化鎵(GaN)、砷化鎵銦(InGaAs)、砷化鋁銦(InAlAs)、銻化鎵(GaSb)、銻化鋁(AlSb)、磷化鋁(AlP)、磷化鎵(GaP)或其他類似物。
第5A圖及第5B圖繪示出形成虛置閘極結構75於半導體鰭片64之上。在一些實施例中,虛置閘極結構75包括閘極介電質66及閘極電極68。可形成罩幕70於虛置閘極結構75之上。為了形成虛置閘極結構75,在半導體鰭片64之上形成介電層。此介電層可以是,例如,氧化矽、氮化矽、上述之多層結構或其他類似物,並且可藉由沉積或熱成長而形成。
在介電層之上形成閘極層,並且在閘極層之上形成罩幕層。可沉積閘極層於介電層之上,然後,例如,藉由化學機械研磨製程,將其平坦化。可沉積罩幕層於閘極層之上。閘極層可由,例如,多晶矽所形成,但是也可以使用其他材料。罩幕層可由,例如,氮化矽或其他類似物所形成。
在形成上述各層(例如,介電層、閘極層及罩幕層)之後,可使用可接受的光微影及蝕刻技術對罩幕層進行圖案化,以形成罩幕70。然後可以藉由可接受的蝕刻技術將罩幕70的圖案轉移到閘極層與介電層上,以分別形成閘極電極68與閘極介電質66。閘極電極68與閘極介電質66覆蓋半導體鰭片64的相應的通道區域。閘極電極68可以具有實質上垂直於相應的半導體鰭片64的長度方向的長度方向。
在第5A圖的示範例中,閘極介電質66被繪示為形成(例如,藉由鰭片64的材料的熱氧化)於鰭片64之上(例如,在鰭片64的頂表面及側壁之上),而非形成於淺溝槽隔離區域62之上。在其他實施例中,閘極介電質66可以形成(例如,沉積)在鰭片64之上及淺溝槽隔離區域62之上。舉例而言,閘極介電質66可以從鰭片64A連續地延伸至鰭片64B。這些變化和其他變化完全包括在本揭露的範圍內。第5B圖繪示出沿著參考剖面D-D的相應的剖面示意圖。作為非限制性的示範例,在第5B圖中繪示出兩個虛置閘極結構75。其他數量的虛置閘極結構也是可能的,並且完全包括在本揭露的範圍內。
第6圖及第7A圖到第7F圖繪示出藉由移除靠近隔離區域62的虛置閘極結構75的下部分,以形成懸掛的虛置閘極結構(hanging dummy gate structure)。在第6圖中,形成保護層71於罩幕70之上及閘極電極68的上部分之上,且保護層71暴露出閘極電極68的下部分。保護層71由與閘極電極68的材料不同的材料所形成,使得在後續的蝕刻製程中,保護層71防止或減少位於其下方的層(例如,閘極電極68的上部分)的蝕刻。保護層71可以是介電層,例如,氧化矽層或氮化矽層,並且可藉由合適的沉積製程而形成,例如,電漿輔助化學氣相沉積或原子層沉積(atomic layer deposition, ALD),雖然也可以使用其他合適的材料作為保護層71,例如,碳系塗層(carbon-based coating)。在理解可以將任何合適的材料用於形成保護層71的情況下,下文中的討論可以將保護層71稱為介電層71。
第6圖進一步以虛線繪示出鰭片64,因為鰭片64不在第6圖的剖面中。在第6圖的示範例中,介電層71形成在閘極電極68的上部分之上,閘極電極68的上部分被設置在鰭片64的上表面64U之上,而被設置在鰭片64的上表面64U之下的閘極電極68的下部分則是未受到介電層71所覆蓋。因此,介電層71的沉積製程可以被稱為深度選擇沉積製程(depth-selective deposition process)。這種深度選擇沉積製程可能是相鄰鰭片64之間空間較小的結果。隨著半導體製造製程的持續發展,部件尺寸持續縮小。兩個相鄰的鰭片64之間的距離可能變得很小,而導致在如此小的空間中沉積製程的沉積速率變低。如此一來,當形成介電層71時,位於鰭片64上方的閘極電極68的上部分的側壁被沉積的介電層71覆蓋。相反地,很少或沒有介電層71沿著閘極電極68的下部分的側壁而形成。
第6圖中的介電層71的位置僅僅只是非限制性的示範例。例如,介電層71可以延伸到鰭片64的上表面64U之下,並且可以在鰭片64的上表面64U與隔離區域62的上表面之間的位置處停止。在一些實施例中,閘極電極68的下部分的側壁也受到介電層71所覆蓋,但是位於閘極電極68的下部分處的介電層71的厚度小於位於閘極電極68的上部分處的介電層71的厚度。舉例而言,隨著閘極電極68朝向隔離區域62延伸,介電層71的厚度可以連續地減小。如此一來,在後續的蝕刻製程中,相較於閘極電極68的上部分,閘極電極68的下部分被消耗(例如,蝕刻)得更多。
接著,在第7A圖中,進行蝕刻製程以移除閘極電極68的下部分。在一些實施例中,此蝕刻製程使用對閘極電極68的材料(例如,多晶矽)具有選擇性的蝕刻劑。可使用合適的蝕刻製程,例如,非等向性的蝕刻製程(例如,電漿蝕刻製程),以移除閘極電極68的下部分。在使用電漿蝕刻的實施例中,例如,可調整電漿蝕刻製程的偏壓功率(bias power),而調整電漿蝕刻製程的橫向蝕刻速率,藉以控制閘極電極68的側壁剖面輪廓。在其他實施例中,進行濕式蝕刻製程,以移除閘極電極68的下部分。
如第7A圖所繪示,在蝕刻製程之後,閘極電極68的靠近隔離區域62的部分被移除,因此在每一個閘極電極68的下表面與隔離區域62之間存在一空隙G。應注意的是,在第7A圖的示範例中,閘極電極68的上表面68U在蝕刻製程之前與蝕刻製程之後保持相同,並且閘極電極68的下表面向上(例如,朝著上表面68U)移動。因此,減小了沿著垂直於基板50的上表面的方向所量測到的閘極電極68的高度。
在一些實施例中,藉由用以移除閘極電極68的下部分的蝕刻製程,而移除(例如,完全移除)介電層71。在其他實施例中,在進行用以移除閘極電極68的下部分的蝕刻製程之後,藉由另一種合適的蝕刻製程移除介電層71,例如,使用對介電層71的材料具有選擇性的蝕刻劑。
第7B圖繪示出在移除閘極電極68的下部分之後的鰭式場效電晶體裝置100的立體示意圖。為了清楚起見,鰭式場效電晶體裝置100的所有部件並未繪示於第7B圖中。例如,隔離區域62及基板50並未繪示於第7B圖中。此外,在第7B圖中僅繪示出一個虛置閘極結構。第7B圖進一步繪示出第1圖中所繪示的參考剖面A-A,B-B、C-C和D-D。
第7C圖繪示出沿著參考剖面B-B所繪示的鰭式場效電晶體裝置100的剖面示意圖。如第7C圖所繪示,由於移除閘極電極68的下部分,所以閘極電極68的不再接觸(例如,物理性接觸)隔離區域62並且懸掛在隔離區域62之上。因此,第7C圖中的(縮短的)虛置閘極結構75也稱為懸掛的虛置閘極結構75。
由於閘極電極68不再接觸隔離區域62,所以懸掛的虛置閘極結構75可能易於塌陷。為了防止虛置閘極結構75塌陷,而控制虛置閘極結構75與鰭片64的尺寸。在一些實施例中,兩個相鄰的鰭片64之間的距離W1小於約200 nm (例如,0 nm ≤W1 ≤ 200 nm),並且閘極電極68的邊緣68E與其下方的鰭片64的最接近側壁之間的距離W2小於約100 nm (例如,0 nm ≤ W2 ≤ 100 nm)。此外,閘極電極68的底表面與鰭片64的上表面之間的深度D1在大約10 nm至大約100 nm之間,並且鰭片64的上表面與罩幕70的上表面之間的高度H1小於約500 nm (例如,0 nm ≤ H1 ≤ 500 nm)。在一些實施例中,H1與D1之間的比率小於約30(例如,H1/D1 ≤ 30)。尺寸H1和D1也繪示於第7A圖中。藉由將鰭式場效電晶體裝置100的尺寸(例如,H1、D1、W1、W2)控制在上述範圍內,可以減小或避免懸掛的虛置閘極結構75可能塌陷的風險。
第7D圖及第7E圖分別是繪示出沿著參考剖面A-A及參考剖面C-C所繪示的鰭式場效電晶體裝置100的剖面示意圖。應注意的是,虛置閘極結構75不在參考剖面C-C中,因此未繪示於第7E圖中。第7F圖繪示出沿著第7C圖的參考剖面E-E所繪示的鰭式場效電晶體裝置100的剖面示意圖,其中參考剖面E-E是沿著平行於基板50的上表面50U的平面並且橫切了鰭片64的通道區域。
第8A圖、第8B圖、第9A圖、第9B圖、第10A圖、第10B圖、第11A圖、第11B圖、第12A圖、第12B圖、第13A圖、第13B圖、第14A圖、第1B圖、第15A圖、第15B圖及第15C圖繪示出根據一實施例的形成鰭式場效電晶體裝置100的附加處理步驟。為了簡化的目的,在這些圖式中並未繪示所有的部件。舉例而言,在圖式中並未繪示基板50。為了有利於與後續的圖式進行比較,分別在第8A圖及第8B圖中繪示出第7A圖及第7D圖中的鰭式場效電晶體裝置100的(經過簡化的)剖面示意圖。
接著,在第9A圖及第9B圖中,形成閘極填充材料73於第8A圖及第8B圖的鰭式場效電晶體裝置100之上。閘極填充材料73位於每一個虛置閘極結構75與隔離區域62之間的空隙G。也可以沿著虛置閘極結構75的側壁而形成閘極填充材料73。可藉由自下而上(bottom-up)的方式且使用合適的沉積製程,例如,化學氣相沉積、電漿輔助化學氣相沉積、原子層沉積或電漿輔助原子層沉積(plasma-enhanced ALD, PEALD),而形成閘極填充材料73。在後續的替換閘極製程中,閘極填充材料73被移除。因此,閘極填充材料73也可被稱為虛置閘極填充材料。在所繪示的實施例中,閘極填充材料73由合適的材料形成,此材料提供對閘極電極68的材料的蝕刻選擇性(例如,具有與閘極電極68的材料不同的蝕刻速率),使得閘極電極68與閘極填充材料73是在兩個不同的蝕刻製程中被移除。細節將在下文中討論。閘極填充材料73的例示性材料包括矽鍺(SiGe)、氮化矽(SiN)、氮氧化矽(SiON)、氮碳氧化矽(SiOCN)、碳化矽(SiC)、碳氧化矽(SiOC)或氧化矽(SiO)或其他類似物。
接著,在第10A圖及第10B圖中,進行非等向性蝕刻製程,例如,電漿蝕刻製程,以移除閘極填充材料73的一部分(例如,位於閘極68的邊界之外的部分)。在使用電漿蝕刻製程移除部分閘極填充材料73的實施例中,調整(例如,調節)電漿蝕刻製程的偏壓電壓(bias voltage),以調整電漿蝕刻製程的橫向蝕刻速率。在第10A圖及第10B圖的示範例中,移除了閘極填充材料73的一部分,例如,沿著虛置閘極結構75的側壁而設置的部分以及設置在虛置閘極結構75之間的部分,並且保留了閘極填充材料73的其餘部分,而使閘極填充材料73設置在虛置閘極結構75的正下方,並且填充空隙G (參照第8A圖中的空隙G)。閘極填充材料73的剩餘部分的外側側壁與虛置閘極結構75的相應側壁對齊,如第10A圖所繪示。在其他實施例中,在此非等向性蝕刻之後,閘極填充材料73的剩餘部分填充空隙G並且沿著(例如,覆蓋)虛置閘極結構75的側壁(例如,參照第16A圖)延伸。應注意的是,在第10B圖的剖面示意圖中,在非等向性蝕刻製程之後,沒有閘極填充材料73殘留在閘極介電質66之上。
接著,在第11A圖及第11B圖中,沿著虛置閘極結構(例如,68和70)的側壁以及沿著閘極填充材料73的側壁形成閘極間隔物87。在一些實施例中,閘極間隔物87由氮化物所形成,例如,氮化矽、氮氧化矽、碳氮化矽(silicon carbonitride)、其他類似物或上述之組合,並且可使用,例如,熱氧化、化學氣相沉或其他合適的沉積製程而形成閘極間隔物87。在一些實施例中,閘極間隔物87由與閘極填充材料73及閘極電極68的材料不同的材料所形成。
在一實施例中,首先在鰭式場效電晶體裝置100之上順應性地沉積閘極間隔層,藉此形成閘極間隔層87。接著,進行非等向性蝕刻製程,例如,乾式蝕刻製程,以移除設置在鰭式場效電晶體裝置100的上表面(例如,罩幕70的上表面)之上的閘極間隔層的第一部分,同時保持沿著虛置閘極結構75的側壁以及沿著閘極填充材料73的側壁而設置的閘極間隔層的第二部分。在此非等向性蝕刻製程之後,剩餘的閘極間隔物層的第二部分形成閘極間隔物87。此非等向性蝕刻製程亦移除閘極間隔物層的水平部分。
如第11A圖及第11B圖所繪示的閘極間隔物87的形狀及形成方法僅僅只是非限制性的示範例,並且其他的形狀及形成方法也是可能的。這些變化和其他變化完全包括在本揭露的範圍內。
接著,在第12A圖及第12B圖中,在位於虛置閘極結構75的相對兩側的鰭片64之中/之上形成源極/汲極區域80。藉由在鰭片64中形成凹口,然後使用合適的製程將材料磊晶成長於這些凹口中,以形成源極/汲極區域80,合適的製程可以是,例如,金屬有機化學氣相沉積(metal-organic chemical vapor deposition, MOCVD)、分子束磊晶(molecular beam epitaxy, MBE)、液相磊晶(liquid phase epitaxy, LPE)、氣相磊晶(vapor phase epitaxy, VPE)、選擇性磊晶成長(selective epitaxial growth, SEG)、其他類似之方法或上述之組合。藉由此製程移除設置在閘極間隔物87的邊界(例如,側壁)外部的閘極介電質66,以形成用於源極/汲極區域80的凹口。如第11B圖所繪示,磊晶源極/汲極區域80可以具有表面,且這些表面從鰭片64的相應的表面突出(例如,從鰭片64的非凹陷部分上方突出),並且可以具有刻面(facet)。相鄰鰭片64的源極/汲極區域80可以合併,以形成連續的磊晶源極/汲極區域80。在一些實施例中,相鄰鰭片64的源極/汲極區域80不合併在一起,並且保持分離的源極/汲極區域80。在一些實施例中,所得到的鰭式場效電晶體是N型鰭式場效電晶體,並且源極/汲極區域80包括碳化矽(SiC),磷化矽(SiP),摻雜磷的矽化碳(phosphorous-doped silicon carbon, SiCP) 或其他類似物。在一些實施例中,所得到的鰭式場效電晶體是P型鰭式場效電晶體,並且源極/汲極區域80包括矽鍺及P型雜質,例如,硼或銦。
可佈植摻質於磊晶源極/汲極區域80中,隨後進行退火製程,以形成源極/汲極區域80。佈植製程可包括形成並圖案化罩幕(例如,光阻),以覆蓋鰭式場效電晶體裝置100的區域,其中這些區域將被保護而不會受到佈植製程的影響。源極/汲極區域80可具有從大約1E19cm-3 至大約1E21cm-3 的雜質(例如,摻質)濃度。可佈植P型雜質(例如,硼或銦)於P型電晶體的源極/汲極區域80中。可佈植N型雜質(例如,磷或砷化物)到N型電晶體的源極/汲極區域80中。在一些實施例中,磊晶源極/汲極區域可以在成長過程中被原位摻雜。
接著,形成接觸蝕刻停止層(contact etch stop layer, CESL) 89於第11A圖及第11B圖所繪示的結構之上。接觸蝕刻停止層89的功能是在後續的蝕刻製程中作為蝕刻停止層,並且可包括合適的材料,例如,氧化矽、氮化矽、氮氧化矽、上述之組合或其他類似物,並且可藉由合適的形成方法而形成,例如,化學氣相沉積、物理氣相沉積(physical vapor deposition,PVD)、上述之組合或其他類似方法。
接著,在接觸蝕刻停止層89之上及虛置閘極結構75之上形成第一層間介電層(ILD) 90。在一些實施例中,第一層間介電層90由介電材料所形成,例如,氧化矽、磷矽酸鹽玻璃(phosphosilicate glass, PSG)、硼矽酸鹽玻璃(borosilicate glass)、摻雜硼的磷矽酸鹽玻璃(boron-doped phosphosilicate glass, BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass, USG)或其他類似物,並且可藉由任何合適的方法而沉積第一層間介電層90,例如,化學氣相沉積、電漿輔助化學氣相沉積或流動式化學氣相沉積。可進行平坦化製程(例如,化學機械研磨製程),以移除罩幕70並且移除設置在閘極電極68之上的接觸蝕刻停止層89的部分。在一些實施例中,在平坦化製程之後,第一層間介電層90的頂表面與閘極電極68的頂表面齊平。
接著,在第13A圖、第13B圖、第14A圖、第14B圖、第15A圖及第15B圖中,進行實施例的閘極後製製程(gate-last process,有時稱為替換閘極製程),使用主動閘極(也可以稱為替換閘極或金屬閘極)及主動閘極介電材料,分別將閘極電極68、閘極填充材料73及閘極介電質66替換。在替換閘極製程中,閘極電極68及閘極介電質66可分別稱為虛置閘極電極68及虛置閘極介電質66。在一些實施例中,主動閘極是金屬閘極。
請參照第13A圖及第13B圖,藉由第一蝕刻製程移除虛置閘極電極68,例如,使用對閘極電極68的材料具有選擇性(例如,具有較高的蝕刻速率)的蝕刻劑。可進行合適的蝕刻製程作為第一蝕刻製程,例如,濕式蝕刻製程或乾式蝕刻製程。在第一蝕刻製程之後,在相應的閘極間隔物87之間形成凹口88。在第13A圖(沿著參考剖面DD的剖面示意圖)中,至少一部分的閘極填充材料73保留在凹口88的底部,並且暴露出閘極間隔物87的上部的內側側壁(例如,閘極間隔物87之面對閘極填充材料73的側壁的上部分)。在第13B圖中(沿著參考剖面A-A的剖面示意圖),沒有留下閘極填充材料73,並且凹口88暴露出虛置閘極介電質66。
接著,在第14A圖及第14B圖中,在第一蝕刻製程完成之後進行第二蝕刻製程,以移除閘極填充材料73,例如,使用對閘極填充材料73具有選擇性的蝕刻劑。作為第二蝕刻製程,可進行合適的蝕刻製程,例如,濕式蝕刻製程(例如,使用蝕刻化學品)或乾式蝕刻製程。在一實施例中,進行電漿蝕刻製程作為第二蝕刻製程,其中電漿製程使用包括主要蝕刻氣體及稀釋氣體(也稱為載流氣體)的氣體源。主要蝕刻氣體可包括:氯(Cl2 )、溴化氫(HBr)、四氟化碳(CF4 )、三氟甲烷(CHF3 )、二氟甲烷(CH2 F2 )、單氟甲烷(CH3 F)、全氟丁二烯(C4 F6 )、三氯化硼(BCl3 )、六氟化硫(SF6 )或氫(H2 ),並且載流氣體包括惰性氣體,例如,氬(Ar)、氦(He)、氖(Ne)、其他類似物或上述之組合。此外,氣體源可視需要而包括鈍化氣體,包括氮(N2)、氧(O2 )、二氧化碳(CO2 )、二氧化硫(SO2 )、一氧化碳(CO)或四氯化矽(SiCl4 )。鈍化氣體用於調整第二蝕刻製程的蝕刻選擇性,因而有利地減少或避免在第二蝕刻製程期間對於,例如,閘極間隔物87及第一層間介電層90的損傷。
在一些實施例中,電漿蝕刻製程(作為第二蝕刻製程)的功率在大約10 W與大約3000 W之間,並且電漿蝕刻製程的偏壓功率在大約10 W與大約3000 W之間。在一些實施例中,調整偏壓功率以調整電漿蝕刻製程的橫向蝕刻速率。電漿蝕刻製程的壓力在大約1 mTorr與大約800 mTorr之間。主要蝕刻氣體、稀釋氣體或鈍化氣體的每一者各自的流速在大約10 sccm (標準立方公分每分鐘)與大約5000 sccm之間。在第14A圖及第14B圖的示範例中,在電漿蝕刻製程之後,從凹口88中移除閘極填充材料73,並且電漿蝕刻製程亦移除位於凹口88下方(例如,正下方)的閘極介電質66的部分。如第14B圖所繪示,閘極介電質66的剩餘部分設置在閘極間隔物87的正下方。在一些實施例中,第二蝕刻製程也移除隔離區域的上部分(例如,由於過度蝕刻),如此一來,隔離區域62具有被凹口88所暴露的凹陷的上表面62U。
接著,在第15A圖及第15B圖中,在每一個凹口88中形成金屬閘極結構97 (也稱為替換閘極結構)。金屬閘極結構97具有多層結構(未繪示於第15A圖及第15B圖中,但繪示於第15C圖中)。第15C圖繪示出第15A圖的區域77的放大圖。
如第15C圖所繪示,金屬閘極結構97包括閘極介電層94、阻障層96、功函數層98及閘極電極99。根據一些實施例,為了形成替換閘極結構97,順應性地沉積閘極介電層94於凹口88之中,例如,在鰭片64的頂表面及側壁上以及在閘極間隔物87的側壁上,並且在第一層間介電層90的頂表面上(未繪示)。在一些實施例中,閘極介電層94包括氧化矽、氮化矽或上述之多層結構。在其他實施例中,閘極介電層94包括高介電常數(high-k)介電材料,並且在這些實施例中,閘極介電層94可以具有大於約7.0的k值,並且可包括下列金屬的金屬氧化物或矽酸鹽,這些金屬包括:鉿(Hf)、鋁(Al)、鋯(Zr)、鑭(La)、鎂(Mg)、鋇(Ba)、鈦(Ti)、鉛(Pb)及上述之組合。閘極介電層94的形成方法可包括分子束沉積(molecular beam deposition, MBD)、原子層沉積、電漿輔助化學氣相沉積及其他類似方法。
接著,順應性地形成阻障層96於閘極介電層94之上。阻障層96可包括導電材料(例如,氮化鈦),但是也可以使用其他材料,例如,氮化鉭、鈦、鉭或其他類似物。可使用化學氣相沉積製程(例如,電漿輔助化學氣相沉積)形成阻障層96。然而,亦可另外使用其他替代方法,例如,濺鍍(sputtering)、金屬有機化學氣相沉積或原子層沉積。
接下來,在阻障層96上方的凹口中形成功函數層98,例如P型功函數層或N型功函數層。可用於P型裝置的閘極結構中的例示性P型功函數金屬包括:氮化鈦(TiN)、氮化鉭(TaN)、釕(Ru)、鉬(Mo)、鋁(Al)、氮化鎢(WN)、矽化鋯(ZrSi2 )、矽化鉬(MoSi2 )、矽化鉭(TaSi2 )、矽化鎳(NiSi2 )、氮化鎢(WN)、其他合適的P型功函數材料或上述之組合。可用於N型裝置的閘極結構中的例示性N型功函數金屬包括:鈦(Ti)、銀(Ag)、鋁化鉭(TaAl)、碳化鋁鉭(TaAlC)、氮化鋁鈦(TiAlN)、碳化鉭(TaC)、碳氮化鉭(TaCN)、氮化矽鉭(TaSiN)、錳(Mn)、鋯(Zr)、其他合適的N型功函數材料或上述之組合。功函數值與功函數層的材料組成有關聯性,因此,可選擇功函數層的材料以調整其功函數值,進而在後續形成的裝置中實現目標的閾值電壓(threshold voltage) Vt。可藉由化學氣相沉積、物理氣相沉積及/或其他合適的製程,以沉積功函數層。
接著,在功函數層98之上順應性地形成晶種層(未繪示)。晶種層可以包括銅、鈦、鉭、氮化鈦、氮化鉭、其他類似物或上述之組合,並且可藉由原子層沉積、濺鍍、物理氣相沉積或其他類似方法而沉積晶種層。在一些實施例中,晶種層是金屬層,其可以是單層或複合層,其中此複合層包括由不同材料形成的多個子層。例如,晶種層可包括鈦層及位於鈦層上方的銅層。
接著,沉積閘極電極99於晶種層之上,並且填充凹口88的其餘部分。閘極電極99可由含金屬材料所形成,例如,銅、鋁、鎢、其他類似物,上述之組合或上述之多層結構,並且可藉由,例如,電鍍(electroplating)、無電電鍍(electroless plating)或其他合適的方法而形成。在形成閘極電極99之後,可進行平坦化製程(例如,化學機械研磨),以移除閘極介電層94、阻障層96、功函數層98、晶種層及閘極電極99的多餘部分,這些多餘部分是指位於第一層間介電層90的頂表面上方的部分。因此,所得到的閘極介電層94、阻障層96、功函數層98、晶種層及閘極電極99的剩餘部分形成最終的鰭式場效電晶體裝置100的替換閘極結構97。現在,由於隔離區域的凹陷上表面62U (參照第14A圖),金屬閘極結構97的底表面是彎曲的(例如,向下彎曲進入隔離區域62中),如第15A圖所繪示。第15B圖繪示出設置在鰭片64正上方的金屬閘極結構97的部分。
本發明所屬領域技術中具有通常知識者應可輕易理解,可在第15A圖及第15B圖的製程步驟之後進行附加的製程,例如,形成閘極接觸插塞、源極/汲極接觸插塞及內連線結構的製程,以完成鰭式場效電晶體裝置100的製造。在此不再詳述細節。
在一實施例中,第16A圖、第16B圖、第17A圖、第17B圖、第18A圖、第18B圖、第19A圖及第19B圖繪示出鰭式場效電晶體裝置100A在製造過程的各個階段的剖面示意圖。第16A圖及第16B圖中的鰭式場效電晶體裝置100A相似於第10A圖及第10B圖中的鰭式場效電晶體裝置100。換句話說,第16A圖及第16B圖的製程遵循第9A圖及第9B圖的製程步驟。特別是,與第10A圖及第10B圖相比,調整(例如,減小)非等向性蝕刻製程的橫向蝕刻速率,使得虛置閘極結構75的側壁及閘極填充材料73的側壁受到第16A圖及第16B圖中的閘極填充材料73的剩餘部分所覆蓋。在另一實施例中,可以在使用自下而上(bottom-up)的沉積製程形成閘極填充材料73之後,直接形成第16A圖及第16B圖所繪示的閘極填充材料73的形狀和位置,並且為了形成第16A圖及第16B圖所繪示的結構,不進行額外的蝕刻製程將閘極填充材料73塑形。
接著,在第17A圖及第17B圖中,使用與第11A圖、第11B圖、第12A圖及第12B圖所繪示的相同或相似的製程步驟,以形成閘極間隔物87、源極/汲極區域80、接觸蝕刻停止層89及第一層間介電層90。接著,可進行平坦化製程(例如,化學機械研磨),以移除罩幕70,並且在閘極電極68、閘極間隔物87、接觸蝕刻停止層89及第一層間介電層90之間實現共平面的上表面。
接著,相似於第13A圖及第13B圖,進行第一蝕刻製程,以移除虛置閘極電極68。在第一蝕刻製程之後,形成凹口88。應注意的是,相較於第13A圖,保留了閘極填充材料73的一部分,以覆蓋閘極間隔物87的整個內側側壁(例如,閘極間隔物87之面對閘極填充材料73的側壁)。換句話說,在第17A圖的剖面示意圖中,閘極填充材料73從閘極間隔物87的上表面連續地延伸至隔離區域62的上表面,並且在第17B圖的剖面示意圖中,閘極填充材料73從閘極間隔物87的上表面連續地延伸至閘極介電質66的上表面。
接著,在第18A圖及第18B圖中,進行第二蝕刻製程,以移除部分的閘極填充材料73。在一些實施例中,第二蝕刻製程是與14A圖及第14B圖相同或相似的電漿蝕刻製程。可以調節電漿蝕刻製程的偏壓功率,以實現非等向性的目標水平(例如,橫向蝕刻速率的目標水平)。如18A圖及第18B圖所繪示,在第二蝕刻製程之後,閘極填充材料73的剩餘部分的下部分73L比閘極填充材料73的剩餘部分的上部分73U更厚。閘極填充材料73的剩餘部分的下部分73L的內側側壁(例如,面對凹口88的側壁)朝向凹口88的中心軸88C傾斜或彎曲。閘極填充材料73的剩餘部分的上部分73U的內側側壁可以是筆直的(例如,垂直於基板的上表面),或者可以隨著閘極填充材料73朝向隔離區域62延伸而朝向凹口88的中心軸88C傾斜。
在一些實施例中,在第二蝕刻製程之後,閘極填充材料73包括位於鰭片正上方的第一部分(例如,參照第18B圖中的73),其中隨著第一部分從閘極間隔物87的上表面延伸至鰭片64的上表面,此第一部分的厚度保持相同。閘極填充材料73更包括位於鰭片的第一側上的第二部分(例如,參照第18A圖中的73),其中第二部分接觸隔離區域62,並且此第二部分的厚度隨著第二部分朝向隔離區域62延伸而增加。
應注意的是,在第18A圖中,由於,例如,第二蝕刻的過度蝕刻,被凹口88所暴露的隔離區域62的上表面的中心區域具有彎曲的(例如,凹陷的)上表面62U2。位於閘極填充材料73的下部分73L之下(例如,受到閘極填充材料73的下部分73L覆蓋)的隔離區域的上表面62U1是實質上平坦的,因為其受到保護而沒有受到第二蝕刻製程的影響。
接著,在第19A圖及第19B圖中,使用與第15A圖及第15B圖相同或相似的製程,以形成金屬閘極結構97而填充凹口88。在此不再贅述。
第19C圖繪示出第19A圖中的區域79的放大圖。如第19C圖所繪示,金屬閘極結構97的底表面是彎曲的並且延伸到隔離區域62中。金屬閘極結構97的上側壁97S1可以是筆直的,而金屬閘極結構97的下側壁97S2可朝向金屬閘極結構97的中心軸97C傾斜。第19C圖中的下側壁97S2與水平線HL之間的角度θD 可小於約90度。在一實施例中,在隔離區域62與上側壁97S1鄰接於下側壁97S2的位置之間所測量到的金屬閘極結構97的下部分的高度D3在大約0 Å與大約1000 Å之間(例如,0 Å ≤ D3 ≤ 1000 Å)。在一實施例中,在閘極填充材料73與隔離區域62之間的界面處所測量到的閘極填充材料73的下部分的厚度W3在大約0 Å與大約500 Å之間(例如,0 Å ≤ W3 ≤ 500 Å)。
在一實施例中,第20A圖、第20B圖、第21A圖及第21B圖繪示出鰭式場效電晶體裝置100B在製造過程的各個階段的剖面示意圖。第20A圖及第20B圖中的鰭式場效電晶體裝置100B相似於第13A圖及第13B圖中的鰭式場效電晶體裝置100,但是調整了第二蝕刻製程的製程條件,使得在第二蝕刻製程之後,只有閘極填充材料73的下部分73L被殘留。在第20A圖中,由於,例如,第二蝕刻製程的過度蝕刻,被凹口88暴露的隔離區域62的上表面的區域具有彎曲的(例如,凹陷的)上表面62U2。位於閘極填充材料73的下部分73L之下(例如,受到閘極填充材料73的下部分73L覆蓋)的隔離區域的上表面62U1是實質上平坦的,因為其受到保護而沒有受到第二蝕刻製程的影響。
接著,在第21A圖及第21B圖中,使用與第15A圖及第15B圖相同或相似的製程,在凹口88中形成金屬閘極結構97。在第21A圖中,閘極填充材料73的下部分73L的寬度W4在大約0 Å與大約500 Å之間。下部分73L的高度D2與金屬閘極結構97的下部分97L的高度相同,在大約0 Å至大約1000 Å之間。應注意的是,金屬閘極結構97的上部分具有筆直的側壁,而金屬閘極結構97的下部分具有傾斜或彎曲的側壁。在第21A圖中,金屬閘極結構97的底表面是彎曲的並且延伸到隔離區域62中。
第22A圖到第22C圖繪示出沿著參考剖面D-D的金屬閘極結構97的底部的各種實施例剖面示意圖。藉由調整第二蝕刻製程(例如,藉由控制偏壓電壓以控制橫向蝕刻速率,及/或藉由調整第二蝕刻製程的選擇性),可以實現閘極填充材料73的剩餘部分的不同形狀及/或尺寸(例如,參照第13A圖、第17A圖、第20A圖),藉以控制金屬閘極結構97的底部部分的形狀/尺寸。舉例而言,在第22A圖中,金屬閘極結構的底部97逐漸變細並具有彎曲的底表面。在第22B圖中,金屬閘極結構97具有傾斜的側壁,並且金屬閘極結構97的底表面97B在中間具有輕微的凹陷。在第22C圖中,金屬閘極結構97的底部部分具有傾斜的側壁及平坦的底表面97B。底表面97B與金屬閘極結構97的相應側壁97S之間的角度在第22A圖、第22B圖及第22C圖中分別表示為θg1 、θg2 及θg3 ,且這些角度分別大於約90度。
在一實施例中,第23A圖、第23B圖、第24A圖及第24B圖繪示出鰭式場效電晶體裝置100C在製造過程的各個階段的各種視圖(例如,剖面示意圖、上視示意圖)。鰭式場效電晶體裝置100C與鰭式場效電晶體裝置100、鰭式場效電晶體裝置100A或鰭式場效電晶體裝置100B相似,但是具有虛置鰭片64D,以減小或避免懸掛的虛置閘極結構75塌陷的風險,或者,允許相鄰的鰭片64之間具有更大的距離,或允許一個鰭片64與虛置閘極結構75的一個邊緣68S之間具有更大的距離。特別是,第23A圖相似於第7C圖,並且第23B圖相似於第7F圖,但是具有虛置鰭片64D,虛置鰭片64D形成在一些相鄰的鰭片64之間,及/或形成在虛置閘極結構75的邊緣68S位置處。在一些實施例中,虛置鰭片64D的外側側壁64DS從外側鰭片64延伸得比邊緣68S更遠。
虛置鰭片64D可由任何合適的材料形成,例如,與鰭片64相同的材料(例如,半導體材料),或者與鰭片64不同的材料(例如,介電材料,例如,氧化矽、氮化矽)。在一些實施例中,虛置鰭片64D由單一材料形成。在其他實施例中,虛置鰭片64D由兩種或更多種材料(例如,不同材料的兩層或更多層)形成。在一些實施例中,虛置鰭片64D由矽系(silicon-based)材料形成。在其他實施例中,使用介電材料,例如,金屬氧化物(例如,氧化鉿(HfO)、氮化鉭(TaN)、其他類似物或上述之組合)形成虛置鰭片64D。在所繪示的實施例中,虛置鰭片64D被電性隔離,並且沒有形成源極/汲極區域在虛置鰭片64D之上/之中。
由於介於兩個鰭片64之間的虛置鰭片64D,佈置在虛置鰭片64D的相對兩側上的兩個鰭片64之間的距離WA可以增加到超過距離W1 (參照第7C圖之沒有虛置鰭片64D的設計)的最大值(例如,200 nm)。舉例而言,鰭片64之間的距離WA可以增加到大於200 nm的值,同時仍然保持小於約200 nm的距離Wa及距離Wa’,以減小鰭片塌陷的風險,其中Wa及Wa’是虛置鰭片64D與相應的鰭片64之間的距離。相似地,外側鰭片64與邊緣68S之間的距離WB可以增加到大於距離W2 (參照第7C圖)的最大值(例如,大約100 nm)的值,同時仍然保持小於約100 nm的距離Wb,以減小鰭片塌陷的風險,其中,Wb是外側鰭片64與虛置鰭片64D之間的距離。第23B圖繪示出鰭式場效電晶體裝置100C沿著參考剖面F-F的相應的剖面示意圖,其中參考剖面F-F是沿著平行於基板50的上表面的平面而切過鰭片64的通道區域。如第23B圖繪示,虛置鰭片64D可以比鰭片64短,並且可以形成在具有鰭片64的區域R2中,而區域R1沒有形成虛置鰭片64D。在其他實施例中,虛置鰭片64D形成在區域R1及R2兩者中,並且可以具有與鰭片64相同的長度。
第24A圖及第24B圖繪示出在形成金屬閘極結構97之後,按照上述相同或相似的製程步驟,鰭式場效電晶體裝置100C的相應的剖面示意圖。第24A圖繪示出金屬閘極結構97,第24B圖進一步繪示出了閘極間隔物87與源極/汲極區域80。
在一實施例中,第25圖到第30圖繪示出鰭式場效電晶體裝置200的在製造過程的各個階段的剖面示意圖。所繪示的鰭式場效電晶體裝置200可以是,例如,鰭式場效電晶體裝置100A或鰭式場效電晶體裝置100B的一部分沿著第16A圖的剖面E2-E2 (也繪示於第8A圖中)所繪示的剖面示意圖。應注意的是,剖面E2-E2橫跨閘極電極68的下部分(例如,逐漸變細的下部分)。
請參照第25圖,鰭式場效電晶體裝置200處於與第8A圖及第8B圖相同或相似的製程步驟。由於剖面E2-E2的位置(例如,靠近閘極電極68的錐形端部),閘極電極68被繪示為纖細的條帶。應注意的是,為了簡化,在第25圖到第30圖中僅繪示出鰭片64之間的閘極電極68的一部分。第25圖亦繪示出閘極電極68的角落區域68C,其延伸遠離閘極電極68的縱軸68A,因此,第25圖中的閘極電極68的剖面具有凸形。角落區域68C的形狀是由形成懸掛的虛置閘極結構75的蝕刻製程(參照例如,第7A圖)所引起的,因為此蝕刻製程在角落區域(例如,68C的區域)中可能具有較慢的蝕刻速率。
接著,在第26圖中,形成閘極填充材料73。由於相似的原因(例如,在角落區域的蝕刻速率較慢),閘極填充材料73亦可以具有與角落區域68C相似的角落區域73C。因此,第26圖可以對應於第16A圖及第16B圖的製程步驟。
接著,在第27圖中,沿著閘極填充材料73的側壁形成閘極間隔物87,並且在鰭片64之上形成源極/汲極區域80。由於用以形成閘極間隔物87的順應性沉積製程及/或蝕刻製程,閘極間隔物87具有相似的角落區域。
接著,在第28圖中,藉由第一蝕刻製程移除閘極電極68,並且形成凹口88。因此,第28圖可以對應於第17A圖及第17B圖的製程步驟。
接著,在第29圖中,進行第二蝕刻製程,以移除閘極填充材料73的一部分,並且沿著閘極間隔物87的內側側壁設置閘極填充材料73的剩餘部分。應注意的是,由於在角落區域處的閘極填充材料73的蝕刻速率較慢,在第二蝕刻製程之後,閘極填充材料73的內側側壁73S朝向凹口88的相應中心軸88A彎曲。因此,每一個凹口88現在具有凸形剖面。第29圖可以對應於第18A圖及第18B圖的製程步驟。
接著,在第30圖中,形成金屬閘極結構97,以填充凹口88。應注意的是,由於凹口88具有凸形,因此金屬閘極結構97也具有凸形。如此一來,金屬閘極結構97的角落區域中的兩個相鄰側面之間的角度θa 大於約90度且小於約180度。由於金屬閘極結構97的凸形,金屬閘極結構97的角落區域朝向金屬閘極結構97的中心軸97A向內彎曲,因而遠離源極/汲極區域80。如此能夠有利於增加金屬閘極結構97與源極/汲極區域80之間的距離,並且能夠降低所形成的鰭式場效電晶體裝置的漏電流(例如,閘極與源極/汲極區域之間的漏電流)。相反地,在沒有本文公開的形成方法的情況下,金屬閘極結構97可能具有朝著源極/汲極區域80而向外延伸的角落區域,如此可能具有增加的漏電流。
在一實施例中,第31圖繪示出鰭式場效電晶體裝置200A的剖面示意圖。鰭式場效電晶體裝置200A相似於第30圖中的鰭式場效電晶體裝置200,但是僅在角落區域中具有閘極填充材料73,這可以是由於蝕刻製程的較高橫向蝕刻速率所造成的。
在一實施例中,第32圖繪示出鰭式場效電晶體裝置200B的剖面示意圖。鰭式場效電晶體裝置200B相似於第30圖中的鰭式場效電晶體裝置200,但是閘極填充材料73被完全移除。應注意的是,由於過度蝕刻而完全移除閘極填充材料73,閘極間隔物87的角落區域向內彎曲,如此再次導致金屬閘極結構97的剖面具有凸形。
第33圖繪示出依據一些實施例之形成半導體裝置的方法1000的流程圖。應可理解的是,第33圖所繪示的實施例方法僅僅是許多可能的實施例方法的示範例。本發明所屬技術領域中具有通常知識者將可理解到許多改變、置換或修改。例如,可以添加、移除、替換、重新配置及重複如第33圖所繪示的各個步驟。
請參照第33圖,在步驟1010中,形成突出於基板之上的鰭片。在步驟1020中,形成隔離區域於鰭片的相對兩側上。在步驟1030中,形成虛置閘極電極於鰭片之上。在步驟1040中,移除靠近隔離區域的虛置閘極電極的下部分,其中,在移除下部分之後,在隔離區域與虛置閘極電極的面對隔離區域的下表面之間存在空隙。在步驟1050中,用閘極填充材料填充上述空隙。在步驟1060中,在填充上述空隙之後,沿著虛置閘極電極的側壁並且沿著閘極填充材料的側壁形成閘極間隔物。在步驟1070中,將虛置閘極電極及閘極填充材料替換為金屬閘極。
本文所描述的實施例可實現許多優點。舉例而言,隨著半導體製造製程的持續發展,部件尺寸持續縮小。隨著鰭片之間的距離變得越來越小,越來越難以在鰭片之間沉積材料。在鰭片之間,特別是在鰭片底部附近所沉積的材料中,可能形成孔洞或是中空的空間。在形成閘極電極68的製程中,如果閘極電極層中具有孔洞,則在圖案化之後,閘極電極68可能具有孔洞,特別是在閘極電極68的底部。在後續的製程中,沿著閘極電極68的側壁形成閘極間隔物87。如果在閘極電極68的側壁處具有孔洞,則閘極間隔物87的材料(例如,氮化矽)將填充這些孔洞。在後續的替換閘極製程中,閘極電極68被移除並且被閘極材料替換。然而,填充於孔洞的閘極間隔物87的材料(例如,氮化矽)將不會連同閘極電極68一起被蝕刻移除,並且會保留在最終的金屬閘極結構97中。如此可能造成金屬閘極結構97的缺陷或高電阻。相反地,本文公開的方法形成懸掛的虛置閘極結構,並且使用閘極填充材料73填充上述空隙,藉此使孔洞較不可能形成在閘極電極68下方(由於自下而上的沉積方式),並且虛置閘極填充材料73會填充在閘極電極68的側壁上所形成的任何孔洞,且此虛置閘極填充材料73也在隨後的替換閘極製程中被移除。因此,避免或減少了閘極電極68的側壁上的孔洞的問題,例如,金屬閘極結構97的缺陷或閘極電阻的增加。此外,如第25圖到第30圖所繪示,本文所公開的實施例方法增加金屬閘極結構與源極/汲極區域80之間的距離,因而降低所形成的鰭式場效電晶體裝置的漏電流。
在一實施例中,提供一種半導體裝置的形成方法,包括:形成鰭片突出於半導體基板之上;形成複數個隔離區域於上述鰭片的相對兩側上;形成虛置閘極電極於上述鰭片之上;移除靠近上述複數個隔離區域的上述虛置閘極電極的複數個下部分的厚度,其中在移除上述複數個下部分之後,在上述虛置閘極電極與上述複數個隔離區域之間存在空隙,且上述虛置閘極電極的下表面面對上述複數個隔離區域;使用閘極填充材料填充上述空隙;在填充上述空隙之後,沿著上述虛置閘極電極的側壁並且沿著上述閘極填充材料的側壁形成複數個閘極間隔物;以及將上述虛置閘極電極的及上述閘極填充材料替換為金屬閘極。在一實施例中,移除上述虛置閘極電極的上述複數個下部分包括:形成介電層於上述虛置閘極電極的複數個上部分之上,其中上述介電層暴露出上述虛置閘極電極的上述複數個下部分;以及進行蝕刻製程,其中上述介電層的第一蝕刻速率慢於上述虛置閘極電極的第二蝕刻速率。在一實施例中,上述蝕刻製程為電漿蝕刻製程,其中進行上述蝕刻製程包括藉由調整上述電漿蝕刻製程的偏壓電壓,以控制上述電漿蝕刻製程的橫向蝕刻速率。在一實施例中,填充上述空隙包括:沉積上述閘極填充材料於上述複數個隔離區域之上,上述閘極填充材料填充上述空隙並且沿著上述虛置閘極電極的複數個側壁;以及在沉積上述閘極填充材料之後,進行非等向性蝕刻製程,以移除部分的上述閘極填充材料。在一實施例中,在上述非等向性蝕刻製程之後,上述閘極填充材料的複數個剩餘部分從上述下表面上述虛置閘極電極延伸到上述複數個隔離區域,且上述閘極填充材料的上述複數個剩餘部分的最上表面接觸上述虛置閘極電極的上述下表面。在一實施例中,在上述非等向性蝕刻製程之後,上述閘極填充材料的複數個剩餘部分覆蓋上述虛置閘極電極的上述複數個側壁,且從上述虛置閘極電極的上表面延伸到上述複數個隔離區域。在一實施例中,替換上述虛置閘極電極及上述閘極填充材料包括:進行第一蝕刻製程,以移除上述虛置閘極電極,其中在上述第一蝕刻製程之後,暴露出上述閘極填充材料;進行第二蝕刻製程,以移除至少部分的上述閘極填充材料,而形成開口位於上述複數個閘極間隔物之間;以及形成上述金屬閘極於上述開口中。在一實施例中,形成上述金屬閘極包括:使用閘極介電層內襯於上述開口的複數個側壁及底部;形成阻障層於上述閘極介電層上;形成功函數層於上述阻障層上;以及在形成上述功函數層之後,使用金屬材料填充上述開口。在一實施例中,進行上述第二蝕刻製程包括進行電漿蝕刻製程,其中上述電漿蝕刻製程使用包括蝕刻氣體、鈍化氣體及載流氣體的氣體源,其中上述蝕刻氣體包括氯、溴化氫、四氟化碳、三氟甲烷、二氟甲烷、單氟甲烷、全氟丁二烯、三氯化硼、六氟化硫或氫,上述鈍化氣體包括氮、氧、二氧化碳、二氧化硫、一氧化碳或四氯化矽,且上述載流氣體包括一惰性氣體。在一實施例中,在上述第二蝕刻製程之後,上述閘極填充材料的複數個剩餘部分從上述複數個閘極間隔物的上表面延伸到上述複數個隔離區域,其中隨著上述閘極填充材料的上述複數個剩餘部分朝向上述複數個隔離區域延伸,在面向上述金屬閘極的上述閘極填充材料的上述複數個剩餘部分的複數個下側壁之間所測量到的距離減小。在一實施例中,在上述第二蝕刻製程之後,上述閘極填充材料的複數個剩餘部分覆蓋上述複數個閘極間隔物的複數個下側壁,而暴露出上述複數個閘極間隔物的複數個上側壁,其中上述金屬閘極的上部分接觸上述複數個閘極間隔物,且上述金屬閘極的下部分接觸上述閘極填充材料的上述複數個剩餘部分。
在一實施例中,提供一種半導體裝置的形成方法,包括:形成虛置閘極電極於鰭片之上,其中上述鰭片突出於半導體基板之上且介於複數個隔離區域之間;藉由移除上述虛置閘極電極的下部分,以減小上述虛置閘極電極的高度,其中在減小上述高度之後,在上述虛置閘極電極與上述複數個隔離區域之間存在空隙;形成閘極填充材料於位在上述置閘極電極下方的上述空隙中;形成複數個閘極間隔物於上述虛置閘極電極的相對兩側上及上述閘極填充材料的相對兩側上;在形成上述複數個閘極間隔物之後,移除上述虛置閘極電極且移除至少上述閘極填充材料的部分,以形成開口於上述複數個閘極間隔物之間;以及形成金屬閘極於上述開口中。在一實施例中,在減小上述虛置閘極電極的上述高度之前與之後,在上述複數個隔離區域的上表面與遠離上述複數個隔離區域的上述虛置閘極電極的上表面之間測量的距離保持相同。在一實施例中,上述閘極填充材料形成為具有與上述虛置閘極電極相同的寬度,使得上述虛置閘極電極的複數個側壁與上述閘極填充材料的相應的複數個側壁對齊。在一實施例中,上述閘極填充材料被形成為用以填充上述空隙,並且沿著上述虛置閘極電極的複數個側壁延伸。
在一實施例中,提供一種半導體裝置,包括:鰭片,突出於半導體基板之上;複數個隔離區域,位於上述鰭片的相對兩側上;閘極結構,位於上述鰭片之上;複數個閘極間隔物,沿著上述閘極結構的複數個側壁延伸;以及閘極填充材料,位於上述閘極結構與上述複數個閘極間隔物之間,其中隨著上述閘極填充材料朝向上述複數個隔離區域延伸,面向上述閘極結構的上述閘極填充材料的相對兩側的複數個下側壁之間的距離減小。在一實施例中,上述閘極填充材料設置於上述複數個隔離區域上且接觸上述複數個隔離區域,其中上述閘極填充材料覆蓋上述複數個閘極間隔物的複數個下側壁,而暴露出上述複數個閘極間隔物的複數個上側壁。在一實施例中,隨著上述閘極填充材料朝向上述複數個隔離區域延伸,上述閘極填充材料的厚度增加。在一實施例中,上述閘極填充材料將上述閘極結構與上述複數個閘極間隔物分隔,其中上述閘極填充材料包括:第一部分,位於上述鰭片的正上方,其中隨著上述第一部分從上述複數個閘極間隔物的上表面延伸到上述鰭片的上表面,上述第一部分的厚度維持相同,其中上述虛置閘極的上述上部分設置於上述鰭片的上表面之上;以及第二部分,位於上述鰭片的第一側上且接觸上述複數個隔離區域,其中隨著上述第二部分朝向上述複數個隔離區域延伸,上述第二部分的厚度增加。
前述內文概述了許多實施例的部件,使本技術領域中具有通常知識者可以從各個方面更佳地了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的發明精神與範圍。在不背離本發明的發明精神與範圍之前提下,可對本發明進行各種改變、置換或修改。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
30:鰭式場效電晶體 50:基板 50U:上表面 52:墊氧化物層 56:墊氮化物層 58:經過圖案化的罩幕 61:溝槽 62:隔離區域 62U:上表面 62U1:上表面 62U2:上表面 64:鰭片(半導體鰭片) 64A:鰭片 64B:鰭片 64D:虛置鰭片 64DS:外側側壁 64U:上表面 66:閘極介電質(虛置閘極介電質) 68:閘極電極(虛置閘極電極) 68A:縱軸 68C:角落區域 68E:邊緣 68S:邊緣 68U:上表面 70:罩幕 71:保護層 73:閘極填充材料 73C:角落區域 73L:下部分 73S:內側側壁 73U:上部分 75:虛置閘極結構(懸掛的虛置閘極結構) 77:區域 79:區域 80:源極/汲極區域(磊晶源極/汲極區域) 87:閘極間隔物 88:凹口 88A:中心軸 88C:中心軸 89:接觸蝕刻停止層 90:第一層間介電層 94:閘極介電層 96:阻障層 97:金屬閘極結構(替換閘極結構) 97A:中心軸 97B:底表面 97C:中心軸 97L:下部分 97S:側壁 97S1:上側壁 97S2:下側壁 98:功函數層 99:閘極電極 100:鰭式場效電晶體裝置 100A:鰭式場效電晶體裝置 100B:鰭式場效電晶體裝置 100C:鰭式場效電晶體裝置 200:鰭式場效電晶體裝置 200A:鰭式場效電晶體裝置 200B:鰭式場效電晶體裝置 1000:方法 1010:步驟 1020:步驟 1030:步驟 1040:步驟 1050:步驟 1060:步驟 1070:步驟 D1:深度 D2:高度 D3:高度 G:空隙 H1:高度 HL:水平線 R1:區域 R2:區域 W1:距離 W2:距離 W3:厚度 W4:寬度 WA:距離 Wa:距離 Wa’:距離 WB:距離 Wb:距離 θA :角度 θD :角度 θg1 :角度 θg2 :角度 θg3 :角度
依據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,依據本產業的一般作業,圖式並未必按照比率繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。 第1圖是依據一些實施例之鰭式場效電晶體裝置的立體示意圖。 第2圖、第3圖、第4圖、第5A圖、第5B圖、第6圖、第7A圖、第7B圖、第7C圖、第7D圖、第7E圖、第7F圖、第8A圖、第8B圖、第9A圖、第9B圖、第10A圖、第10B圖、第11A圖、第11B圖、第12A圖、第12B圖、第13A圖、第13B圖、第14A圖、第14B圖、第15A圖、第15B圖及第15C圖是依據一些實施例之鰭式場效電晶體裝置100在製造過程的各個階段的各種視圖。 第16A圖、第16B圖、第17A圖、第17B圖、第18A圖、第18B圖、第19A圖、第19B圖及第19C圖是依據一些實施例之鰭式場效電晶體裝置100A在製造過程的各個階段的剖面示意圖。 第20A圖、第20B圖、第21A圖及第21B圖是依據一些實施例之鰭式場效電晶體裝置100B在製造過程的各個階段的剖面示意圖。 第22A圖、第22B圖及第22C圖是依據一些實施例之鰭式場效電晶體裝置的閘極電極的剖面示意圖。 第23A圖、第23B圖、第24A圖及第24B圖是依據一些實施例之鰭式場效電晶體裝置100C在製造過程的各個階段的剖面示意圖。 第25圖、第26圖、第27圖、第28圖、第29圖及第30圖是依據一些實施例之鰭式場效電晶體裝置200的一部分在製造過程的各個階段的剖面示意圖。 第31圖是依據一些實施例之鰭式場效電晶體裝置200A的一部分的剖面示意圖。 第32圖是依據一些實施例之鰭式場效電晶體裝置200B的一部分的剖面示意圖。 第33圖是依據一些實施例之形成半導體裝置的方法的流程圖。
50:基板
50U:上表面
62:隔離區域
64A:鰭片
64B:鰭片
66:閘極介電質(虛置閘極介電質)
68:閘極電極(虛置閘極電極)
68E:邊緣
70:罩幕
75:虛置閘極結構(懸掛的虛置閘極結構)
100:鰭式場效電晶體裝置
D1:深度
G:空隙
H1:高度
W1:距離
W2:距離

Claims (20)

  1. 一種半導體裝置的形成方法,包括: 形成一鰭片突出於一半導體基板之上; 形成複數個隔離區域於該鰭片的相對兩側上; 形成一虛置閘極電極於該鰭片之上; 移除靠近該複數個隔離區域的該虛置閘極電極的複數個下部分的一厚度,其中在移除該複數個下部分之後,在該虛置閘極電極與該複數個隔離區域之間存在一空隙,且該虛置閘極電極的一下表面面對該複數個隔離區域; 使用一閘極填充材料填充該空隙; 在填充該空隙之後,沿著該虛置閘極電極的側壁並且沿著該閘極填充材料的側壁形成複數個閘極間隔物;以及 將該虛置閘極電極的及該閘極填充材料替換為一金屬閘極。
  2. 如請求項1所述之半導體裝置的形成方法,其中移除該虛置閘極電極的該複數個下部分包括: 形成一介電層於該虛置閘極電極的複數個上部分之上,其中該介電層暴露出該虛置閘極電極的該複數個下部分;以及 進行一蝕刻製程,其中該介電層的一第一蝕刻速率慢於該虛置閘極電極的一第二蝕刻速率。
  3. 如請求項2所述之半導體裝置的形成方法,其中該蝕刻製程為一電漿蝕刻製程,其中進行該蝕刻製程包括藉由調整該電漿蝕刻製程的一偏壓電壓,以控制該電漿蝕刻製程的一橫向蝕刻速率。
  4. 如請求項1所述之半導體裝置的形成方法,其中填充該空隙包括: 沉積該閘極填充材料於該複數個隔離區域之上,該閘極填充材料填充該空隙並且沿著該虛置閘極電極的複數個側壁;以及 在沉積該閘極填充材料之後,進行一非等向性蝕刻製程,以移除部分的該閘極填充材料。
  5. 如請求項4所述之半導體裝置的形成方法,其中在該非等向性蝕刻製程之後,該閘極填充材料的複數個剩餘部分從該下表面該虛置閘極電極延伸到該複數個隔離區域,且該閘極填充材料的該複數個剩餘部分的一最上表面接觸該虛置閘極電極的該下表面。
  6. 如請求項4所述之半導體裝置的形成方法,其中在該非等向性蝕刻製程之後,該閘極填充材料的複數個剩餘部分覆蓋該虛置閘極電極的該複數個側壁,且從該虛置閘極電極的一上表面延伸到該複數個隔離區域。
  7. 如請求項1所述之半導體裝置的形成方法,其中替換該虛置閘極電極及該閘極填充材料包括: 進行一第一蝕刻製程,以移除該虛置閘極電極,其中在該第一蝕刻製程之後,暴露出該閘極填充材料; 進行一第二蝕刻製程,以移除至少部分的該閘極填充材料,而形成一開口位於該複數個閘極間隔物之間;以及 形成該金屬閘極於該開口中。
  8. 如請求項7所述之半導體裝置的形成方法,其中形成該金屬閘極包括: 使用一閘極介電層內襯於該開口的複數個側壁及一底部; 形成一阻障層於該閘極介電層上; 形成一功函數層於該阻障層上;以及 在形成該功函數層之後,使用一金屬材料填充該開口。
  9. 如請求項7所述之半導體裝置的形成方法,其中進行該第二蝕刻製程包括進行一電漿蝕刻製程,其中該電漿蝕刻製程使用包括一蝕刻氣體、一鈍化氣體及一載流氣體的一氣體源,其中該蝕刻氣體包括氯、溴化氫、四氟化碳、三氟甲烷、二氟甲烷、單氟甲烷、全氟丁二烯、三氯化硼、六氟化硫或氫,該鈍化氣體包括氮、氧、二氧化碳、二氧化硫、一氧化碳或四氯化矽,且該載流氣體包括一惰性氣體。
  10. 如請求項7所述之半導體裝置的形成方法,其中在該第二蝕刻製程之後,該閘極填充材料的複數個剩餘部分從該複數個閘極間隔物的一上表面延伸到該複數個隔離區域,其中隨著該閘極填充材料的該複數個剩餘部分朝向該複數個隔離區域延伸,在面向該金屬閘極的該閘極填充材料的該複數個剩餘部分的複數個下側壁之間所測量到的一距離減小。
  11. 如請求項7所述之半導體裝置的形成方法,其中在該第二蝕刻製程之後,該閘極填充材料的複數個剩餘部分覆蓋該複數個閘極間隔物的複數個下側壁,而暴露出該複數個閘極間隔物的複數個上側壁,其中該金屬閘極的一上部分接觸該複數個閘極間隔物,且該金屬閘極的一下部分接觸該閘極填充材料的該複數個剩餘部分。
  12. 一種半導體裝置的形成方法,包括: 形成一虛置閘極電極於一鰭片之上,其中該鰭片突出於一半導體基板之上且介於複數個隔離區域之間; 藉由移除該虛置閘極電極的一下部分,以減小該虛置閘極電極的一高度,其中在減小該高度之後,在該虛置閘極電極與該複數個隔離區域之間存在一空隙; 形成一閘極填充材料於位在該虛置閘極電極下方的該空隙中; 形成複數個閘極間隔物於該虛置閘極電極的相對兩側上及該閘極填充材料的相對兩側上; 在形成該複數個閘極間隔物之後,移除該虛置閘極電極且移除至少該閘極填充材料的一部分,以形成一開口於該複數個閘極間隔物之間;以及 形成一金屬閘極於該開口中。
  13. 如請求項12所述之半導體裝置的形成方法,其中在減小該虛置閘極電極的該高度之前與之後,在該複數個隔離區域的一上表面與遠離該複數個隔離區域的該虛置閘極電極的一上表面之間測量的一距離保持相同。
  14. 如請求項12所述之半導體裝置的形成方法,其中該閘極填充材料形成為具有與該虛置閘極電極相同的一寬度,使得該虛置閘極電極的複數個側壁與該閘極填充材料的相應的複數個側壁對齊。
  15. 如請求項12所述之半導體裝置的形成方法,其中該閘極填充材料被形成為用以填充該空隙,並且沿著該虛置閘極電極的複數個側壁延伸。
  16. 如請求項12所述之半導體裝置的形成方法,其中在移除至少該閘極填充材料的一部分之後,該閘極填充材料的一剩餘部分介於該金屬閘極與該複數個閘極間隔物之間,其中靠近該複數個隔離區域的該金屬閘極的一下部分具有一寬度,且該寬度隨著該金屬閘極朝向該複數個隔離區域延伸而減小。
  17. 一種半導體裝置,包括: 一鰭片,突出於一半導體基板之上; 複數個隔離區域,位於該鰭片的相對兩側上; 一閘極結構,位於該鰭片之上; 複數個閘極間隔物,沿著該閘極結構的複數個側壁延伸;以及 一閘極填充材料,位於該閘極結構與該複數個閘極間隔物之間,其中隨著該閘極填充材料朝向該複數個隔離區域延伸,面向該閘極結構的該閘極填充材料的相對兩側的複數個下側壁之間的一距離減小。
  18. 如請求項17所述之半導體裝置,其中該閘極填充材料設置於該複數個隔離區域上且接觸該複數個隔離區域,其中該閘極填充材料覆蓋該複數個閘極間隔物的複數個下側壁,而暴露出該複數個閘極間隔物的複數個上側壁。
  19. 如請求項18所述之半導體裝置,其中隨著該閘極填充材料朝向該複數個隔離區域延伸,該閘極填充材料的一厚度增加。
  20. 如請求項17所述之半導體裝置,其中該閘極填充材料將該閘極結構與該複數個閘極間隔物分隔,其中該閘極填充材料包括: 一第一部分,位於該鰭片的正上方,其中隨著該第一部分從該複數個閘極間隔物的一上表面延伸到該鰭片的一上表面,該第一部分的一厚度維持相同,其中該虛置閘極的該上部分設置於該鰭片的一上表面之上;以及 一第二部分,位於該鰭片的一第一側上且接觸該複數個隔離區域,其中隨著該第二部分朝向該複數個隔離區域延伸,該第二部分的一厚度增加。
TW109136840A 2019-10-31 2020-10-23 半導體裝置及其形成方法 TWI755110B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962928812P 2019-10-31 2019-10-31
US62/928,812 2019-10-31
US16/822,609 US11309403B2 (en) 2019-10-31 2020-03-18 Fin field-effect transistor device and method of forming the same
US16/822,609 2020-03-18

Publications (2)

Publication Number Publication Date
TW202121548A true TW202121548A (zh) 2021-06-01
TWI755110B TWI755110B (zh) 2022-02-11

Family

ID=75689077

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109136840A TWI755110B (zh) 2019-10-31 2020-10-23 半導體裝置及其形成方法

Country Status (3)

Country Link
US (3) US11309403B2 (zh)
KR (1) KR102386543B1 (zh)
TW (1) TWI755110B (zh)

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100355035B1 (en) * 2001-04-03 2002-10-05 Samsung Electronics Co Ltd Method for fabricating semiconductor device by using notch gate
KR20070069957A (ko) 2005-12-28 2007-07-03 동부일렉트로닉스 주식회사 반도체 소자의 도전체 패턴 제조 방법
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
JP2013197498A (ja) 2012-03-22 2013-09-30 Toshiba Corp 半導体装置及びその製造方法
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8803241B2 (en) * 2012-06-29 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy gate electrode of semiconductor device
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US9991285B2 (en) * 2013-10-30 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming FinFET device
US9812577B2 (en) 2014-09-05 2017-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and fabricating method thereof
KR102271195B1 (ko) 2014-09-19 2021-07-01 인텔 코포레이션 마이크로전자 트랜지스터들에서 누설을 감소시키기 위해 버퍼를 생성하는 장치 및 방법
US9620417B2 (en) * 2014-09-30 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method of manufacturing fin-FET devices
TWI641135B (zh) 2014-12-12 2018-11-11 聯華電子股份有限公司 具有磊晶結構之鰭狀場效電晶體
EP3238263A4 (en) * 2014-12-22 2018-08-22 INTEL Corporation Optimizing gate profile for performance and gate fill
US10262870B2 (en) 2015-07-02 2019-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US10269651B2 (en) * 2015-07-02 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US10096712B2 (en) * 2015-10-20 2018-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming and monitoring quality of the same
KR20170047953A (ko) 2015-10-26 2017-05-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
DE102017118193A1 (de) 2016-10-07 2018-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Verringerung des metallischen Gate-Überhangs durch Bilden einer oben breiten und unten schmalen Dummy-Gate-Elektrode
KR102310079B1 (ko) * 2017-03-03 2021-10-08 삼성전자주식회사 반도체 소자
US10347764B2 (en) 2017-06-30 2019-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with multi-layered source/drain regions having different dopant concentrations and manufacturing method thereof
US10840153B2 (en) * 2018-06-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Notched gate structure fabrication
KR20200121154A (ko) * 2019-04-15 2020-10-23 삼성전자주식회사 반도체 장치

Also Published As

Publication number Publication date
KR102386543B1 (ko) 2022-04-14
TWI755110B (zh) 2022-02-11
US20220238696A1 (en) 2022-07-28
US11908920B2 (en) 2024-02-20
US20210134982A1 (en) 2021-05-06
KR20210053156A (ko) 2021-05-11
US11309403B2 (en) 2022-04-19
US20240154025A1 (en) 2024-05-09

Similar Documents

Publication Publication Date Title
US11495501B2 (en) Fin field-effect transistor devices and methods of forming the same
TWI696289B (zh) 半導體裝置及其形成方法
TW202002282A (zh) 半導體裝置之製造方法
TWI725588B (zh) 半導體裝置的形成方法及半導體裝置
CN112750703A (zh) 鳍式场效应晶体管器件及其形成方法
TWI739147B (zh) 半導體裝置及其形成方法
KR20190038272A (ko) Finfet 디바이스 및 그 형성 방법
US20220293742A1 (en) Fin field-effect transistor device and method
TW201911376A (zh) 接觸插塞之形成方法
TWI794665B (zh) 半導體裝置及其形成方法
TWI755110B (zh) 半導體裝置及其形成方法
KR102355230B1 (ko) 핀-전계-효과 트랜지스터 디바이스 및 그 형성 방법
TWI785593B (zh) 半導體裝置及其形成方法
US11688643B2 (en) Semiconductor devices and methods of manufacturing thereof
TWI795774B (zh) 填充結構及其製造方法
US11682675B2 (en) Fin field-effect transistor device and method
KR102224831B1 (ko) 반도체 FinFET 디바이스 및 방법
TW202414824A (zh) 半導體裝置及其製造方法
TW202331934A (zh) 半導體裝置結構之形成方法