TW202114023A - 具有多物件能力的裝載閘系統 - Google Patents

具有多物件能力的裝載閘系統 Download PDF

Info

Publication number
TW202114023A
TW202114023A TW109124253A TW109124253A TW202114023A TW 202114023 A TW202114023 A TW 202114023A TW 109124253 A TW109124253 A TW 109124253A TW 109124253 A TW109124253 A TW 109124253A TW 202114023 A TW202114023 A TW 202114023A
Authority
TW
Taiwan
Prior art keywords
chamber
loading
gate
lock chamber
load
Prior art date
Application number
TW109124253A
Other languages
English (en)
Inventor
安德魯保羅 哈伯特
麥可C 庫加爾
尼可拉斯麥可 博甘茲
里昂 沃福斯基
席維庫瑪 拉馬林格
卡瑞普賽彌 慕蘇坎齊
特道格拉斯R 麥克艾力斯
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202114023A publication Critical patent/TW202114023A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67376Closed carriers characterised by sealing arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67379Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67383Closed carriers characterised by substrate supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • H01L21/67393Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Abstract

本案揭示一種方法,包括以下步驟:藉由裝載閘系統的第一裝載閘腔室經由第一開口接收來自工廠介面的第一物件。第一物件經由第一機械臂被移送到第一裝載閘腔室中。工廠介面在第一狀態下。第一裝載閘腔室經配置以接收不同類型的物件。方法進一步包括以下步驟:將第一裝載閘門抵靠第一開口密封,以在第一裝載閘腔室中產生在第一狀態下的第一密封環境,以及使第一裝載閘腔室的第一密封環境改變為第二狀態。方法進一步包括以下步驟:致動第二裝載閘門以在第一裝載閘腔室和移送腔室之間提供第二開口。第一物件將經由第二機械臂從第一裝載閘腔室被移送到移送腔室。

Description

具有多物件能力的裝載閘系統
本揭示案的實施例關於用於在處理腔室中替換處理套件環(如在晶圓處理系統中使用的處理套件環)的設備和方法,且尤其關於一種具有多物件能力的(multi-object capable)裝載閘系統。
在半導體處理和其他電子處理中,經常使用平臺,此等平臺使用機械臂透過裝載閘將晶圓從儲存區域(如前開式晶圓傳送盒(FOUP))輸送到處理腔室、在處理腔室之間輸送晶圓、透過裝載閘將晶圓從處理腔室輸送到儲存區域等。
以下是本揭示案的簡化概述,以提供對本揭示案的一些態樣的基本理解。此發明內容不是本揭示案的廣泛概述。它既不旨在標識本揭示案的關鍵或重要元素,也不旨在敘述本揭示案的特定實施的任何範圍或專利申請範圍的任何範圍。其唯一目的是以簡化的形式呈現本揭示案的一些概念,作為稍後呈現的更詳細描述的序言。
在本揭示案的一態樣中,一種方法包括以下步驟:藉由第一裝載閘腔室經由裝載閘系統的第一開口接收來自晶圓處理系統的工廠介面的第一物件,晶圓處理系統的裝載閘系統形成此第一裝載閘腔室。經由工廠介面的第一機械臂將第一物件移送到第一裝載閘腔室中。工廠介面在第一狀態下。第一裝載閘腔室經配置以接收不同類型的物件。方法可進一步包括以下步驟:將第一裝載閘門抵靠第一開口密封,以在第一裝載閘腔室中產生在第一狀態下的第一密封環境。方法可進一步包括以下步驟:使第一裝載閘腔室的第一密封環境改變為不同於第一狀態的第二狀態。方法可進一步包括以下步驟:致動第二裝載閘門以在晶圓處理系統的第一裝載閘腔室和移送腔室之間提供第二開口。第一物件將經由移送腔室的第二機械臂從第一裝載閘腔室被移送到移送腔室。
在本揭示案的另一態樣中,一種具有多物件能力的裝載閘系統包括形成晶圓處理系統的第一裝載閘腔室的第一複數個壁。第一裝載閘腔室經配置以設置在晶圓處理系統的工廠介面與晶圓處理系統的移送腔室之間。工廠介面在第一狀態下。第一裝載閘腔室經配置以接收不同類型的物件。具有多物件能力的裝載閘系統可進一步包括一個或多個支撐結構,此一個或多個支撐結構設置在第一裝載閘腔室中以支撐此等不同類型的物件。具有多物件能力的裝載閘系統可進一步包括第一裝載閘門,第一裝載閘門耦接至第一裝載閘腔室的第一開口。第一裝載閘門將被致動到第一開啟位置,使得工廠介面的第一機械臂能夠將第一物件移送到第一裝載閘腔室中。第一裝載閘門抵靠第一開口密封,以在第一裝載閘腔室中產生第一密封環境。具有多物件能力的裝載閘系統可進一步包括一個或多個埠,此一個或多個埠耦接至第一複數個壁,使得第一裝載閘腔室的第一密封環境將能夠從第一狀態改變為不同於第一狀態的第二狀態。具有多物件能力的裝載閘系統可進一步包括第二裝載閘門,此第二裝載閘門耦接至第一裝載閘腔室的第二開口。第二裝載閘門將被致動到第二開啟位置,使得移送腔室的第二機械臂能夠將第一物件從第一裝載閘腔室移送到移送腔室。
在本揭示案的另一態樣中,一種具有處理套件能力的(process kit capable)裝載閘系統包括形成第一裝載閘腔室的第一複數個壁。第一裝載閘腔室經配置以設置在晶圓處理系統的工廠介面與晶圓處理系統的移送腔室之間。工廠介面在第一狀態下形成第一密封環境,以及移送腔室在第二狀態下形成第二密封環境。具有處理套件能力的裝載閘系統可進一步包括第一裝載閘門,此第一裝載閘門耦接至第一裝載閘腔室的第一開口。第一裝載閘門將被致動到第一開啟位置,使得工廠介面的第一機械臂能夠將第一處理套件環移送到第一裝載閘腔室中。第一裝載閘門抵靠第一開口密封,以在第一裝載閘腔中產生在第一狀態下的第三密封環境。具有處理套件能力的裝載閘系統可進一步包括一個或多個埠,此一個或多個埠耦接至第一複數個壁,使得第一裝載閘腔室的第三密封環境將能夠從第一狀態改變為不同於第一狀態的第三狀態。具有處理套件能力的裝載閘系統可進一步包括第二裝載閘門,此第二裝載閘門耦接至第一裝載閘腔室的第二開口。第二裝載閘門將被致動至第二開啟位置,使得移送腔室的第二機械臂能夠將第一處理套件環從第一裝載閘腔室移送至移送腔室,以替換使用過的處理套件環。
本說明書描述的實施例關於具有多物件能力的裝載閘系統。具有多物件能力的裝載閘系統可用在處理系統(如晶圓處理系統)中。
處理系統可包括儲存區域(如FOUP)與工廠介面,儲存區域經配置以儲存晶圓,工廠介面包含機械臂,機械臂經配置以將晶圓從儲存區域移送到工廠介面。處理系統可進一步包括:處理腔室與移送腔室,處理腔室經配置以處理晶圓,移送腔室包含機械臂,機械臂經配置以將晶圓移送至處理腔室以及在處理腔室之間移送晶圓。
工廠介面(例如,及儲存區域)可保持在第一狀態(如大氣壓)下,且移送腔室(如,及處理腔室)可保持在第二狀態(如,真空、低於大氣壓)下,以避免污染處理系統的一個或多個部分以及避免晶圓中的異常現象(如氧化、污染、缺陷)和/或處理中的不均勻性。處理系統可包括用於將工廠介面保持在第一狀態以及將移送腔室保持在第二狀態的裝載閘。裝載閘可包括第一裝載閘門和第二裝載閘門,第一裝載閘門經配置以密封來自工廠介面的裝載閘的裝載閘腔室,第二裝載閘門經配置以密封來自移送腔室的裝載閘腔室。為了將晶圓從工廠介面移送到移送腔室,裝載閘可開啟第一裝載閘門,同時保持第二裝載閘門關閉,經由工廠介面的機械臂接收來自工廠介面的晶圓,關閉第一裝載閘門以產生密封環境,改變裝載閘腔室的密封環境的狀態(例如,從大氣壓變為真空),以及開啟第二裝載閘門,使得晶圓可以被移送到移送腔室中。
移送腔室的機械臂可以將經由裝載閘所接收的晶圓移送到處理腔室中。氣體可用於在處理腔室中蝕刻晶圓(例如,可在將晶圓在蝕刻腔室中靜電夾持定位時蝕刻晶圓)。一個或多個處理套件環可在處理腔室中圍繞晶圓和/或晶圓支撐組件的部分,以保護處理腔室和/或晶圓的部件(例如,保護晶圓支撐組件)。例如,稱為邊緣環或處理套件環的圓形部分直接定位於基板外直徑的外部,以保護支撐基板的吸盤(如靜電吸盤)的上表面免於被蝕刻劑化學蝕刻。處理套件環由幾種不同的材料製成,且可以具有不同的形狀,材料與形狀兩者都會影響處理套件環附近的製程均勻性。在處理期間(例如,當基板被蝕刻劑化學蝕刻時),處理套件環會隨著時間的流逝而劣化(deteriorate)(例如,被蝕刻),並導致形狀變化以及處理均勻性(例如,處理過的基板中的不均勻性、製程中的不均勻性等)中的變化。為了解決由於處理套件環的劣化而導致的處理均勻性的變化,根據排程(schedule)替換處理套件環。
傳統的裝載閘具有開口和腔室,調整開口和腔室的尺寸以容納機械臂的端效器的高度加上晶圓的非常小的高度(如約0.75毫米(mm))。傳統的裝載閘也經配置以容納晶圓的平坦底表面。處理套件環的尺寸和形狀與晶圓的尺寸和形狀有很大不同。處理套件環的高度遠高於晶圓(例如,除了載體的高度以外,處理套件環的高度還可高10mm)。處理套件環的底表面不同於晶圓的底表面。可在其上設置處理套件環的載體的底表面也不同於晶圓的底表面。傳統的裝載閘僅用於移送晶圓,不能接收晶圓以外的其他物件(如,處理套件環、載體等)。
傳統上,為了替換處理套件環,操作員開啟處理腔室以進入處理套件環內部,手動移除和替換處理套件環,隨後關閉處理腔室。當處理腔室開啟時,處理腔室和處理系統可能會被污染(例如,因細胞(cell)、頭髮、灰塵等被污染)。隨後,處理腔室和/或處理系統經歷重新驗證(requalification)過程,此重新認證過程可能會自操作中移除處理腔室和/或處理系統數天到數週。這會影響生產線產量(line yield)、排程、使用的能源、使用者時間,品質(如,響應於向系統中添加變量)等。
本說明書揭露的裝置、系統和方法使用具有多物件能力的裝載閘系統來實現自動替換處理套件環(如,無需開啟處理腔室)。晶圓處理系統的裝載閘系統所形成的裝載閘腔室藉可經由裝載閘系統的第一開口接收來自晶圓處理系統的工廠介面的第一物件。裝載閘腔室可經配置(如,調整尺寸、調整形狀、包括特徵)以接收不同類型的物件,如(例如,第一物件可包括以下任一者)晶圓、處理套件環、空載體、在載體上的處理套件環、放置驗證晶圓或類似物。可經由工廠介面的第一機械臂將第一物件移送到裝載閘腔室。工廠介面(以及往工廠介面開啟的裝載閘腔室)可以在第一狀態(如大氣壓)下。第一裝載閘門可抵靠第一開口密封,以在裝載閘腔室中產生在第一狀態(如大氣壓)下的密封環境。第一密封環境(如經由一個或多個埠,(如淨化配接器、氣體入口等))可從第一狀態改變為與第一狀態不同的第二狀態(例如,從大氣壓改變為真空)。第二狀態可與移送腔室的狀態相同或相似(例如,可將裝載閘腔室的壓力位準(pressure level)改變為與移送腔室的壓力位準實質相似的真空位準)。在一些實施例中,可控制裝載閘腔室和/或裝載閘腔室中的第一物件的溫度。例如,在被移送到移送腔室中之前,可(如使用裝載閘腔室中的加熱器)加熱處理套件環以使其熱膨脹,以幫助將處理套件環裝配定位到處理腔室中。可致動第二裝載閘門以在裝載閘腔室和移送腔室之間提供第二開口。可經由移送腔室的第二機械臂將第一物件從裝載閘腔室移送到移送腔室。第二機械臂可將第一物件移送到處理腔室中。藉由經由具有多物件能力的裝載閘系統將第一物件從工廠介面(如,從儲存區域)移送到處理腔室,晶圓處理系統可避免污染並避免重新驗證過程(與移送腔室和/或處理腔室的開啟相關聯)。
第二物件可藉由移送腔室的第二機械臂移送到裝載閘腔室中。可抵靠第二開口密封第二裝載閘門,以形成在第三狀態(例如,與移送腔室相同(如真空),類似於第二狀態)下的密封環境。裝載閘腔室中的密封環境可經改變為第四狀態(例如,類似於第一狀態)。在一些實施例中,可用氣體(如,氮、氦)將裝載閘腔室填充至與工廠介面的壓力位準(例如,大氣壓)相似或相同的壓力位準。在一些實施例中,可控制密封環境和/或第二物件的溫度。例如,在移送到工廠介面之前,可(如使用裝載閘腔室中的一個或多個冷卻元件)冷卻處理套件環或晶圓,(例如,以避免晶圓氧化、以避免加熱工廠介面中的環境等)。可致動第一裝載閘門以在裝載閘腔室和工廠介面之間提供第一開口。工廠介面的第一機械臂可將第二物件從裝載閘腔室移送到工廠介面中。第一機械臂可將第二物件從工廠介面移送到儲存區域(如FOUP)。
裝載閘腔室可具有一個或多個支撐結構(如,一組鰭片、銷等),此一個或多個支撐結構經配置以支撐不同類型的物件。支撐結構可在支撐結構的一部分與一個或多個不同類型的物件的對應底表面之間提供運動學耦接(kinematic coupling)。例如,一個或多個物件的底表面可具有與一組鰭片上的特徵和/或與設置在裝載閘腔室中的銷的頂部對準的特徵(如,錐形結構)。由一個或多個支撐結構提供的運動學耦接可使裝載閘腔室內的不同類型的物件對準,以用於移送到工廠介面和/或移送腔室中。一個或多個支撐結構可在裝載閘腔室中支撐一個或多個物件。例如,裝載閘腔室中的支撐結構可包括梳狀(comb)結構,此梳狀結構包含多組水平鰭片,其中第一物件可設置在第一組水平鰭片上,及第二物件可設置在第二組水平鰭片上。
具有多物件能力的裝載閘系統可形成一個或多個裝載閘腔室,每個裝載閘腔室均具有一個或多個相應的第一和第二裝載閘門、第一和第二開口,一個或多個支撐結構(如,若干組鰭片、銷)、一個或多個埠等。裝載閘腔室可彼此堆疊在其頂部上。多個裝載閘腔室可提高晶圓處理系統的產量。在一些實施例中,第一裝載閘腔室用於移送晶圓,及第二裝載閘腔室用於移送處理套件環、載體和放置驗證晶圓。在一些實施例中,第一裝載閘腔室用於將物件從工廠介面移送到移送腔室,及第二裝載閘腔室用於將物件從移送腔室移送到工廠介面。在一些實施例中,第一裝載閘腔室用於在工廠介面和移送腔室之間的任一方向上移送處理套件環、載體和/或放置驗證晶圓,和/或用於將晶圓從工廠介面移送到移送腔室,及第二裝載閘腔室用於將晶圓從移送腔室移送到工廠介面。在一些實施例中,將裝載閘腔室耦接至加熱裝置,以及待加熱的物件(如正從工廠介面移送到移送腔室的新的處理套件環、待處理的晶圓等)透過裝載閘腔室移送。在一些實施例中,裝載閘腔室耦接冷卻裝置(例如,冷卻板),以及待冷卻的物件(如正從移送腔室移送到工廠介面的使用過的處理套件環、處理過的晶圓等)透過裝載閘腔室移送。在一些實施例中,某些物件(如使用過的處理套件環)透過特定的裝載閘腔室移送,以避免污染其他物件。在一些實施例中,具有多物件能力的裝載閘系統的不同的裝載閘腔室具有不同的尺寸和/或支撐結構,用於移送特定於某裝載閘腔室的物件。
在一些實施例中,空的第一載體(如載體上沒有處理套件環)可經由具有多物件能力的裝載閘系統從工廠介面被移送到移送腔室,第一載體上的使用過的處理套件環可經由具有多物件能力的裝載閘系統從移送腔室被移送到工廠介面,(如在第二個載體上的)新的處理套件環可經由具有多物件能力的裝載閘系統從工廠介面被移送到移送腔室以替換使用過的處理套件環,以及放置驗證晶圓可經由具有多物件能力的裝載閘系統從工廠介面被移送到移送腔室以用於驗證新的處理套件環的放置,以及放置驗證晶圓可經由具有多物件能力的裝載閘系統從移送腔室被移送到工廠介面。可在不污染處理腔室(如不開啟處理腔室)且無需相應的重新驗證過程的情況下,替換和驗證處理套件環。
本說明書揭露的裝置、系統和方法具有優於傳統解決方案的優點。具有多物件能力的裝載閘系統可與晶圓處理系統的工廠介面和移送腔室介接(interface with),以及能夠在不開啟處理腔室且無需隨後的傳統系統相關聯的處理腔室的重新驗證過程的情況下,移除、替換和驗證處理套件環的放置。具有多物件能力的裝載閘系統可提供密封的環境,且可使密封的環境的狀態發生變化,而能夠達成以下各者中的一個或多個:實質匹配工廠介面或移送腔室的相應狀態,物件的溫度變化和/或物件的熱膨脹、防止污染或保持工廠介面和移送腔室的狀態。具有多物件能力的裝載閘系統可具有一個或多個支撐結構,以可選地提供與透過具有多物件能力的裝載閘系統移送的一個或多個物件的運動學耦接(例如,用於對準一個或多個物件)。與傳統解決方案相比,使用具有多物件能力的裝載閘系統來替換處理套件環會對產線產量、排程、品質、使用者時間、使用的能源等的影響較小。
圖1根據本揭示案的一個態樣繪示處理系統100(如晶圓處理系統)。處理系統100包括工廠介面101,工廠介面101包含多個裝載埠128,盒(如FOUP)102可耦接到多個裝載埠128,以用於將晶圓和/或其他基板移送到處理系統100中和從中移出。工廠介面還可包括封閉系統(enclosure system)130(如,處理套件封閉系統、盒、FOUP等),封閉系統130耦接到裝載埠128,用於將內容物110(如處理套件環)移送到處理系統100或從處理系統100移送出去。
裝載埠128可包括形成垂直開口的前介面。裝載埠128還可具有水平表面。FOUP可具有形成垂直開口的前介面。可調整FOUP的前介面的尺寸以與裝載埠128的前介面介接(例如,FOUP的垂直開口的尺寸可與裝載埠128的垂直開口幾近相同)。FOUP可放置在裝載埠128的水平表面上,且FOUP的垂直開口可與裝載埠128的垂直開口對準。FOUP的前介面可與裝載埠128的前介面互連(例如,被夾緊到裝載埠128的前介面、固定於裝載埠128的前介面、密封於裝載埠128的前介面)。FOUP的底板(如基底板)可具有與裝載埠128的水平表面接合的特徵(例如,與裝載埠運動學銷特徵接合的裝載特徵(如凹部)、裝載埠基準銷間隙和/或FOUP對接托板閂鎖夾持特徵)。封閉系統130(如處理套件封閉系統)具有前介面,調整此前介面的尺寸以與裝載埠128的前介面介接。封閉系統130可放置在裝載埠128的水平表面上,且封閉系統130的垂直開口可與裝載埠128的垂直開口對準。封閉系統130的前介面可與裝載埠128的前介面互連。封閉系統130具有基底板,此基底板具有與裝載埠128的水平表面接合的特徵。封閉系統130可與用於FOUP的相同裝載埠128和含有晶圓的盒介接。
封閉系統130可包括一個或多個內容物項目110(例如,以下各者中的一個或多個:處理套件環、空的處理套件環載體、設置在處理套件環載體上的處理套件環、放置驗證晶圓等)。例如,封閉系統130可耦接到工廠介面101(如裝載埠128),使得能夠將處理套件環載體上的處理套件環自動移送到處理系統100中,以替換使用過的處理套件環。
處理系統100還可包括第一真空埠103a、103b(例如,第一裝載閘門),第一真空埠103a、103b將工廠介面101耦接到相應的脫氣(degassing)腔室104a、104b(如裝載閘腔室、具有多物件能力的裝載閘系統)。第二真空埠105a、105b(如第二裝載閘門)可耦接至相應的脫氣腔室104a、104b並設置在脫氣腔室104a、104b與移送腔室106之間,以利於晶圓和內容物110(如處理套件環)移送到移送腔室106中。在一些實施例中,處理系統100包括和/或使用一個或多個脫氣腔室104和相應數量的真空埠103、105(例如,處理系統100可包括單個脫氣腔室104、單個第一真空埠103和單個第二真空埠105)。在一些實施例中,第一裝載閘系統形成脫氣腔室104a,及第二裝載閘系統形成脫氣腔室104b。在一些實施例中,單個裝載閘系統形成脫氣腔室104a和104b(如一個脫氣腔室堆疊在另一個脫氣腔室的頂部)。
移送腔室106包括設置在其周圍並與其耦接的複數個處理腔室107(例如,四個處理腔室107、六個處理腔室等)。處理腔室107透過相應的埠108(如狹縫閥或類似物)耦接至移送腔室106。在一些實施例中,工廠介面101處於較高的壓力(如大氣壓),而移送腔室106處於較低的壓力。每個脫氣腔室104(如裝載閘、壓力腔室)可具有第一裝載閘門(如第一真空埠103)與第二裝載閘門(如第二真空埠105),第一裝載閘門將脫氣腔室104自工廠介面101密封隔離,第二裝載閘門將脫氣腔室104自移送腔室106密封隔離。當第一裝載閘門開啟且第二裝載閘門關閉時,內容物可從工廠介面101被移送到脫氣腔室104中,第一裝載閘門可關閉,脫氣腔室104中的壓力可降低以匹配移送腔室106,第二裝載閘門可開啟,以及內容物可從脫氣腔室104移送出去。可使用局部中心搜尋(local center finding,LCF)裝置來對準移送腔室106中的內容物(例如,在進入處理腔室107之前,離開處理腔室107之後)。
處理腔室107可包括以下各者中的一個或多個:蝕刻腔室、沉積腔室(包含原子層沉積、化學氣相沉積、物理氣相沉積或其電漿增強版本)、退火腔室及類似物。一些處理腔室107(如蝕刻腔室)可在其中包括處理套件環(如邊緣環、處理環、支撐環、滑動環、石英環等),此等處理套件環偶爾會被替換。儘管傳統系統與操作員拆卸處理腔室以替換處理套件環相關聯,但是處理系統100經配置以利於在沒有操作員拆卸處理腔室107的情況下替換處理套件環。
工廠介面101包括工廠介面機器人111。工廠介面機器人111可包括機械臂,且可以是選擇順應性裝配機械臂(SCARA)機器人(如2連桿SCARA機器人、3連桿SCARA機器人、4連桿SCARA機器人等),或者可包括SCARA機器人。工廠介面機器人111可在機械臂的端部上包括端效器。端效器可經配置以拾取並搬動(handle)諸如晶圓的特定物件。或者,端效器可經配置以搬動諸如處理套件環(邊緣環)的物件。工廠介面機器人111可經配置在盒102(如FOUP)與脫氣腔室104a、104b之間移送物件。
移送腔室106包括移送腔室機器人112。移送腔室機器人112可包括在機械臂的端部處具有端效器的機器臂。端效器可經配置以搬動諸如晶圓的特定物件。移送腔室機器人112可以是SCARA機器人,但是在一些實施例中,可比工廠介面機器人111具有更少的連桿(link)和/或更少的自由度。
控制器109控制處理系統100的各個態樣。控制器109可以是計算裝置(如個人電腦、伺服器電腦、可程式化邏輯控制器(PLC)、微控制器等)且/或包括計算裝置。控制器109可以包括一個或多個處理裝置,處理裝置可以是通用處理裝置(如微處理器、中央處理單元或類似物。更具體地說,處理裝置可以是複雜指令集計算(CISC)微處理器、簡化指令集計算(RISC)微處理器、超長指令字集(VLIW)微處理器、或者是施行其他指令集的處理器或施行指令集的組合之處理器。處理裝置還可以是一個或多個專用處理裝置,例如特殊應用積體電路(ASIC)、場式可程式閘陣列(FPGA)、數位信號處理器(DSP)、網路處理器或類似物。控制器109可包括資料儲存裝置(如一個或多個磁碟驅動和/或固態驅動)、主記憶體、靜態記憶體、網路介面和/或其他部件。控制器109可執行指令以施行本說明書所述的方法或製程中的任何一個或多個。指令可儲存在電腦可讀儲存媒體上,(在指令執行期間)此電腦可讀儲存媒體可包括主記憶體、靜態記憶體、輔助儲存和/或處理裝置。在實施例中,控制器109可接收來自工廠介面機器人111和晶圓移送腔室機器人112的信號並將控制發送到工廠介面機器人111和晶圓移送腔室機器人112。
圖1示意性地繪示內容物110(如,與處理套件環載體耦接的處理套件環、空的載體、不具有載體的處理套件環、放置驗證晶圓等)移送到處理腔室107中。根據本揭示案的一個態樣,內容物110經由位於工廠介面101中的工廠介面機器人111從封閉系統130中移除。工廠介面機器人111透過第一真空埠103a、103b之一將內容物110移送到相應的脫氣腔室104a、104b中。位於移送腔室106中的移送腔室機器人112透過第二真空埠105a或105b從脫氣腔室104a、104b之一中移除內容物110。移送腔室機器人112將內容物110移送到移送腔室106中,在移送腔室106,內容物110可透過相應的埠108移送到處理腔室107。雖然為了清楚起見沒有在圖1中示出,但是內容物110的移送可包括移送設置在處理套件環載體上的處理套件環、移送空的處理套件環載體、移送驗證晶圓等。
圖1繪示內容物110的移送的一個實例,但是也可設想有其他實例。例如,可以預期的是,封閉系統130可(如經由移送腔室106中的裝載埠)耦接至移送腔室106。內容物110可藉由移送腔室機器人112從移送腔室106裝載到處理腔室107中。此外,內容物110可被裝載在基板支撐基座(SSP)中。附加的SSP可經定位成與所示的SSP相對的工廠介面101通訊。可以預期的是,可以以與本說明書所述之任何方式相反的方式從處理系統100中移除處理過的內容物110(如使用過的處理套件環)。當利用多個處理套件封閉系統130或封閉系統130和SSP的組合時,可以預期的是,一個SSP或封閉系統130可用於未處理的內容物110(如新的處理套件環),而另一個SSP或封閉系統130可用於接收處理過的內容物110(如使用過的處理套件環)。
在一些實施例中,固定於處理套件環載體的上表面的處理套件環可儲存在封閉系統130中,且工廠介面機器人111可將工廠介面機器人111的端效器插入處理套件環載體下方的封閉系統130中,舉升處理套件環載體,以及從封閉系統130中抽出,以經由脫氣腔室104(如裝載閘)輸送固定於處理系統100內的機器人上的處理套件環載體的處理套件環。在一些實施例中,處理套件環儲存在處理套件封閉系統200內(例如,沒有被固定於處理套件環載體)。工廠介面機器人111可從處理系統100或封閉系統130內獲得空的處理套件環載體,且可使用空的處理套件環載體從封閉系統130中移除處理套件環,以輸送固定於處理系統100內的處理套件環載體之處理套件環。在一些實施例中,工廠介面機器人111可從封閉系統130取回處理套件環,且在不使用處理套件環載體的情況下在處理系統100內輸送處理套件環。
圖2A根據本揭示案的某些態樣繪示處理系統200(如圖1的處理系統100)的剖面側視圖。處理系統200可包括裝載閘系統204(如圖1的脫氣腔室104a或104b)。處理系統200可進一步包括工廠介面201(如圖1的工廠介面101)、裝載埠228(如圖1的128)、封閉系統230(如圖1的封閉系統130)、移送腔室206(如圖1的106)和處理腔室107(如圖1的107)。工廠介面201可包括機械臂211(如圖1的工廠介面機器人111)。移送腔室206可包括機械臂212(如圖1的移送腔室機器人112)。封閉系統230和工廠介面201可在第一狀態下(如大氣壓等),且移送腔室206和處理腔室207可在第二狀態下(如真空,比工廠介面201低的壓力)。
裝載閘系統204可包括一組或多組壁,每組壁均形成對應的裝載閘腔室240。在一些實施例中,裝載閘系統204僅形成一個裝載閘腔室240。在一些實施例中,裝載閘系統形成兩個裝載閘腔室240。在一些實施例中,裝載閘系統204形成兩個以上的裝載閘腔室240。每個裝載閘腔室240可經配置以設置在工廠介面201和移送腔室206之間,用於在工廠介面201和移送腔室206之間移送一個或多個類型的物件(如兩個或更多個類型的物件)。一個或多個支撐結構242(如鰭片、銷、梳狀結構等)可設置在一個或多個裝載閘腔室240中以支撐一個或多個不同類型的物件。
對於每個裝載閘腔室240,裝載閘系統204可包括第一裝載閘門203(如圖1的真空埠103)與第二裝載閘門205(如圖1的真空埠105),第一裝載閘門203將裝載閘腔室240與工廠介面201分開,第二裝載閘門205將裝載閘腔室240與移送腔室206分開。對於每個裝載閘腔室240,第一裝載閘門203可耦接到裝載閘腔室240的第一開口,且第一裝載閘門203可被致動到第一開啟位置,使得機械臂211能夠在工廠介面201和裝載閘腔室240之間移送物件。對於每個裝載閘腔室240,第二裝載閘門205可耦接到裝載閘腔室240的第二開口,且第二裝載閘門205可被致動到第二開啟位置,使得機械臂212能夠在移送腔室206和裝載閘腔室240之間移送物件。對於每個裝載閘腔室240,第一裝載閘門203可抵靠第一開口密封,第二裝載閘門205可抵靠第二開口密封,以在裝載閘腔室240中形成密封環境。裝載閘門可經由相應的墊圈或O形環密封。
對於每個裝載閘腔室240,裝載閘系統204可包括一個或多個埠244,一個或多個埠244耦接至相應的壁,使得裝載閘腔室240的密封環境能夠從一狀態改變為不同的狀態(例如,不同的壓力、不同類型的氣體、不同的溫度等)。埠244可用於以下各者中的一個或多個:從裝載閘腔室240中去除氣體,用氣體填充裝載閘腔室等。
裝載閘系統204可包括溫度控制裝置(例如,冷卻板、加熱板等),溫度控制裝置耦接至裝載閘腔室以控制裝載閘腔室和/或設置在裝載閘腔室240中的物件的溫度。在一些實施例中,可冷卻從移送腔室206透過裝載閘腔室240移送到工廠介面201的物件(如使用過的處理套件環、處理過的晶圓等)(例如,以避免晶圓的氧化、以避免改變工廠介面的狀態、避免損壞部件(如封閉系統中的支撐結構)。在一些實施例中,可加熱從工廠介面201透過裝載閘腔室240移送到移送腔室206的物件(如新的處理套件環、待處理的晶圓等)(例如,以提供物件的熱膨脹以更好地裝配(fit)在處理腔室中等)。在一些實施例中,升舉銷可透過溫度控制裝置(如透過冷卻板)定向以舉起物件。
在一些實施例中,裝載閘系統204是多物件裝載閘系統,其能夠在工廠介面201和移送腔室206之間移送不同類型的物件。例如,多物件裝載閘系統可以能夠移送以下各者中的兩個或更多個:晶圓、處理套件環、空的載體、設置在載體上的處理套件環,或放置驗證晶圓。在一些實施例中,裝載閘系統204是具有處理套件環能力的裝載閘系統,其能夠在工廠介面201和移送腔室206之間移送至少一處理套件環。在一些實施例中,裝載閘系統204的裝載閘腔室240經配置以接收高度大於1mm(毫米)、5-10mm、5-15mm、10-15mm或大於15mm的一個或多個物件。
封閉系統230(例如,FOUP、處理套件封閉系統)可與裝載埠228介接(如密封抵靠(seal against)裝載埠228)。封閉系統230中的一個或多個的門和/或裝載埠228的門可開啟以在封閉系統230和工廠介面201之間產生開口。封閉系統230和工廠介面201可形成在第一狀態下(如大氣壓)的密封環境。當第二裝載閘門205在關閉位置中時,第一裝載閘門203可被致動至開啟位置,使得裝載閘腔室240、工廠介面201和封閉系統230成為在第一狀態下的密封環境的部分。
機械臂211(如大氣機器人(atmospheric robot))可從工廠介面201延伸到封閉系統230中,以舉起物件(如處理套件環、載體、放置驗證晶圓),並可透過工廠介面201移送物件到裝載閘腔室240中(如,透過響應於第一裝載閘門203在開啟位置中的開口)。第一裝載閘門203可關閉以抵靠第一開口而被密封,以在裝載閘腔室240中產生在第一狀態下的密封環境。埠244可用於將裝載閘腔室240改變為不同的狀態(例如,真空、實質類似於移送腔室206的狀態)。
可開啟第二裝載閘門205,且機械臂212(如真空機器人)可從移送腔室206延伸到裝載閘腔室240中,以從支撐結構242舉起物件。機械臂212可將物件從裝載閘腔室透過移送腔室206移送到處理腔室207中。機械臂212可將物件放置在處理腔室207中的一個或多個支撐結構(如銷)上。
在一些實施例中,封閉系統230可包括一個或多個空的載體、一個或多個新的處理套件環(如,設置在載體上)以及放置驗證晶圓(如,具有照相機的晶圓、具有光反射偵測器的晶圓等)。處理系統200可將空的載體從封閉系統130透過裝載閘系統204(如裝載閘腔室240)(例如,除了透過工廠介面201和移送腔室206之外)移送到處理腔室207。處理系統200可將使用過的處理套件環放置在處理腔室207中的載體上(如使用處理腔室207中的升舉銷),且處理系統200可將載體上的使用過的處理套件環透過裝載閘系統204(如裝載閘腔室240)移送到封閉系統230(例如,以待設置在封閉系統230中的一個或多個支撐結構上)。處理系統200可將新的處理套件環(例如,在載體上)從封閉系統230透過裝載閘系統204移送並移送到處理腔室207中,以替換從處理腔室207中所移除的使用過的處理套件環(例如,藉由在處理腔室207中延伸升舉銷以從機械臂212上移除新的處理套件環,移除機械臂212以及降低升舉銷)。響應於將新的處理套件環移送到載體上,現在變空的載體可從處理腔室207透過裝載閘系統204(如裝載閘腔室240)移送到封閉系統(例如,以待設置在封閉系統中的支撐結構上)。處理系統200可透過裝載閘系統204(如裝載閘腔室240)將來自封閉系統230的放置驗證晶圓移送到處理腔室207中,以驗證處理腔室207中之新的處理套件環的放置。
在一些實施例中,支撐結構242可支撐多於一個的物件。在一些實施例中,空的載體可從封閉系統230被移送到裝載閘腔室240中的支撐結構242的第一組鰭片,且處理套件環(例如,在載體上)可被移送到支撐結構242的第二組鰭片。第一裝載閘門203可被致動到兩個物件都在裝載閘腔室240A中的一關閉位置。在一些實施例中,空的載體可從支撐結構242的第一組鰭片被移送到處理腔室207中,載體(之前是空的(that was empty))上的使用過的處理套件環可被移送到第一組鰭片上的裝載閘腔室240中,且可將新的處理套件環(例如,在另一個載體上)移送到處理腔室207中,以替換使用過的處理套件環。藉由在裝載閘腔室240中的支撐結構242上支撐多於一個的物件,裝載閘腔室240的狀態(如壓力、溫度、氣體類型等)通常可改變較少,而導致以下狀況中的一個或多個:能耗少、處理器負載(overhead)少、氣體少、設備磨損少、維護少等。
裝載閘系統204可包括一個或多個裝載閘腔室240,調整裝載閘腔室240的尺寸、形狀並將其配置為具有透過裝載閘腔室240移送的特定類型的物件。可調整裝載閘腔室240A的尺寸以用於以下各者中的一個或多個:載體、沒有載體的處理套件環、設置在載體上的處理套件環、或待透過第一裝載閘腔室240A移送的放置驗證晶圓。可調整裝載閘腔室240B的尺寸以用於移送晶圓。
圖2B根據某些實施例繪示裝載閘系統204的前視圖。裝載閘系統204可形成一個或多個裝載閘腔室240。每個裝載閘腔室240可包括支撐結構242。支撐結構可支撐一個或多個物件。在一些實施例中,支撐結構可經配置以提供與一個或多個物件的運動學耦接以對準一個或多個物件。
在一些實施例中,支撐結構242包括一組或多組鰭片。每組鰭片可支撐一物件。可藉由機械臂(如機械臂211、機械臂212)將第一物件放置在第一組鰭片上,且可藉由機械臂將第二物件放置在第二組鰭片上。
在一些實施例中,支撐結構242包括銷。銷可從裝載閘腔室240的底表面(如底腔室表面)延伸以支撐物件。可藉由機械臂(如機械臂211、機械臂212)將物件放置在銷上。
在一些實施例中,設置在裝載閘腔室240中的支撐結構242包括一組或多組鰭片和從裝載閘腔室240的底表面延伸的一個或多個銷。第一物件可設置在第一組鰭片上,及第二物件可設置在裝載閘腔室240的底表面上。為了移送第二物件,可經由銷將第二物件升高到裝載閘腔室240的底表面上方。
圖2C根據某些實施例繪示支撐結構242(如將被設置在裝載閘腔室240中的支撐結構)。在一些實施例中,支撐結構242包括垂直部件250,一組或多組鰭片252和橋接托架254。橋接托架254可防止垂直部件250撓曲。支撐結構可設置在裝載閘系統204的裝載閘腔室240內。
在一些實施例中,垂直部件250的下表面耦接至圈(hoop),圈設置在裝載閘腔室240的底表面上。圈可具有支撐基部(如圈的較大部分)以穩定圈(如避免撓曲)。
每組鰭片252可支撐物件,如空的載體260(例如,處理套件環載體、處理套件環配接器)、在載體260上的處理套件環262(例如新的或使用過的)、或放置驗證晶圓264、或晶圓。可調整每組鰭片252的尺寸、間隔和配置以支撐一個或多個類型的物件並允許機械臂(如機械臂211或212的端效器)以從一組鰭片252升舉物件並將物件放置在此組鰭片252上。每組鰭片252可提供與設置在此組鰭片252上的物件的運動學耦接。
圖3A-E根據某些實施例繪示支撐裝載閘系統的裝載閘腔室內的物件之支撐結構。在一些實施例中,圖3A-E中的支撐結構提供與設置在支撐結構上的物件的運動學耦接以對準物件。可藉由與支撐結構或對準裝置(如對準裝置、局部中心搜索器(local center finder,LCF)裝置)運動學耦接中的一個或多個來對準每個物件。儘管在圖3A-E中可繪示某些類型的物件,但是圖3A-E中的支撐結構可與不同的物件一起使用。
圖3A根據某些實施例繪示在鰭片352(如圖2C的鰭片252)上的銷接觸件302的剖面圖。在一些實施例中,銷接觸件302可以是聚對苯二甲酸乙二醇酯(PET)插入件。在一些實施例中,每個鰭片352具有銷接觸件302。銷接觸件302的側壁可以是傾斜的(例如,越遠離鰭片352更窄且越靠近鰭片352更寬,即傾斜的側壁)。靠近銷接觸件302的頂部的側壁的一部分可以是彎曲的(如,錐形的)。傾斜的側壁的拔模角(draft angle)可允許物件(如圖2C的處理套件環362、處理套件環262)在被降低到支撐結構的鰭片352上時具有誤差,且可將物件引導到位(例如,引導到鰭片352上的目標位置)。銷接觸件302的側壁可具有第一摩擦係數(如低摩擦係數)以允許在進入時滑動。在其上設置有物件(如處理套件環362)(例如,靠近銷接觸件302的側壁)的銷接觸件302的實質水平的表面可具有第二摩擦係數(如高摩擦係數,即高於側壁的第一摩擦係數之一摩擦係數),以防止物件(如機械臂211、212的端效器)滑動和偏移(如防止水平移動)。在一些實施例中,載體360(如圖3C的載體260)和/或放置驗證晶圓(如圖2C的放置驗證晶圓264)可具有與銷接觸件302介接(如具有運動學耦接)的特徵(如凹口、側壁等)。
圖3B根據某些實施例繪示形成凹部304(如凹口)的鰭片352的剖面圖。凹部304可具有實質水平的表面以支撐物件的底表面(如處理套件環362)。凹部304可具有傾斜的側壁(如具有拔模角、引導錐度),以允許物件在下降到鰭片352上時具有誤差,且可將物件引導到位。在一些實施例中,載體360(如圖3C的載體260)和/或放置驗證晶圓(如圖2C的放置驗證晶圓264)可具有與凹部304介接(如具有運動學耦接)的特徵(如凹口、側壁等)。
圖3C根據某些實施例繪示與物件(如載體360)介接的鰭片352的剖面圖。物件(如載體360)的下表面可形成一個或多個凹部,以及鰭片352的上表面可形成一個或多個特徵(如擠製件(extrusion)、銷接觸件等),調整此一個或多個特徵的尺寸和形狀(如錐形、銷栓(dowel)形等)以彼此介接(例如,以防止載體360在鰭片352上移動)。特徵的側壁或凹部的側壁可以是傾斜的(如引導錐度)以允許對準誤差(如在將載體360降低到鰭片352上時允許有誤差)。載體360可具有類似於圖3B的凹部304之凹部,以與處理套件環362介接。除了鰭片352上的特徵(如擠製件、銷接觸件)之外或是作為鰭片352上的特徵之替代品,可在與物件的底表面中的特徵對準之鰭片352(如鰭片352的上表面)中設置凹部。在一些實施例中,處理套件環362和/或放置驗證晶圓(如圖2C的放置驗證晶圓264)可具有與鰭片352的特徵(如擠製件)介接(例如,具有運動學耦接)的凹部。
圖3D根據某些實施例繪示與物件(如載體360)介接的鰭片352的剖面圖。一個或多個機械安全引導件306(如突部、銷接觸件等)可設置在物件(如載體360)的下表面上。機械安全引導件306可與鰭片352的上表面所形成的凹部316介接。與凹部316介接的機械安全引導件306可允許對準誤差(例如,在將載體360降低到鰭片352上時允許有誤差)。與凹部316介接的機械安全引導件306可防止載體360移動(例如,即便裝載閘系統振動,也不會使鰭片352滑落)。在一些實施例中,處理套件環362和/或放置驗證晶圓(如圖2C的放置驗證晶圓264)可具有與鰭片352的凹部316介接(如具有運動學耦接)的機械安全引導件306。
圖3E根據某些實施例繪示與升舉銷318(如載體升舉銷、晶圓升舉銷)介接的物件(如,具有升舉銷介面的載體360)的剖面圖。升舉銷318可以是晶圓升舉銷(例如,用於在處理腔室中升降晶圓)。收容器(receptacle)319可在物件(如載體360)的下表面中形成以容納升舉銷318。收容器319包括具有圓柱形狀的主體330和在主體330的一端處的外擴的(flared)基部331。在一些實施例中,主體330設置穿過物件(如載體360),並且在一些實施例中,主體嵌入在物件的下表面中(例如,不延伸穿過)。外擴的基部331可部分地定位在物件(如載體360)的下表面上所形成的擴孔(counterbore)中並接觸此擴孔。收容器319可包括延伸到主體330中的第一凹部332和在外擴基部331中形成的擴孔333。凹部332和擴孔333可藉由漸縮的(tapering)側壁334耦接以利於特徵接合(例如,運動學耦接,與升舉銷318的接合)。在一個實例中,凹部222具有長方形(oblong)或拋物線形狀以容納直徑對準特徵。在這樣的實例中,凹部332可在與物件(如載體360)的周邊的兩個實質平行的邊所平行的方向上(與兩個實質平行的邊所垂直的方向相反)具有更大的寬度。凹部332的拋物線形或長方形形狀可有助於將升舉銷318容納在凹部332內。在一些實施例中,升舉銷318的上表面形成凹部(如倒錐),且收容器319形成與升舉銷318中的凹部介接的突部。
圖4A-F根據某些實施例繪示設置在支撐結構的(如設置在裝載閘系統的裝載閘腔室中的支撐結構的)一個或多個鰭片494上的物件(如載體460)。鰭片494可提供與物件的運動學耦接。在一些實施例中,物件(如載體460)在第一平面上具有平坦的底表面,且具有從第一平面延伸的一個或多個特徵(如擠製件、墊)。例如,物件(如載體460)可具有從物件的側表面繞(wrap)到物件的底表面的一個或多個墊。每個鰭片494可具有凹部(如槽)以容納物件的特徵(如墊)。在一些實施例中,僅物件的特徵與鰭片接合(例如,物件的平坦底表面不與鰭片494接合)。在一些實施例中,鰭片494的凹部(其容納物件的墊)限制了物件在x方向和y方向上的運動。
圖4A根據某些實施例繪示在(例如,設置在裝載閘系統的裝載閘腔室中的支撐結構的)鰭片494A上的物件(如載體460)的剖面圖。圖4B根據某些實施例繪示在(例如,設置在裝載閘系統的裝載閘腔室中的支撐結構的)鰭片494A上的載體460上所設置的處理套件環462的剖面圖。圖4C根據某些實施例繪示在(例如,設置在裝載閘系統的裝載閘腔室中的支撐結構的)鰭片494A上的載體460上所設置的處理套件環462的頂視圖。載體460可設置在一個或多個鰭片494(例如,兩個鰭片、三個鰭片、四個鰭片等)上。
鰭片494A可形成凹部以固定載體460。可調整凹部的形狀以對準、定位和捕獲載體460上的處理套件環462的堆疊。載體460可具有銷接觸件以固定處理套件環462。
圖4D根據某些實施例繪示在(例如,設置在裝載閘系統的裝載閘腔室中的支撐結構的)鰭片494B上的物件(如載體460)的剖面圖。圖4B根據某些實施例繪示在(例如,設置在裝載閘系統的裝載閘腔室中的支撐結構的)鰭片494B上的載體460上所設置的處理套件環462的剖面圖。圖4F根據某些實施例繪示在(例如,設置在裝載閘系統的裝載閘腔室中的支撐件的)鰭片494A和/或494B上的載體460上所設置的處理套件環462的頂視圖。載體460可設置在一個或多個鰭片494A(例如,一個鰭片494A、兩個鰭片494A、三個鰭片494A、四個鰭片494A等)上和一個或多個鰭片494B(例如,一個鰭片494B、兩個鰭片494B、三個鰭片494B、四個鰭片494B等)上。
鰭片494B可形成第一凹部以固定載體460。鰭片494B可形成第二凹部以固定處理套件環462。鰭片494B可提供角度對準(例如,與處理套件環的平坦內壁722介接)且可提供固持特徵。
圖5A-C根據某些實施例繪示用於經由裝載閘系統在處理腔室中替換處理套件環的方法500A-C。儘管以特定的次序或順序表示,但是除非另有說明,否則可以修改製程的順序。因此,所示的實施例應當僅被理解為示例,且所示的製程可以以不同的順序施行,且一些製程可以並行地施行。另外,可以在各種實施例中省略一個或多個製程。因此,並非在每個實施例中都需要所有製程。
內容物可設置在封閉系統的內部空間中。內容物可設置在處理套件封閉系統的內部空間中所設置的支撐結構(如梳狀結構)的鰭片上。內容物可包括以下各者中的一個或多個:處理套件環載體(如空的或在處理套件環載體上設置有處理套件環)、處理套件環(如設置在支撐結構的鰭片上、設置在處理套件環載體上)或放置驗證晶圓。可在處理套件封閉系統中手動裝載內容物,也可將內容物自動裝載到處理套件封閉系統中(例如,使用機械臂)。
參照圖5A,在方法500A的框502,將裝載閘系統的第二裝載閘門致動至關閉位置以密封抵靠裝載閘系統的裝載閘腔室的第二開口,此裝載閘系統的裝載閘腔室的第二開口設置在晶圓處理系統的裝載閘腔室和移送腔室之間。
在框504,將裝載閘系統的第一裝載閘門致動至開啟位置,以提供晶圓處理系統的裝載閘腔室與工廠介面之間設置的裝載閘腔室的第一開口。
在框506,裝載閘腔室經由裝載閘腔室的第一開口接收來自工廠介面(如來自封閉系統)的物件。可經由工廠介面的第一機械臂將物件移送到裝載閘腔室中。工廠介面可在第一狀態下(如大氣壓)。裝載閘腔室可經配置以接收不同類型的物件,例如以下各者中的兩個或更多個:晶圓、載體、處理套件環(例如,在載體上)或放置驗證晶圓。
在框508,將物件支撐在裝載閘腔室中所設置的支撐結構(例如,支撐結構的一組鰭片和/或銷)上。第一物件在支撐結構上的支撐可在支撐結構(例如,一組鰭片和/或銷中的各者)與物件的底表面的對應部分(如特徵)之間提供運動學耦接。
在框510,將第一裝載閘門致動至關閉位置以密封抵靠第一開口,以在第一裝載閘腔室中產生第一狀態(如大氣壓)下的密封環境。
在框512,將裝載閘腔室的密封環境改變為與第一狀態不同的第二狀態(例如,真空,實質類似於移送腔室的狀態)。
在方框514,致動第二裝載閘門以在晶圓處理系統的裝載閘腔室和移送腔室之間提供第二開口。物件將經由裝載閘腔室的第二機械臂從裝載閘腔室移送到移送腔室。
參照圖5B,在方法500B的框522,將裝載閘系統的第一裝載閘門致動至關閉位置以密封抵靠裝載閘系統的裝載閘腔室的第一開口,此裝載閘系統的該裝載閘腔室的第一開口設置在晶圓處理系統的裝載閘腔室和工廠介面之間。
在框524,將裝載閘系統的第二裝載閘門致動至開啟位置,以提供在晶圓處理系統的裝載閘腔室和移送腔室之間設置的裝載閘腔室的第二開口。
在框526,裝載閘腔室經由裝載閘系統的第二開口接收來自移送腔室的物件。可經由移送腔室的第二機械臂將物件移送到裝載閘腔室中。移送腔室可處於第三狀態(如真空)。裝載閘腔室可經配置以接收不同類型的物件,例如以下各者中的兩個或更多個:晶圓、載體、處理套件環(例如,在載體上)或放置驗證晶圓。
在框528,將物件支撐在設置在裝載閘腔室中的支撐結構(例如,支撐結構的一組鰭片和/或銷)上。第一物件在支撐結構上的支撐可在支撐結構(例如,一組鰭片和/或銷中的各者)與物件的底表面的對應部分(如特徵)之間提供運動學耦接。
在框530,第二裝載閘門被致動到關閉位置以密封抵靠第二開口,以在裝載閘腔室中產生在第三狀態下的密封環境。
在框532,將裝載閘腔室的密封環境改變為與第三狀態不同的第四狀態(如大氣壓)。可用惰性氣體(如氮、氦等)填充裝載閘腔室,以將壓力升高到大氣壓並冷卻物件。
在框534,致動第一裝載閘門以在晶圓處理系統的裝載閘腔室與工廠介面之間提供第一開口。物件將經由工廠介面的第一機械臂從裝載閘腔室被移送到工廠介面。
參照圖5C,在方法500C的框542,將空的載體從封閉系統透過裝載閘系統移送至處理腔室(例如,經由圖5A的方法500A)。在一些實施例中,空的載體可由大氣機器人拾取,放置在對準器上並對準,隨後放置在裝載閘腔室中,落在銷上,以及接著大氣機器人自裝載閘器收起(retract)。真空機器人可拾取空的載體並將空的載體移至待替換處理套件的處理腔室之前。處理腔室可升舉使用過的處理套件環,真空機器人葉刀(blade)可延伸到處理腔室中,處理套件環可放置在載體上,以及葉片可收起。LCF裝置可用於在框542期間準確地定位載體。
在框544,使用過的處理套件環在載體上從處理腔室透過裝載閘系統被移送到封閉系統(例如,經由圖5B的方法500B)。可將載體上的使用過的處理套件環裝載到裝載閘系統中,排出(vent)到大氣中,接著由大氣機器人拾取,使用用於收集位置資訊的對準器裝置驗證。載體上的使用過的處理套件環可沉積在封閉系統(如FOUP)中的最低可用的鰭片組(如槽)中。
在框546,將新的處理套件環(例如,在載體上)透過裝載閘系統從封閉系統移送到處理腔室,以替換使用過的處理套件環(例如,經由圖5A的方法500A)。大氣機器人可拾取新的處理套件環(例如,在載體上)(例如,從封閉系統中最低的新的處理套件環中取出),將新的處理套件環(和載體)輸送到對準器裝置以待根據獨特(unique)特徵對準。將新的處理套件環移送到處理腔室中,並放置在處理腔室中,以替換移除的處理套件環。透過裝載閘系統來回輸送可在裝載閘開口的右、左、上、下或任何組合進行。
在框548,將放置驗證晶圓從封閉系統透過裝載閘系統移送到處理腔室,以驗證用新的處理套件環替換使用過的處理套件環(例如,經由圖5A的方法500A)。
前面的描述闡述了許多特定細節(例如特定系統、部件、方法等的實例),以便提供對本揭示案的幾個實施例的良好理解。然而,對於熟習本領域者彰顯的是,可在沒有這些具體細節的情況下實踐本揭示案的至少部分實施例。在其他情況下,未詳細描述習知的部件或方法,或者以簡單的框圖格式呈現習知的部件或方法,以避免不必要地混淆本揭示案。因此,闡述的具體細節僅是示例性的。特定實施方式可自這些示例性細節改變而來,且仍然可以預期在本揭示案的範圍內。
在整個說明書中對「一個實施例」或「一實施例」的引用意味著結合至少一個實施例中所包含的實施例描述的特定特徵、結構或特性。因此,整個說明書各處出現的如「在一個實施例中」或「在一實施例中」用語不一定指相同實施例。另外,術語「或」旨在表示包含性的「或」而不是排他性的「或」。當在本說明書中使用術語「約」或「幾近」時,這旨在表示所給出的標稱值精確在±10%以內。
儘管以特定順序表示和描述本說明書中方法的操作,但是可改變每個方法的操作順序,使得可以以相反的順序施行某些操作,使得可以至少部分地施行某些操作,與其他操作同時施行。在另一個實施例中,不同操作的指令或子操作可以以間歇和/或交替的方式進行。
應當理解的是,以上描述旨在說明性的而非限制性的。在閱讀和理解以上描述之後,許多其他實施例對熟習本領域者彰顯。因此,本揭示案的範圍應參考所附申請專利範圍以及這些申請專利範圍所賦予的等效物的全部範圍來決定。
100:處理系統 101:工廠介面 102:盒 103a:第一真空埠 103b:第一真空埠 104a:脫氣腔室 104b:脫氣腔室 105a:第二真空埠 105b:第二真空埠 106:移送腔室 107:處理腔室 108:埠 109:控制器 110:內容物 111:工廠介面機器人 112:移送腔室機器人 128:裝載埠 130:封閉系統 200:封閉系統 201:工廠介面 203:第一裝載閘門 204:裝載閘系統 205:第二裝載閘門 206:移送腔室 207:處理腔室 211:機械臂 212:機械臂 228:裝載埠 230:封閉系統 240:裝載閘腔室 240A:裝載閘腔室 240B:裝載閘腔室 242:支撐結構 244:埠 252:鰭片 254:橋接托架 260:載體 262:處理套件環 264:放置驗證晶圓 302:銷接觸件 304:凹部 306:機械安全引導件 316:凹部 318:升舉銷 319:收容器 330:主體 331:外擴的基部 332:第一凹部 333:擴孔 334:側壁 352:鰭片 360:載體 362:處理套件環 460:載體 462:處理套件環 494:鰭片 494A:鰭片 494B:鰭片 500A:方法 502:框 504:框 506:框 508:框 510:框 512:框 514:框 500B:方法 522:框 524:框 526:框 528:框 530:框 532:框 534:框 500C:方法 542:框 544:框 546:框 548:框
在所附的圖式中以示例的方式而非限制的方式繪示本揭示案,在所附的圖式中,相同的元件符號代表相似的元件。應當注意,在本揭示案中對「一(a)」或「一個(one)」實施例的不同引用不一定是相同實施例,且這樣的引用表示至少一個。
圖1根據某些實施例繪示處理系統的頂視圖。
圖2A根據某些實施例繪示處理系統的剖面側視圖。
圖2B根據某些實施例繪示裝載閘系統的前視圖。
圖2C根據某些實施例繪示將被設置在裝載閘系統中的支撐結構。
圖3A-E根據某些實施例繪示支撐裝載閘系統的裝載閘腔室內的物件之支撐結構。
圖4A-F根據某些實施例繪示設置在支撐結構的一個或多個鰭片(fin)上的物件,此支撐結構設置在裝載閘系統的裝載閘腔室中。
圖5A-C根據某些實施例繪示用於經由裝載閘系統在處理腔室中替換處理套件環的方法。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
200:封閉系統
201:工廠介面
203:第一裝載閘門
204:裝載閘系統
205:第二裝載閘門
206:移送腔室
207:處理腔室
211:機械臂
212:機械臂
228:裝載埠
230:封閉系統
240:裝載閘腔室
240A:裝載閘腔室
240B:裝載閘腔室
242:支撐結構
244:埠

Claims (20)

  1. 一種方法,包括以下步驟: 藉由一第一裝載閘腔室經由一裝載閘系統的一第一開口接收來自一晶圓處理系統的一工廠介面的一第一物件,該晶圓處理系統的該裝載閘系統形成該第一裝載閘腔室,其中該第一物件經由該工廠介面的一第一機械臂被移送到該第一裝載閘腔室中,其中該工廠介面在一第一狀態下,且其中該第一裝載閘腔室經配置以接收不同類型的物件; 將一第一裝載閘門抵靠該第一開口密封,以在該第一裝載閘腔室中產生在該第一狀態下的一第一密封環境; 使該第一裝載閘腔室的該第一密封環境改變為不同於該第一狀態的一第二狀態;及 致動一第二裝載閘門以在該晶圓處理系統的該第一裝載閘腔室和一移送腔室之間提供一第二開口,其中該第一物件從該第一裝載閘腔室經由該移送腔室的一第二機械臂被移送到該移送腔室。
  2. 如請求項1所述之方法,其中該第一裝載閘腔室經配置以接收以下各者中的兩個或更多個: 一晶圓; 一處理套件環,該處理套件環設置在一載體上; 沒有該處理套件環的該載體; 沒有該載體的該處理套件環;或 一放置驗證晶圓(placement validation wafer)。
  3. 如請求項1所述之方法,進一步包括以下步驟: 響應於接收來自該工廠介面的該第一物件之步驟,將該第一物件支撐在該第一裝載閘腔室中所設置的一支撐結構的一第一組鰭片上。
  4. 如請求項3所述之方法,進一步包括以下步驟: 在將該第一物件從該第一裝載閘腔室移送到該移送腔室之前,經由該第二機械臂接收來自該移送腔室的一第二物件;及 將該第二物件支撐在該支撐結構的一第二組鰭片上。
  5. 如請求項3所述之方法,其中將該第一物件支撐在該第一組鰭片上的步驟包括以下步驟:在該第一組鰭片中的每個鰭片與該第一物件的一底表面的一對應部分之間提供一運動學(kinematic)耦接。
  6. 如請求項1所述方法,進一步包括以下步驟: 響應於接收來自該工廠介面的該第一物件,將該第一物件支撐在複數個銷上,該些銷從該第一裝載閘腔室的一底部腔室表面延伸以在該些銷中的每個銷與該第一物件的一底表面的一對應部分之間提供一運動學耦接。
  7. 如請求項1所述之方法,其中該第一物件是空的一第一載體,該方法進一步包括以下步驟: 藉由該第一裝載閘腔室經由該第二開口接收來自該移送腔室的該第一載體上的一使用過的處理套件環,該移送腔室在一第三狀態下,其中該第一載體上的該使用過的處理套件環經由該第二機械臂被移送到該第一裝載閘腔室中; 將該第二裝載閘門抵靠該第二開口密封,以在該第一裝載閘腔室中產生在該第三狀態下的該第一密封環境; 使該第一裝載閘腔室的該第一密封環境改變為不同於該第三狀態的一第四狀態;及 致動該第一裝載閘門,其中該第一載體上的該使用過的處理套件環將(to be)經由該第一機械臂從該第一裝載閘腔室被移送到該工廠介面。
  8. 如請求項7所述之方法,進一步包括以下步驟: 藉由該第一裝載閘腔室經由該第一開口接收一新的處理套件環,其中該新的處理套件環經由該第一機械臂被移送到該第一裝載閘腔室中; 將該第一裝載閘門抵靠該第一開口密封,以在該第一裝載閘腔室中產生在該第一狀態下的該第一密封環境; 使該第一裝載閘腔室的該第一密封環境改變為該第二狀態;及 致動該第二裝載閘門,其中該新的處理套件環將經由該第二機械臂被移送到該移送腔室,以替換該使用過的處理套件環。
  9. 如請求項8所述之方法,進一步包括以下步驟: 藉由該第一裝載閘腔室經由該第一開口接收一放置驗證晶圓,其中該放置驗證晶圓經由該第一機械臂被移送到該第一裝載閘腔室中; 將該第一裝載閘門抵靠該第一開口密封,以在該第一裝載閘腔室中產生在該第一狀態下的該第一密封環境; 使該第一裝載閘腔室的該第一密封環境改變為該第二狀態;及 致動該第二裝載閘門,其中該放置驗證晶圓將經由該第二機械臂被移送到該移送腔室,以驗證該新的處理套件環的放置。
  10. 如請求項1所述之方法,進一步包括以下步驟: 藉由該裝載閘系統所形成的一第二裝載閘腔室經由該裝載閘系統的一第三開口接收來自該移送腔室的一第二物件,其中該第二物件經由該第二機械臂被移送到該第二裝載閘腔室中; 將一第三裝載閘門抵靠該第三開口密封,以在該第二裝載閘腔室中產生在一第三狀態下的一第二密封環境; 使該第二裝載閘腔室的該第二密封環境改變為一第四狀態;及 致動一第四裝載閘門以在該第二裝載閘腔室和該工廠介面之間提供一第四開口,其中該第二物件將經由該第二機械臂從該第二裝載閘腔室被移送到該工廠介面。
  11. 一種具有多物件能力的裝載閘系統,包括: 第一複數個壁,該第一複數個壁形成一晶圓處理系統的一第一裝載閘腔室,其中該第一裝載閘腔室經配置以待設置在該晶圓處理系統的一工廠介面與該晶圓處理系統的一移送腔室之間,其中該工廠介面在一第一狀態下,且其中該第一裝載閘腔室經配置以接收不同類型的物件; 一個或多個支撐結構,該一個或多個支撐結構設置在該第一裝載閘腔室中以支撐該等不同類型的物件; 一第一裝載閘門,該第一裝載閘門耦接到該第一裝載閘腔室的一第一開口,其中該第一裝載閘門將(to be)被致動到一第一開啟位置,使得該工廠介面的一第一機械臂能夠將一第一物件移送到該第一裝載閘腔室中,其中該第一裝載閘門將抵靠該第一開口密封,以在該第一裝載閘腔室中產生一第一密封環境; 一個或多個埠,該一個或多個埠耦接至該第一複數個壁,使得該第一裝載閘腔室的該第一密封環境將能夠從該第一狀態改變為不同於該第一狀態的一第二狀態;及 一第二裝載閘門,該第二裝載閘門耦接到該第一裝載閘腔室的一第二開口,其中該第二裝載閘門將被致動到一第二開啟位置,使得該移送腔室的一第二機械臂能夠將該第一物件從該第一裝載閘腔室移送到該移送腔室。
  12. 如請求項11所述之具有多物件能力的裝載閘系統,其中該第一裝載閘腔室經配置以接收以下各者中的兩個或更多個: 一晶圓; 一處理套件環,該處理套件環設置在一載體上; 沒有該處理套件環的該載體; 沒有該載體的該處理套件環;或 一放置驗證晶圓。
  13. 如請求項11所述之具有多物件能力的裝載閘系統,其中該一個或多個支撐結構包括: 一第一組鰭片,該第一組鰭片經配置在該第一組鰭片中的每個鰭片與該第一物件的一第一底表面的一對應部分之間提供一第一運動學耦接;及 一第二組鰭片,該第二組鰭片經配置在該第二組鰭片中的每個鰭片與一第二物件的一第二底表面的該對應部分之間提供一第二運動學耦接。
  14. 如請求項11所述之具有多物件能力的裝載閘系統,其中該一個或多個支撐結構包括: 複數個銷,該些銷從該第一裝載閘腔室的一底部腔室表面延伸以在該些銷中的每個銷與該第一物件的一底表面的一對應部分之間提供一運動學耦接。
  15. 如請求項11所述之具有多物件能力的裝載閘系統,進一步包括: 第二複數個壁,該第二複數個壁形成設置在該第一裝載閘腔室上方的一第二裝載閘腔室,其中該第二裝載閘腔室經配置以待設置在該工廠介面與該移送腔室之間; 一第三裝載閘門,該第三裝載閘門耦接到該第二裝載閘腔室的一第三開口,其中該第三裝載閘門將被致動到一第三開啟位置,使得該第一機械臂能夠將一第二物件移送到該第二裝載閘腔室中,其中該第三裝載閘門將抵靠該第三開口密封,以在該第二裝載閘腔室中產生一第二密封環境;及 一第四裝載閘門,該第四裝載閘門耦接到該第二裝載閘腔室的一第四開口,其中該第四裝載閘門將被致動到一第四開啟位置,使得該移送腔室的該第二機械臂能夠將該第二物件從該第二裝載閘腔室移送到該移送腔室。
  16. 如請求項11所述之具有多物件能力的裝載閘系統,其中該第一裝載閘腔室經配置以實施以下步驟: 接收來自該工廠介面的一第一載體,其中該第一載體將經由該第一裝載閘腔室被移送到該移送腔室; 接收來自該移送腔室的該第一載體上的一使用過的處理套件環,其中該第一載體上的該使用過的處理套件環將經由該第一裝載閘腔室被移送到該工廠介面; 接收來自該工廠介面的一新的處理套件環,其中該新的處理套件環將經由該第一裝載閘腔室被移送到該移送腔室,以替換該使用過的處理套件環;及 接收來自該工廠介面的一放置驗證晶圓,其中該放置驗證晶圓將經由該第一裝載閘腔室被移送到該移送腔室,以驗證該新的處理套件環之放置。
  17. 如請求項11所述之具有多物件能力的裝載閘系統,進一步包括: 一溫度控制裝置,該溫度控制裝置耦接到該第一裝載閘腔室以控制該第一裝載閘腔室的溫度。
  18. 一種具有處理套件能力的裝載閘系統,包括: 第一複數個壁,該第一複數個壁形成一第一裝載閘腔室,其中該第一裝載閘腔室經配置以待設置在一晶圓處理系統的一工廠介面與該晶圓處理系統的一移送腔室之間,其中該工廠介面在一第一狀態下形成一第一密封環境,且該移送腔室在一第二狀態下形成一第二密封環境; 一第一裝載閘門,該第一裝載閘門耦接到該第一裝載閘腔室的一第一開口,其中該第一裝載閘門將被致動到一第一開啟位置,使得該工廠介面的一第一機械臂能夠將一第一處理套件環移送到該第一裝載閘腔室中,其中該第一裝載閘門將抵靠該第一開口密封,以在該第一裝載閘腔室中產生在該第一狀態下的一第三密封環境; 一個或多個埠,該一個或多個埠耦接至該第一複數個壁,使得該第一裝載閘腔室的該第三密封環境將能夠從該第一狀態改變為不同於該第一狀態的一第三狀態;及 一第二裝載閘門,該第二裝載閘門耦接到該第一裝載閘腔室的一第二開口,其中該第二裝載閘門將被致動到一第二開啟位置,使得該移送腔室的一第二機械臂能夠將該第一處理套件環從該第一裝載閘腔室移送到該移送腔室,以替換一使用過的處理套件環。
  19. 如請求項18所述之具有處理套件能力的裝載閘系統,其中該第一狀態處於大氣壓下,其中該第二狀態和該第三狀態為低於大氣壓。
  20. 如請求項18所述之具有處理套件能力的裝載閘系統,進一步包括: 一個或多個支撐結構,該一個或多個支撐結構設置在該第一裝載閘腔室中,以將該第一處理套件環支撐在一載體上,其中該一個或多個支撐結構用於提供與該載體的一底表面的一運動學耦接。
TW109124253A 2019-07-19 2020-07-17 具有多物件能力的裝載閘系統 TW202114023A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
IN201941029137 2019-07-19
IN201941029137 2019-07-19
US16/923,903 US11211269B2 (en) 2019-07-19 2020-07-08 Multi-object capable loadlock system
US16/923,903 2020-07-08

Publications (1)

Publication Number Publication Date
TW202114023A true TW202114023A (zh) 2021-04-01

Family

ID=74343214

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109124253A TW202114023A (zh) 2019-07-19 2020-07-17 具有多物件能力的裝載閘系統

Country Status (2)

Country Link
US (1) US11211269B2 (zh)
TW (1) TW202114023A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11508560B2 (en) * 2019-05-14 2022-11-22 Beijing E-Town Semiconductor Technology Co., Ltd Focus ring adjustment assembly of a system for processing workpieces under vacuum

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3899570B2 (ja) 1996-12-06 2007-03-28 株式会社日立ハイテクノロジーズ 基板処理装置及び液晶パネルの製造方法
US6199291B1 (en) 1998-07-29 2001-03-13 Sony Corporation Alignment fixture
JP3234576B2 (ja) 1998-10-30 2001-12-04 アプライド マテリアルズ インコーポレイテッド 半導体製造装置におけるウェハ支持装置
US6528767B2 (en) 2001-05-22 2003-03-04 Applied Materials, Inc. Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications
US7792350B2 (en) 2003-11-10 2010-09-07 Brooks Automation, Inc. Wafer center finding
US20050137751A1 (en) 2003-12-05 2005-06-23 Cox Damon K. Auto-diagnostic method and apparatus
JP4860167B2 (ja) 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
JP4559317B2 (ja) 2005-07-21 2010-10-06 株式会社岡本工作機械製作所 半導体基板の搬送方法
US7727800B2 (en) 2005-12-12 2010-06-01 Asm Assembly Automation Ltd. High precision die bonding apparatus
WO2008075340A1 (en) 2006-12-18 2008-06-26 Camtek Ltd. A chuck and a method for supporting an object
JP2009095783A (ja) 2007-10-17 2009-05-07 Recs:Kk 液塗布装置
WO2009059433A1 (en) 2007-11-09 2009-05-14 The Royal Institution For The Advancement Of Learning/Mcgill University Quantification of an absorber through a scattering medium
US8070408B2 (en) 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
WO2010080983A2 (en) 2009-01-11 2010-07-15 Applied Materials, Inc. Robot systems, apparatus and methods for transporting substrates in electronic device manufacturing
US8246284B2 (en) * 2009-03-05 2012-08-21 Applied Materials, Inc. Stacked load-lock apparatus and method for high throughput solar cell manufacturing
US8397739B2 (en) 2010-01-08 2013-03-19 Applied Materials, Inc. N-channel flow ratio controller calibration
CN103403852B (zh) 2011-03-01 2016-06-08 应用材料公司 双负载闸配置的消除及剥离处理腔室
KR101390900B1 (ko) 2011-05-31 2014-04-30 세메스 주식회사 기판처리장치
US9579788B2 (en) 2012-02-10 2017-02-28 Ascent Ventures, Llc Automated testing and verification of a robotic system
CN106847737B (zh) * 2012-02-29 2020-11-13 应用材料公司 配置中的除污及剥除处理腔室
US10842461B2 (en) 2012-06-21 2020-11-24 Globus Medical, Inc. Systems and methods of checking registrations for surgical systems
KR101597211B1 (ko) 2014-08-05 2016-03-07 주식회사 엘지실트론 웨이퍼 정렬 장치 및 방법
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
US10041868B2 (en) 2015-01-28 2018-08-07 Lam Research Corporation Estimation of lifetime remaining for a consumable-part in a semiconductor manufacturing chamber
US20160314997A1 (en) 2015-04-22 2016-10-27 Applied Materials, Inc. Loadlock apparatus, cooling plate assembly, and electronic device processing systems and methods
US10014198B2 (en) 2015-08-21 2018-07-03 Lam Research Corporation Wear detection of consumable part in semiconductor manufacturing equipment
US10403515B2 (en) 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US10985078B2 (en) 2015-11-06 2021-04-20 Lam Research Corporation Sensor and adjuster for a consumable
WO2017131927A1 (en) 2016-01-26 2017-08-03 Applied Materials, Inc. Wafer edge ring lifting solution
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
JP6635888B2 (ja) 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
JP2018054500A (ja) 2016-09-29 2018-04-05 東京エレクトロン株式会社 位置検出システム及び処理装置
JP6812224B2 (ja) 2016-12-08 2021-01-13 東京エレクトロン株式会社 基板処理装置及び載置台
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6812264B2 (ja) 2017-02-16 2021-01-13 東京エレクトロン株式会社 真空処理装置、及びメンテナンス装置
US11404249B2 (en) 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
JP6656200B2 (ja) 2017-04-12 2020-03-04 東京エレクトロン株式会社 位置検出システム及び処理装置
TW202341281A (zh) 2017-04-26 2023-10-16 日商東京威力科創股份有限公司 電漿處理裝置
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
JP7105629B2 (ja) * 2018-06-20 2022-07-25 東京エレクトロン株式会社 自動教示方法及び制御装置
US11247330B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Method for teaching a transportation position and alignment jig
US10964584B2 (en) 2019-05-20 2021-03-30 Applied Materials, Inc. Process kit ring adaptor

Also Published As

Publication number Publication date
US11211269B2 (en) 2021-12-28
US20210020476A1 (en) 2021-01-21

Similar Documents

Publication Publication Date Title
TW201709392A (zh) 基板處理裝置、基板裝載方法及基板卸下方法
US11842917B2 (en) Process kit ring adaptor
JP7391111B2 (ja) プロセスキットエンクロージャシステム
JP7412534B2 (ja) 処理システムのアライナステーションの較正
TWI585025B (zh) Vacuum lock system and its handling method for substrate
JPWO2007018139A1 (ja) 半導体装置の製造方法および基板処理装置
JP2000208589A (ja) 処理装置
KR102267964B1 (ko) 12각형 이송 챔버 및 이를 갖는 프로세싱 시스템
JP2022546679A (ja) 交換部品収納コンテナのマッピング
TW202114023A (zh) 具有多物件能力的裝載閘系統
US20240025670A1 (en) Substrate processing system carrier
US11328944B2 (en) Systems and methods of placing substrates in semiconductor manufacturing equipment
WO2021016115A1 (en) Multi-object capable loadlock system
JP2012069658A (ja) 基板処理装置及び基板処理方法
JP2004119627A (ja) 半導体製造装置
TW202410285A (zh) 處理套組外殼系統