TW202039776A - 化學機械拋光組成物及抑制無定形矽去除速率之方法 - Google Patents

化學機械拋光組成物及抑制無定形矽去除速率之方法 Download PDF

Info

Publication number
TW202039776A
TW202039776A TW109108727A TW109108727A TW202039776A TW 202039776 A TW202039776 A TW 202039776A TW 109108727 A TW109108727 A TW 109108727A TW 109108727 A TW109108727 A TW 109108727A TW 202039776 A TW202039776 A TW 202039776A
Authority
TW
Taiwan
Prior art keywords
chemical mechanical
mechanical polishing
polishing composition
substrate
colloidal silica
Prior art date
Application number
TW109108727A
Other languages
English (en)
Inventor
納雷許庫馬 潘塔
科瓦德沃 E 特泰
馬修 凡漢翰
Original Assignee
美商羅門哈斯電子材料Cmp控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商羅門哈斯電子材料Cmp控股公司 filed Critical 美商羅門哈斯電子材料Cmp控股公司
Publication of TW202039776A publication Critical patent/TW202039776A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Abstract

公開了化學機械拋光組成物,其含有聚乙氧基化的胺、磷酸或其鹽、以及帶正電荷的含氮的膠體二氧化矽磨料顆粒。該化學機械拋光組成物用於拋光方法中用於抑制無定形矽的去除速率,同時維持可調的氧化物與氮化矽去除速率之比率。該化學機械拋光組成物可以用於前段制程半導體加工中。

Description

化學機械拋光組成物及抑制無定形矽去除速率之方法
本發明關於化學機械拋光組成物以及方法,其用於抑制無定形矽去除速率,同時維持可調的氧化物與氮化矽的去除速率的比率。更具體地,本發明關於化學機械拋光組成物以及方法,其用於抑制無定形矽之去除速率,同時維持可調的氧化物與氮化矽的去除速率之比率,其中該化學機械拋光組成物含有聚乙氧基化的胺、磷酸或其鹽、以及帶正電荷的含氮的膠體二氧化矽磨料顆粒。
在積體電路以及其他電子裝置的製造中,將多層導電材料、半導電材料以及介電材料沈積在半導體晶圓的表面上或從半導體晶圓表面上去除。可以藉由若干種沈積技術來沈積導電材料、半導電材料以及介電材料的薄層。在現代加工中常見的沈積技術包括物理氣相沈積(PVD)(也稱為濺射)、化學氣相沈積(CVD)、電漿增強的化學氣相沈積(PECVD)、以及電化學電鍍(ECP)。
隨著材料層被依次地沈積和去除,晶圓最上表面變成非平面的。因為後續的半導體加工(例如金屬化)要求晶圓具有平坦的表面,所以需要對晶圓進行平坦化。平坦化可用於去除不希望的表面形貌和表面缺陷,諸如粗糙表面、附聚的材料、晶格損傷、劃痕、以及被污染的層或材料。
化學機械平坦化、或化學機械拋光(CMP)係用於將襯底(諸如半導體晶圓)平坦化的常見技術。在常規的CMP中,晶圓被安裝在托架組件上並且被定位成與CMP設備中的拋光墊接觸。托架組件向晶圓提供可控的壓力,從而將晶圓壓靠在拋光墊上。該墊藉由外部驅動力相對於晶圓移動(例如旋轉)。與此同時,在晶圓與拋光墊之間提供拋光組成物(「漿料」)或其他拋光液。因此,藉由墊表面和漿料的化學和機械作用將晶圓表面拋光並且使其成為平面。
在前段制程(FEOL)半導體加工中,淺溝槽隔離(STI)對於在積體電路製造中形成閘極係至關重要的,諸如在STI中在形成電晶體之前,將電介質諸如原矽酸四乙酯(TEOS)或二氧化矽過量地沈積在矽晶圓中形成的開口中,例如藉由氮化矽屏障與積體電路的其餘部分隔離的溝槽或隔離區。使用多個CMP製程以實現最終所希望的隔離方案。在第一CMP步驟中,使過量的電介質拋光和平坦化。在第二CMP步驟中,在下面的氮化矽膜上實現拋光停止,而沒有過多的凹陷(溝槽中的氧化物損失)。在第三CMP步驟中(其係相對新的或係在先進的半導體裝置中實施),拋光氧化物和氮化物二者,並且CMP停止在下面的矽膜諸如無定形矽膜上實現。
因此,本發明關於一種化學機械拋光組成物以及用於藉由拋光氧化物和氮化物二者並抑制無定形矽的去除速率來改善該第三步驟之方法。
本發明提供一種化學機械拋光組成物,其包含以下項作為初始組分:水; 包含含氮化合物和正ζ電位的膠體二氧化矽磨料顆粒; 磷酸或其鹽;
具有式 (I) 之聚乙氧基化的胺化合物:
Figure 02_image001
(I) 其中R’係直鏈或支鏈的(C10 -C27 )烷基或牛脂部分,R1 係(C1 -C4 )烷基或(CH2 CH2 O) y H部分,G係(CH2 CH2 O) y H部分或→O,xy 係1-20的數字並且z 係0或1;以及 視需要殺生物劑。
本發明還提供一種化學機械拋光組成物,其包含以下項作為初始組分: 水; 包含含氮化合物和正ζ電位的膠體二氧化矽磨料顆粒; 磷酸或其鹽;
具有式 (II) 之聚乙氧基化的胺化合物:
Figure 02_image003
(II) 其中R’係直鏈或支鏈的(C10 -C27 )烷基或牛脂部分,xy 係1-20的數字;以及 視需要殺生物劑。
本發明進一步提供一種化學機械拋光組成物,其包含以下項作為初始組分: 水; 包含含氮化合物和正ζ電位的膠體二氧化矽磨料顆粒; 磷酸或其鹽;
具有式 (III) 之聚乙氧基化的胺四元化合物:
Figure 02_image005
(III) 其中R’係直鏈或支鏈的(C10 -C27 )烷基或牛脂部分,xy 係1-20的數字;以及 視需要殺生物劑。
本發明進一步提供一種化學機械拋光組成物,其包含以下項作為初始組分: 水; 包含含氮化合物和正ζ電位的膠體二氧化矽磨料顆粒; 磷酸或其鹽;
具有式 (IV) 之聚乙氧基化的胺化合物:
Figure 02_image007
(IV) 其中R’係直鏈或支鏈的(C10 -C27 )烷基或牛脂部分,xy 係1-20的數字;以及 視需要殺生物劑。
本發明提供一種用於對襯底進行化學機械拋光之方法,該方法包括: 提供襯底,其中該襯底包括二氧化矽、氮化矽和無定形矽的介電材料;
提供化學機械拋光組成物,其包含以下項作為初始組分: 水; 包含含氮化合物和正ζ電位的膠體二氧化矽磨料顆粒; 磷酸或其鹽;
具有式 (I) 之聚乙氧基化的胺化合物:
Figure 02_image001
(I) 其中R’係直鏈或支鏈的(C10 -C27 )烷基或牛脂部分,R1 係(C1 -C4 )烷基或(CH2 CH2 O) y H部分,G係(CH2 CH2 O) y H部分或→O,xy 係1-20的數字並且z 係0或1;以及 視需要殺生物劑;
提供具有拋光表面的化學機械拋光墊; 用0.69至34.5 kPa的下壓力在該化學機械拋光墊的拋光表面與該襯底之間的介面處產生動態接觸;以及 在該化學機械拋光墊與該襯底之間的介面處或介面附近將該化學機械拋光組成物分配到該化學機械拋光墊上;並且其中該襯底被拋光並且該介電材料的一些被拋光掉。
本發明提供另一種用於對襯底進行化學機械拋光之方法,該方法包括: 提供襯底,其中該襯底包括二氧化矽、氮化矽和無定形矽的介電材料;
提供化學機械拋光組成物,其包含以下項作為初始組分: 水; 包含含氮化合物和正ζ電位的膠體二氧化矽磨料顆粒;
磷酸或其鹽;具有式 (II) 之聚乙氧基化的胺化合物:
Figure 02_image003
(II) 其中R’係直鏈或支鏈的(C10 -C27 )烷基或牛脂部分,xy 係1-20的數字;以及 視需要殺生物劑;
提供具有拋光表面的化學機械拋光墊; 用0.69至34.5 kPa的下壓力在該化學機械拋光墊的拋光表面與該襯底之間的介面處產生動態接觸;以及 在該化學機械拋光墊與該襯底之間的介面處或介面附近將該化學機械拋光組成物分配到該化學機械拋光墊上;並且其中該襯底被拋光並且該介電材料的一些被拋光掉。
本發明提供一種用於對襯底進行化學機械拋光的另外方法,該方法包括: 提供襯底,其中該襯底包括二氧化矽、氮化矽和無定形矽的介電材料;
提供化學機械拋光組成物,其包含以下項作為初始組分: 水; 包含含氮化合物和正ζ電位的膠體二氧化矽磨料顆粒;
磷酸或其鹽;具有式 (III) 之聚乙氧基化的胺四元化合物:
Figure 02_image005
(III) 其中R’係直鏈或支鏈的(C10 -C27 )烷基或牛脂部分,xy 係1-20的數字;以及 視需要殺生物劑;
提供具有拋光表面的化學機械拋光墊; 用0.69至34.5 kPa的下壓力在該化學機械拋光墊的拋光表面與該襯底之間的介面處產生動態接觸;以及 在該化學機械拋光墊與該襯底之間的介面處或介面附近將該化學機械拋光組成物分配到該化學機械拋光墊上;並且其中該襯底被拋光並且該介電材料的一些被拋光掉。
本發明提供還又另一種用於對襯底進行化學機械拋光之方法,該方法包括: 提供襯底,其中該襯底包括二氧化矽、氮化矽和無定形矽的介電材料;
提供化學機械拋光組成物,其包含以下項作為初始組分: 水; 包含含氮化合物和正ζ電位的膠體二氧化矽磨料顆粒;
磷酸或其鹽;具有式 (IV) 之聚乙氧基化的胺四元化合物:
Figure 02_image007
(IV) 其中R’係直鏈或支鏈的(C10 -C27 )烷基或牛脂部分,xy 係1-20的數字;以及 視需要殺生物劑;
提供具有拋光表面的化學機械拋光墊; 用0.69至34.5 kPa的下壓力在該化學機械拋光墊的拋光表面與該襯底之間的介面處產生動態接觸;以及 在該化學機械拋光墊與該襯底之間的介面處或介面附近將該化學機械拋光組成物分配到該化學機械拋光墊上;並且其中該襯底被拋光並且該介電材料的一些被拋光掉。
本發明之化學機械拋光組成物和方法使能夠抑制無定形矽的去除速率,同時維持可調的氧化物與氮化矽的去除速率的比率。
如本說明書通篇所使用的,除非上下文另外指示,否則以下縮寫具有以下含義:°C = 攝氏度;g = 克;L = 升;mL = 毫升;µ = µm = 微米;kPa = 千帕;Å = 埃;mm = 毫米;cm = 釐米;nm = 奈米;mV = 毫伏;min = 分鐘;rpm = 每分鐘轉數;lbs = 磅;lbf = 尺磅;kg = 千克;wt% = 重量百分比;RR = 去除速率;Avg. = 平均;H3 PO4 = 磷酸;HNO3 = 硝酸;Si = 矽;aSi = 無定形矽;SiN或Si3 N4 = 氮化矽;DEAMS = (N,N-二乙基胺基甲基)三乙氧基矽烷,98%(賓夕法尼亞州莫里斯維爾Gelest公司(Gelest Inc.));TMOS = 原矽酸四甲酯;TMAH = 氫氧化四甲基銨;TEA = 四乙基銨;以及EDA = 乙二胺;DTAC = 氯化十二烷基三甲基銨;CTAC = 氯化十六烷基三甲基銨;EO = 環氧乙烷部分;PS = 本發明之拋光漿料;並且CS = 對比拋光漿料。
術語「化學機械拋光」或「CMP」係指單獨地憑藉化學和機械力來拋光襯底的製程,並且其區別於其中向襯底施加電偏壓的電化學-機械拋光(ECMP)。術語「TEOS」意指由原矽酸四乙酯(Si(OC2 H5 )4 )分解而形成的氧化矽。在通篇說明書中,術語「組成物」和「漿料」可互換使用。術語「伸烷基」與有機基團的更通用化學術語-「烷二基」同義。術語「鹵離子」意指具有(-)負電荷的鹵素:氯離子(Cl- )、溴離子(Br- )、氟離子(F- )和碘離子(I- )。術語「部分」意指分子的化學結構或官能基。術語「牛脂」意指油酸、棕櫚酸、硬脂酸(16-18碳鏈)的甘油酯或其組合。當藉由使用累積量技術 (1) 分析DLS數據時,出現參數「Zavg」:因為Z-平均值的計算係數學上穩定的,Z-平均值結果對於噪音係不敏感的並使其成為較佳的DLS尺寸參數。術語「一個/種(a/an)」係指單數和複數二者。除非另外指出,否則所有百分比均為按重量計的。所有數值範圍都是包含端值的,並且可按任何順序組合,除了此數值範圍被限制為加起來最高達100%係合乎邏輯之情況之外。
本發明之化學機械拋光組成物和方法可用於拋光包括包含二氧化矽、氮化矽和無定形矽的介電材料的襯底,使得選擇性拋光二氧化矽和氮化矽超過無定形矽,並且同時二氧化矽和氮化矽的拋光係可調的。本發明之化學機械拋光組成物含有以下項(較佳的是由以下項組成):水;包含含氮化合物和正ζ電位的膠體二氧化矽磨料顆粒;磷酸或其鹽;具有式 (I) 之聚乙氧基化的胺化合物:
Figure 02_image001
(I) 其中R’係直鏈或支鏈的(C10 -C27 )烷基或牛脂部分,R1 係(C1 -C4 )烷基或(CH2 CH2 O) y H部分,G係(CH2 CH2 O) y H部分或→O,xy 係1-20的數字並且z 係0或1,其中當z = 1並且G係(CH2 CH2 O) y H部分且R1 係(C1 -C4 )烷基時,式 (I) 之N係N+ 並且抗衡陰離子選自鹵離子:Cl- 、Br- 、F-和I-,並且其中當z = 1並且G係→O時,R1 係(CH2 CH2 O) y H部分並且式 (I) 之N係中性N;以及 視需要殺生物劑。
較佳的是,R’係直鏈或支鏈的(C10 -C27 )烷基或牛脂部分,其中該牛脂部分包括油酸部分和硬脂部分,R1 係(C1 -C2 )烷基或(CH2 CH2 O) y H部分,G係(CH2 CH2 O) y H部分或 → O,xy 係2-15的數字並且z 係0或1;更較佳的是,R’係直鏈或支鏈的(C10 -C21 )烷基,R1 係甲基或(CH2 CH2 O) y H部分,G係(CH2 CH2 O) y H部分或 → O,xy 係2-10的數字並且z 係0或1;進一步較佳的是,R’係直鏈或支鏈的(C10 -C21 )烷基,R1 係(CH2 CH2 O) y H部分,G係→O,xy 係2-10的數字並且z 係0或1;最較佳的是,R’係直鏈或支鏈的(C10 -C21 )烷基,R1 係(CH2 CH2 O) y H部分,z 係0並且xy 係2-8的數字。當式 (I) 之N係N+ 時,較佳的是,抗衡陰離子係鹵離子Cl-
本發明之示例性較佳的聚乙氧基化的胺化合物由以下項組成:
本發明之最較佳的聚乙氧基化的胺化合物具有下式 (II):
Figure 02_image003
(II) 其中,較佳的是,R’係直鏈或支鏈的(C10 -C27 )烷基並且xy 係2-10的數字,更較佳的是,R’係直鏈或支鏈的(C10 -C21 )烷基並且xy 係2-8的數字,進一步較佳的是,R’係直鏈或支鏈的(C10 -C21 )烷基並且xy 係2-5的數字,最較佳的是,R’係直鏈或支鏈的(C10 -C21 )烷基並且xy 係2-3的數字。可商購的具有式 (II) 之聚乙氧基化的胺化合物的實例係從德國贏創工業集團(Evonik Industries AG, Germany)可獲得的TOMAMINE™ E-14-2、E-14-5、E-17-2和E-17-5表面活性劑。
本發明之另一種較佳的聚乙氧基化的胺化合物係具有式 (III) 之季胺:
Figure 02_image005
(III) 其中,較佳的是,R’係直鏈或支鏈的(C10 -C27 )烷基或牛脂並且xy 係2-10的數字,更較佳的是,R’係直鏈或支鏈的(C10 -C21 )烷基並且xy 係2-8的數字,最較佳的是,R’係直鏈或支鏈的(C10 -C21 )烷基並且xy 係2-5的數字。可商購的具有式 (III) 之季胺化合物的實例係TOMAMINE™ Q-14-2和Q-17-2表面活性劑。
本發明之另一種較佳的聚乙氧基化的胺化合物係具有式 (IV) 之氧化胺:
Figure 02_image007
(IV) 其中,較佳的是,R’係直鏈或支鏈的(C10 -C27 )烷基並且xy 係2-10的數字,更較佳的是,R’係直鏈或支鏈的(C10 -C21 )烷基並且xy 係2-8的數字,最較佳的是,R’係直鏈或支鏈的(C10 -C21 )烷基並且xy 係2-5的數字。可商購的具有式 (IV) 之醚胺化合物的實例係TOMAMINE™ AO-14-2表面活性劑。
本發明之前述聚乙氧基化的胺化合物的重均分子量範圍係300或更大,較佳的是,該聚乙氧基化的胺化合物的重均分子量範圍係300-1000,更較佳的是,該重均分子量範圍係300-500。
較佳的是,聚乙氧基化的胺化合物以至少0.001 wt%的量包含在本發明之化學機械拋光組成物中,更較佳的是,本發明之化學機械拋光組成物包含以0.001 wt%至0.5 wt%的量的聚乙氧基化的胺化合物,甚至更較佳的是,本發明之化學機械拋光組成物包含以0.01 wt%至0.5 wt%、進一步較佳的是0.01 wt%至0.1 wt%、最較佳的是0.01 wt%至0.05 wt%的量的聚乙氧基化的胺化合物。
在本發明之化學機械拋光方法中使用的化學機械拋光組成物中含有的水較佳的是係去離子水和蒸餾水中的至少一種,以限制附帶的雜質。
在本發明之化學機械拋光組成物和方法中,所提供的化學機械拋光組成物含有具有正ζ電位的膠體二氧化矽磨料顆粒作為初始組分,其中該膠體二氧化矽磨料顆粒包含含氮化合物。此類含氮化合物可以摻入膠體二氧化矽磨料顆粒內,或者可以摻入膠體二氧化矽磨料顆粒的表面上,或者本發明之化學機械拋光組成物可以含有具有組合的膠體二氧化矽磨料顆粒作為初始組分,其中含氮化合物摻入具有正ζ電位的膠體二氧化矽磨料顆粒內,並且其中,含氮化合物摻入膠體二氧化矽磨料顆粒之表面上。帶正電荷的包含含氮化合物的膠體二氧化矽磨料顆粒形狀可為球形的、細長的、彎曲的或結節狀的。
包括含氮化合物的膠體二氧化矽磨料顆粒係可商購的,或者可以如化學和膠體二氧化矽磨料顆粒文獻中描述的由熟悉該項技術者製備。可商購的包含含氮化合物的膠體二氧化矽顆粒的實例係KLEBOSOL™ 1598-B25表面改性的膠體二氧化矽顆粒(由AZ電子材料公司(AZ Electronics Materials)製造,從密西根州米德蘭陶氏化學公司(Dow Chemical company, Midland, MI)可獲得);以及FUSO™ BS-3和PL-3(日本大阪扶桑化學工業株式會社(Fuso Chemical Co., Ltd., Osaka, Japan))。此類膠體二氧化矽磨料顆粒較佳的是藉由熟悉該項技術者眾所周知的Stober方法製備。
本發明之酸性化學機械拋光組成物可具有與沒有含氮化合物的膠體二氧化矽磨料顆粒混合的包含含氮化合物的具有正ζ電位的膠體二氧化矽磨料顆粒。適合於實踐本發明之磨料包括但不限於DEAMS表面改性的FUSO™ BS-3磨料漿料(80 ppm DEAMS至1 wt%的二氧化矽)以及KLEBOSOL™ 1598-B25漿料(由AZ電子材料公司製造,從陶氏化學公司可獲得)。也可以使用此類磨料的混合物。
較佳的是,本發明之具有正ζ電位的膠體二氧化矽磨料顆粒包括(在膠體二氧化矽磨料顆粒的表面上、在膠體二氧化矽磨料顆粒內、或其組合)含氮化合物,該含氮化合物包括但不限於具有以下通式的銨化合物: R2 R3 R4 R5 N+ (V) 其中R2 、R3 、R4 和R5 獨立地選自氫、(C1 -C6 )烷基、(C7 -C12 )芳基烷基和(C6 -C10 )芳基。此類基團可以被一個或多個羥基取代。可以由本領域或文獻中已知之方法製備含有銨化合物的此類膠體二氧化矽磨料。
此類含氮銨化合物的實例係四甲基銨、四乙基銨、四丙基銨、四丁基銨、四戊基銨、乙基三甲基銨和二乙基二甲基銨。
含氮化合物還可包括但不限於具有胺基的化合物,諸如一級胺、二級胺、三級胺或季胺。此類含氮化合物還可包括具有一至八個碳原子的胺基酸,諸如離胺酸、麩醯胺酸、甘胺酸、亞胺基二乙酸、丙胺酸、纈胺酸、白胺酸、異白胺酸、絲胺酸和蘇胺酸。
在各種實施方式中,在本發明之膠體二氧化矽磨料顆粒中化學物質與二氧化矽的莫耳比較佳的是大於0.1%且小於10%。
胺基矽烷化合物係最較佳的摻入本發明之化學機械拋光組成物的膠體二氧化矽磨料顆粒的表面上或膠體二氧化矽磨料顆粒內的含氮化合物。此類胺基矽烷化合物包括但不限於一級胺基矽烷、二級胺基矽烷、三級胺基矽烷、季胺基矽烷和多足的(例如,二足的)胺基矽烷。胺基矽烷化合物可以包括基本上任何合適的胺基矽烷。可以用於實踐本發明之胺基矽烷的實例係雙(2-羥乙基)-3-胺基丙基三烷氧基矽烷、二乙基胺基甲基三烷氧基矽烷、(N,N-二乙基-3-胺基丙基)三烷氧基矽烷)、3-(N-苯乙烯基甲基-2-胺基乙基胺基丙基三烷氧基矽烷)、胺基丙基三烷氧基矽烷、(2-N-苄基胺基乙基)-3-胺基丙基三烷氧基矽烷)、三烷氧基矽基丙基-N,N,N-三甲基銨、N-(三烷氧基矽基乙基)苄基-N,N,N-三甲基銨、(雙(甲基二烷氧基矽基丙基)-N-甲基胺、雙(三烷氧基矽基丙基)脲、雙(3-(三烷氧基矽基)丙基)-乙二胺、雙(三烷氧基矽基丙基)胺、3-胺基丙基三烷氧基矽烷、N-(2-胺基乙基)-3-胺基丙基甲基二烷氧基矽烷、N-(2-胺基乙基)-3-胺基丙基三烷氧基矽烷、3-胺基丙基甲基二烷氧基矽烷、3-胺基丙基三烷氧基矽烷、3-胺基丙基三乙氧基矽烷、(N-三烷氧基矽基丙基)聚乙烯亞胺、三烷氧基矽基丙基二伸乙基三胺、N-苯基-3-胺基丙基三烷氧基矽烷、N-(乙烯基苄基)-2-胺基乙基-3-胺基丙基三烷氧基矽烷、4-胺基丁基-三烷氧基矽烷、(N,N-二乙基胺基甲基)三乙氧基矽烷、以及其混合物。熟悉該項技術者容易理解,胺基矽烷化合物通常在水性介質中水解(或部分水解)。因此,藉由列舉胺基矽烷化合物,應理解,可以將胺基矽烷或其水解的(或部分水解的)物質或縮合的物質摻入膠體二氧化矽磨料顆粒中。
在各種實施方式中,在膠體二氧化矽磨料顆粒中胺基矽烷物質與二氧化矽的莫耳比較佳的是大於0.1%且小於10%。
包括摻入膠體二氧化矽磨料顆粒內的含氮化合物的膠體二氧化矽磨料顆粒較佳的是藉由Stober方法製備,其中有機烷氧基矽烷諸如TMOS和TEOS用作二氧化矽合成的先質,並且含氮化合物用作催化劑。TMOS和TEOS作為先質在水性鹼性環境中經受水解和縮合。用於維持鹼性pH的催化劑係含氮物質,諸如但不限於氨、TMAH、TEA和EDA。作為抗衡離子,該等含氮化合物在顆粒生長期間不可避免地被捕獲在膠體二氧化矽磨料顆粒內部,因此導致包括內部摻入到膠體二氧化矽磨料顆粒內的含氮化合物的膠體二氧化矽磨料顆粒。包含摻入顆粒內的含氮化合物的可商購的膠體二氧化矽磨料顆粒的實例係從FUSO™可獲得的顆粒,諸如FUSO™ BS-3和PL-3膠體二氧化矽磨料顆粒。
本發明之化學機械拋光組成物和方法含有0.01至20 wt%的包含淨正ζ電位和含氮化合物的膠體二氧化矽磨料。較佳的是1至10 wt%、更較佳的是1至5 wt%、最較佳的是1至4 wt%的包含淨正ζ電位和含氮化合物的膠體二氧化矽磨料。
具有淨正ζ電位和含氮化合物的膠體二氧化矽磨料較佳的是具有 > 100 nm、更較佳的是50至70 nm、最較佳的是60至70 nm的平均粒度,如藉由動態光散射技術(DLS)測量的。
在本發明之化學機械拋光組成物和方法中,所提供的化學機械拋光組成物含有磷酸或其鹽作為初始組分。此類鹽包括但不限於,磷酸二氫鈉、磷酸氫二鈉和磷酸三鈉。本發明之化學機械拋光組成物排除所有其他無機酸、其鹽、有機酸以及有機酸鹽。僅包括磷酸及其鹽在化學機械拋光組成物中作為酸。足夠的磷酸或其鹽包括在化學機械拋光組成物中以提供 > 7、較佳的是2至6.5、更較佳的是2至5、最較佳的是2至3的pH。
在本發明之化學機械拋光組成物中,作為初始組分,磷酸或其鹽以至少0.01 wt%的量添加,較佳的是磷酸或其鹽以0.01 wt%至0.1 wt%的量添加,更較佳的是磷酸或其鹽以0.01 wt%至0.06 wt%、最較佳的是0.01 wt%至0.03 wt%的量添加以提供酸性pH或pH > 7。
視需要,酸性化學機械拋光組成物可以含有殺生物劑,諸如KORDEX™ MLX(9.5% - 9.9%的甲基-4-異噻唑啉-3-酮、89.1% - 89.5%的水以及 ≤ 1.0%的相關反應產物)或含有活性成分2-甲基-4-異噻唑啉-3-酮和5-氯-2-甲基-4-異噻唑啉-3-酮的KATHON™ ICP III,每個均由陶氏化學公司(KATHON™和KORDEX™係陶氏化學公司的商標)製造。此類殺生物劑可以以如熟悉該項技術者已知的常規量包含在本發明之酸性化學機械拋光組成物中。
用本發明之化學機械拋光組成物拋光的襯底包括二氧化矽、氮化矽和無定形矽(aSi)。襯底的二氧化矽包括但不限於原矽酸四乙酯(TEOS)、硼磷矽酸鹽玻璃(BPSG)、電漿蝕刻的原矽酸四乙酯(PETEOS)、熱氧化物、未摻雜的矽酸鹽玻璃、高密度電漿(HDP)氧化物。較佳的是,二氧化矽係TEOS。襯底的氮化矽包括氮化矽材料,諸如SiN或Si3 N4
較佳的是,在本發明之拋光襯底之方法中,所提供的化學機械拋光墊可為本領域已知的任何合適的拋光墊。熟悉該項技術者知道選擇用在本發明方法中適當的化學機械拋光墊。更較佳的是,在本發明之拋光襯底之方法中,所提供的化學機械拋光墊選自織造拋光墊和非織造拋光墊。還更較佳的是,在本發明之拋光襯底之方法中,所提供的化學機械拋光墊包括聚胺酯拋光層。最較佳的是,在本發明之拋光襯底之方法中,所提供的化學機械拋光墊包括含有聚合物中空芯微粒的聚胺酯拋光層以及聚胺酯浸漬的非織造子墊。較佳的是,所提供的化學機械拋光墊在拋光表面上具有至少一個凹槽。
較佳的是,在本發明之拋光襯底之方法中,在化學機械拋光墊與襯底之間的介面處或介面附近將所提供的化學機械拋光組成物分配到所提供的化學機械拋光墊的拋光表面上。
較佳的是,在本發明之拋光襯底之方法中,使用0.69至34.5 kPa的垂直於被拋光襯底的表面的下壓力,在所提供的化學機械拋光墊與襯底之間的介面處產生動態接觸。
在拋光包括二氧化矽、氮化矽和無定形矽的襯底之方法中,在200 mm的拋光機上在93-113轉/分鐘的壓板速度、87-111轉/分鐘的托架速度、125-300 mL/min的化學機械拋光組成物流速、6.9 kPa或20.7 kPa的標稱下壓力下進行拋光;並且其中,該化學機械拋光墊包含含有聚合物中空芯微粒的聚胺酯拋光層以及聚胺酯浸漬的非織造子墊。
在用本發明之化學機械拋光組成物對襯底進行化學機械拋光之方法中,SiN : TEOS選擇性範圍為0.1至2、較佳的是0.3至1.5、更較佳的是0.3至1.2、最較佳的是0.3至0.5。在用本發明之化學機械拋光組成物對襯底進行化學機械拋光之方法中,SiN : aSi選擇性範圍為 > 2、較佳的是 > 5、更較佳的是11-40、最較佳的是20-40。
以下實例旨在說明本發明,但是並不旨在限制其範圍。 實例1化學機械拋光組成物
以下化學機械拋光組成物為拋光漿料,並且製備成包含下表1中揭露之組分。每種拋光漿料的固體含量為4 wt%(磨料的重量)。表面活性劑濃度為0.02 wt%,除了PS-2的濃度為0.05 wt%。CS-1中不包含表面活性劑。將組分與餘量去離子水組合,而沒有進一步調節pH。用0.03 wt%的水性磷酸維持pH。 [表1]
漿料 磨料 1 表面活性劑 pH ζ 電位(mV 平均 5 粒度(nm
CS-1 PL-3 ---------- 2.7 +2 69
PS-1 PL-3 支化的聚(5)氧乙烯異癸基丙氧基胺2 2.8 +12 64
PS-2 PL-3 支化的聚(5)氧乙烯異癸基丙氧基胺 3 +13 64
CS-2 PL-3 具有三級胺的乙氧基化的 (15) 椰油烷基胺3 2.8 +43 附聚的
CS-3 PL-3 椰油烷基甲基乙氧基化的 (15) 季銨鹽4 2.6 +35 附聚的
1 包含氮化合物的帶正電荷的膠體二氧化矽顆粒並且來自日本大阪扶桑化學工業株式會社(扶桑)的商品名。2 TOMAMINE™ E-14-5表面活性劑,從維吉尼亞州里士滿贏創公司(Evonik, Richmond, VA)可獲得。3 ETHOMEEN™ C/25表面活性劑(CAS:61791-14-8),從紐約泰裡敦阿克蘇諾貝爾公司(Akzo Nobel, Terrytown, NY)可獲得。4 ETHOQUAD™ C/25表面活性劑(CAS:61791-10-4),從阿克蘇諾貝爾公司可獲得。5 DLS Zavg.(動態光散射技術)。
本發明之包含支化的聚(5)氧乙烯異癸基丙氧基胺的配製物PS-1和PS-2沒有顯著增加粒度,表明係穩定的漿料配製物。因此,該配製物高度適用於漿料應用。
具有三級胺的乙氧基化的 (15) 椰油烷基胺和椰油烷基甲基乙氧基化的 (15) 季銨鹽二者都使膠體二氧化矽粒度增加,使得該顆粒係附聚的,因此導致不穩定的漿料配製物並且不適用於漿料應用。這兩種表面活性劑與本發明之表面活性劑相對比在其椰油烷基部分中不包含醚基團。下面分別是具有三級胺的乙氧基化的 (15) 椰油烷基胺 (VI) 和椰油烷基甲基乙氧基化的 (15) 季銨鹽 (VII) 之化學公式化。
Figure 02_image009
(VI);x +y = 2 平均EO = 4
Figure 02_image011
(VII) 實例2TEOS SiN aSi 的去除速率以及選擇性性能
在以下條件下進行在200 mm毯式晶圓襯底的原矽酸四乙酯(TEOS)、氮化矽和aSi中的每個上拋光的毯式晶圓去除速率測試:使用Strasbaugh 6EC 200 mm晶圓拋光機或「6EC RR」(亞利桑那州錢德勒市的Axus科技公司(Axus Technology Company, Chandler, AZ)),在20.7 kPa(3 psi)的下壓力以及分別為93和87的工作台轉速和托架轉速(rpm)下,並且用具有1010凹槽圖案的IC1000™ CMP拋光墊(密西根州米德蘭市的陶氏公司(Dow, Midland, MI))以及所指示的磨料漿料(如在下表2中示出的),在給定的磨料漿料流速200 mL/min下。使用SEASOL™ AK45 AM02BSL8031C1金剛石墊修整盤(中國砂輪企業股份有限公司,台灣(Kinik Company, Taiwan))來修整拋光墊。在拋光期間使用3.18 kg(7.0 lbf)的下壓力在10掃描/min下在距離拋光墊中心4.32 cm至23.37cm處原位修整拋光墊。藉由使用KLA-TENCOR™ FX200度量工具(加利福尼亞州米爾皮塔斯市的美國科磊公司(KLA TENCOR, Milpitas, CA))使用49點螺旋掃描在3 mm邊緣排除下測量拋光之前和之後的膜厚度來確定去除速率。在下表2中示出了去除速率(RR)結果及其比率(選擇性)。 [表2]
漿料 SiN RR Å/min TEOS RR (Å/min aSi RR (Å/min SiN : TEOS 選擇性 SiN : aSi 選擇性
CS-1 531 1096 184 0.5 2.9
PS-1 391 1188 18 0.3 22
PS-2 381 1184 10 0.3 38
拋光結果示出了本發明之拋光漿料具有超過aSi的SiN的更高的拋光選擇性。同時地,本發明之拋光漿料具有良好的可調的SiN : TEOS RR。 實例3化學機械拋光組成物
以下化學機械拋光組成物為拋光漿料,並且製備成包含下表3中揭露之組分。將組分與餘量去離子水組合,而沒有進一步調節pH。用水性磷酸維持pH。 [表3]
漿料 磨料 6 (wt% 聚乙氧基化的胺表面活性劑7 (wt% H3 PO4 (wt% pH
CS-1 4 ------------ 0.03 2.7
PS-3 2 0.005 0.03 2.63
PS-4 2 0.01 0.03 2.66
PS-5 2 0.02 0.03 2.70
PS-6 1 0.0025 0.03 2.63
PS-7 1 0.01 0.03 2.67
PS-8 1 0.01 0.015 2.99
PS-9 1 0.01 0.06 2.42
6 Fuso PL-3(包含氮化合物的帶正電荷的膠體二氧化矽顆粒並且來自日本大阪扶桑化學工業株式會社的商品名)。7 支化的聚(5)氧乙烯異癸基丙氧基胺(TOMAMINE™ E-14-5表面活性劑,從維吉尼亞州里士滿贏創公司可獲得)。 實例4TEOS SiN aSi 的去除速率以及選擇性性能
根據如在以上實例2中揭露的程序並使用設備以及拋光參數進行在原矽酸四乙酯(TEOS)襯底、氮化矽襯底和aSi襯底中的每個上拋光的毯式晶圓去除速率測試。在下表4中示出了去除速率(RR)結果及其比率(選擇性)。 [表4]
漿料 SiN RR Å/min TEOS RR (Å/min aSi RR (Å/min SiN : TEOS 選擇性 SiN : aSi 選擇性
CS-1 531 1096 184 0.5 2.9
PS-3 471 886 39 0.5 11.9
PS-4 410 965 32 0.4 13
PS-5 338 988 26 0.3 12.8
PS-6 353 285 92 1.2 3.8
PS-7 309 388 48 0.8 6.5
PS-8 173 530 82 0.3 2.1
PS-9 460 306 89 1.5 5.1
除了PS-8外,拋光結果示出了本發明之拋光漿料具有超過aSi的SiN的更高的拋光選擇性。同時地,本發明之拋光漿料具有良好的可調的SiN : TEOS RR。 實例5化學機械拋光組成物
以下化學機械拋光組成物為拋光漿料,並且製備成包含下表5中揭露之組分。將組分與餘量去離子水組合,而沒有進一步調節pH。用水性磷酸維持pH。 [表5]
漿料 磨料 8 (wt% 聚乙氧基化的胺表面活性劑9 (wt% H3 PO4 (wt% pH
CS-2 1 ------------ 0.03 2.63
PS-10 1 0.02 0.03 2.7
PS-11 1 0.02 0.03 2.43
8 FUSO™ BS-3,帶正電荷的膠體二氧化矽顆粒(日本大阪扶桑化學工業株式會社)。9 支化的聚(5)氧乙烯異癸基丙氧基胺(TOMAMINE™ E-14-5表面活性劑,從維吉尼亞州里士滿贏創公司可獲得)。 實例6TEOS SiN aSi 的去除速率以及選擇性性能
根據如在以上實例2中揭露的程序並使用設備以及拋光參數進行在原矽酸四乙酯(TEOS)襯底、氮化矽襯底和aSi襯底中的每個上拋光的毯式晶圓去除速率測試。在下表6中示出了去除速率(RR)結果及其比率(選擇性)。 [表6]
漿料 SiN RR Å/min TEOS RR (Å/min aSi RR (Å/min SiN : TEOS 選擇性 SiN : aSi 選擇性
CS-2 169 931 117 0.2 1.4
PS-10 129 1041 19 0.1 6.8
PS-11 65 729 18 0.1 3.6
拋光結果示出了本發明之拋光漿料具有超過aSi的SiN的更高的拋光選擇性。同時地,本發明之拋光漿料具有良好的可調的SiN : TEOS RR。 實例7具有不同酸的化學機械拋光組成物
以下化學機械拋光組成物為拋光漿料,並且製備成包含下表7中揭露之組分。將組分與餘量去離子水組合,而沒有進一步調節pH。用在表中揭露的酸的一種維持pH。 [表7]
漿料 磨料 10 (wt% 聚乙氧基化的胺表面活性劑11 (wt% pH
PS-12 1 0.01 H3 PO4 2.63
CS-3 1 0.01 HNO3 2.63
CS-4 1 0.01 HNO3 2.41
CS-5 1 0.01 丙二酸 2.66
CS-6 4 0.02 丙二酸 2.90
CS-7 4 0.02 丁二酸 3.44
CS-8 4 0.02 丁二酸 3.18
10 Fuso PL-3(包含氮化合物的帶正電荷的膠體二氧化矽顆粒並且來自日本大阪扶桑化學工業株式會社的商品名)。11 支化的聚(5)氧乙烯異癸基丙氧基胺(TOMAMINE™ E-14-5表面活性劑,從維吉尼亞州里士滿贏創公司可獲得)。 實例8使用不同酸的 TEOS SiN aSi 的去除速率以及選擇性性能
根據如在以上實例2中揭露的程序並使用設備以及拋光參數進行在原矽酸四乙酯(TEOS)襯底、氮化矽襯底和aSi襯底中的每個上拋光的毯式晶圓去除速率測試。在下表8中示出了去除速率(RR)結果及其比率(選擇性)。 [表8]
漿料 SiN RR Å/min TEOS RR (Å/min aSi RR (Å/min SiN : TEOS 選擇性 SiN : aSi 選擇性
PS-12 309 388 48 0.8 6.5
CS-3 53 369 82 0.1 0.6
CS-4 63 281 83 0.2 0.8
CS-5 66 389 101 0.2 0.7
CS-7 46 1240 10 0.04 4.6
CS-8 17 1372 14 0.01 1.2
CS-9 28 1286 36 0.02 0.8
拋光結果示出,與包含硝酸或羧酸丙二酸和丁二酸的漿料相對比,包含磷酸的拋光漿料具有超過aSi的SiN的更高的拋光選擇性。同時地,具有磷酸的拋光漿料具有良好之可調的SiN : TEOS RR。 實例9具有 DTAC CTAC 作為對比之化學機械拋光組成物
以下化學機械拋光組成物為拋光漿料,並且製備成包含下表9中揭露之組分。將組分與餘量去離子水組合,而沒有進一步調節pH。用水性磷酸維持pH。 [表9]
漿料 磨料 12 (wt% 表面活性劑 (wt% H3 PO4 (wt% pH
PS-13 1 0.01 支化的聚(5)氧乙烯異癸基丙氧基胺 0.03 2.63
CS-10 1 0.01 DTAC 0.03 2.6
CS-11 1 0.05 DTAC 0.03 2.6
CS-12 1 0.01 CTAC 0.03 2.6
CS-13 4 0.05 CTAC 0.03 2.6
12 Fuso PL-3(包含氮化合物的帶正電荷的膠體二氧化矽顆粒並且來自日本大阪扶桑化學工業株式會社的商品名)。
Figure 02_image013
(VIII) DTAC
Figure 02_image015
(IX) CTAC 實例10使用 DTAC CTAC 作為對比的 TEOS SiN aSi 的去除速率以及選擇性性能
根據如在以上實例2中揭露的程序並使用設備以及拋光參數進行在原矽酸四乙酯(TEOS)襯底、氮化矽襯底和aSi襯底中的每個上拋光的毯式晶圓去除速率測試。在下表10中示出了去除速率(RR)結果及其比率(選擇性)。 [表10]
漿料 SiN RR Å/min TEOS RR (Å/min aSi RR (Å/min SiN : TEOS 選擇性 SiN : aSi 選擇性
PS-13 309 388 48 0.8 6.5
CS-10 327 563 178 0.6 1.8
CS-11 135 417 82 0.3 1.6
CS-12 173 557 63 0.3 2.7
CS-13 22 297 55 0.1 0.4
拋光結果示出,與包含DTAC和CTAC的漿料相對比,本發明之拋光漿料PS-13具有超過aSi的SiN之更高拋光選擇性。同時地,本發明之拋光漿料具有良好的可調的SiN : TEOS RR。

Claims (10)

  1. 一種化學機械拋光組成物,其包含以下項作為初始組分: 水; 包含含氮化合物和正ζ電位的膠體二氧化矽磨料顆粒; 磷酸或其鹽; 具有式 (I) 之聚乙氧基化的胺化合物:
    Figure 03_image001
    (I) 其中R 係直鏈或支鏈的(C10 -C27 )烷基或牛脂部分,R1 係(C1 -C4 )烷基或(CH2 CH2 O) y H部分,G係(CH2 CH2 O) y H部分或 → O,xy 係1-20的數字並且z 係0或1,其中當R1 係(C1 -C4 )烷基,G係(CH2 CH2 O) y H部分且z係1時,N係N+ 並且N+ 的抗衡陰離子選自鹵離子;以及 視需要殺生物劑。
  2. 如申請專利範圍第1項所述之化學機械拋光組成物,其中,該化學機械拋光組成物包含以下項作為初始組分: 該水; 該包含含氮化合物和正ζ電位的膠體二氧化矽磨料顆粒; 該磷酸或其鹽; 具有式 (II) 的聚乙氧基化的胺化合物:
    Figure 03_image003
    (II) 其中R’係直鏈或支鏈的(C10 -C27 )烷基或牛脂部分,xy 係1-20的數字;以及 視需要該殺生物劑。
  3. 如申請專利範圍第1項所述之化學機械拋光組成物,其中,該化學機械拋光組成物包含以下項作為初始組分: 該水; 該包含含氮化合物和正ζ電位的膠體二氧化矽磨料顆粒; 該磷酸或其鹽; 具有式 (III) 之聚乙氧基化的胺化合物:
    Figure 03_image005
    (III) 其中R’係直鏈或支鏈的(C10 -C27 )烷基或牛脂部分,xy 係1-20的數字;以及 視需要殺生物劑。
  4. 如申請專利範圍第1項所述之化學機械拋光組成物,其中,該化學機械拋光組成物包含以下項作為初始組分: 該水; 該包含含氮化合物和正ζ電位的膠體二氧化矽磨料顆粒; 該磷酸或其鹽; 具有式 (IV) 之聚乙氧基化的胺化合物:
    Figure 03_image007
    (IV) 其中R’係直鏈或支鏈的(C10 -C27 )烷基或牛脂部分,xy 係1-20的數字;以及 視需要殺生物劑。
  5. 如申請專利範圍第1項所述之化學機械拋光組成物,其中,該含氮化合物係胺基矽烷化合物。
  6. 如申請專利範圍第1項所述之化學機械拋光組成物,其中,該聚乙氧基化的胺化合物係以至少0.001 wt%的量。
  7. 如申請專利範圍第6項所述之化學機械拋光組成物,其中,該聚乙氧基化的胺化合物係以至少0.001-0.05 wt%的量。
  8. 如申請專利範圍第1項所述之化學機械拋光組成物,其中,該化學機械拋光組成物的pH > 7。
  9. 一種用於對襯底進行化學機械拋光之方法,該方法包括: 提供襯底,其中該襯底包括二氧化矽、氮化矽和無定形矽的介電材料; 提供如申請專利範圍第1項所述之化學機械拋光組成物; 提供具有拋光表面之化學機械拋光墊; 用0.69至34.5 kPa的下壓力在該化學機械拋光墊之拋光表面與該襯底之間的介面處產生動態接觸;以及 在該化學機械拋光墊與該襯底之間之介面處或介面附近將該化學機械拋光組成物分配到該化學機械拋光墊上; 其中該襯底被拋光;並且其中,將該介電材料中的至少一些從該襯底上去除。
  10. 如申請專利範圍第9項所述之方法,其中,在200 mm的拋光機上在93-113轉/分鐘的壓板速度、87-111轉/分鐘的托架速度、125-300 mL/min之酸性化學機械拋光組成物流速、6.9 kPa或20.7 kPa的標稱下壓力下進行拋光;並且其中,該化學機械拋光墊包含含有聚合物中空芯微粒之聚胺酯拋光層以及聚胺酯浸漬之非織造子墊。
TW109108727A 2019-03-20 2020-03-17 化學機械拋光組成物及抑制無定形矽去除速率之方法 TW202039776A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/359,075 US10626298B1 (en) 2019-03-20 2019-03-20 Chemical mechanical polishing compositions and methods for suppressing the removal rate of amorphous silicon
US16/359075 2019-03-20

Publications (1)

Publication Number Publication Date
TW202039776A true TW202039776A (zh) 2020-11-01

Family

ID=70285241

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109108727A TW202039776A (zh) 2019-03-20 2020-03-17 化學機械拋光組成物及抑制無定形矽去除速率之方法

Country Status (5)

Country Link
US (1) US10626298B1 (zh)
JP (1) JP2020155775A (zh)
KR (1) KR20200112708A (zh)
CN (1) CN111718657B (zh)
TW (1) TW202039776A (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220348789A1 (en) * 2021-04-22 2022-11-03 Rohm and Haas Electronics Materials CMP Holdings, Inc. Surface modified silanized colloidal silica particles
US20220348790A1 (en) * 2021-04-22 2022-11-03 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method
KR20220149148A (ko) * 2021-04-30 2022-11-08 에스케이씨솔믹스 주식회사 반도체 공정용 연마 조성물 및 연마 조성물을 적용한 반도체 소자의 제조 방법
KR102638622B1 (ko) * 2021-07-22 2024-02-19 에스케이엔펄스 주식회사 반도체 공정용 연마 조성물 및 연마 조성물을 적용한 반도체 소자의 제조 방법

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070077865A1 (en) * 2005-10-04 2007-04-05 Cabot Microelectronics Corporation Method for controlling polysilicon removal
US8197307B1 (en) * 2009-03-19 2012-06-12 Kenneth Luna Surface polishing system
WO2011005456A2 (en) 2009-06-22 2011-01-13 Cabot Microelectronics Corporation Cmp compositions and methods for suppressing polysilicon removal rates
US8808573B2 (en) 2011-04-15 2014-08-19 Cabot Microelectronics Corporation Compositions and methods for selective polishing of silicon nitride materials
US20140197356A1 (en) 2011-12-21 2014-07-17 Cabot Microelectronics Corporation Cmp compositions and methods for suppressing polysilicon removal rates
US9633863B2 (en) 2012-07-11 2017-04-25 Cabot Microelectronics Corporation Compositions and methods for selective polishing of silicon nitride materials
SG11201505490RA (en) 2013-02-01 2015-08-28 Fujimi Inc Surface selective polishing compositions
US9279067B2 (en) 2013-10-10 2016-03-08 Cabot Microelectronics Corporation Wet-process ceria compositions for polishing substrates, and methods related thereto
US9978609B2 (en) * 2015-04-27 2018-05-22 Versum Materials Us, Llc Low dishing copper chemical mechanical planarization
JP6708951B2 (ja) * 2016-03-28 2020-06-10 日立化成株式会社 研磨液及び研磨方法
US10377014B2 (en) * 2017-02-28 2019-08-13 Ecolab Usa Inc. Increased wetting of colloidal silica as a polishing slurry
US10119048B1 (en) 2017-07-31 2018-11-06 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Low-abrasive CMP slurry compositions with tunable selectivity

Also Published As

Publication number Publication date
US10626298B1 (en) 2020-04-21
CN111718657A (zh) 2020-09-29
CN111718657B (zh) 2021-05-28
KR20200112708A (ko) 2020-10-05
JP2020155775A (ja) 2020-09-24

Similar Documents

Publication Publication Date Title
CN111718657B (zh) 化学机械抛光组合物及抑制无定形硅的去除速率的方法
TWI500750B (zh) 包含多晶矽、氧化矽及氮化矽之基板的研磨方法
JP6002983B2 (ja) 調整可能な絶縁体研磨選択比を有するスラリー組成物及び基板研磨方法
US11591495B2 (en) Neutral to alkaline chemical mechanical polishing compositions and methods for tungsten
JP7480384B2 (ja) 研磨用組成物
CN111471401B (zh) 具有增强的缺陷抑制的酸性抛光组合物和抛光衬底的方法
KR101672816B1 (ko) 실리콘 옥사이드 및 실리콘 니트라이드중 적어도 하나와 폴리실리콘을 포함하는 기판의 연마 방법
WO2016067923A1 (ja) 化学機械研磨用水系分散体および化学機械研磨方法
CN110283532B (zh) 具有增强缺陷抑制的抛光组合物和抛光衬底方法
US11718769B2 (en) Chemical mechanical polishing composition containing composite silica particles, method of making the silica composite particles and method of polishing a substrate
TWI839468B (zh) 研磨用組成物
TW202026390A (zh) 拋光二氧化矽多於氮化矽之化學機械拋光組成物及方法
KR20230044961A (ko) 폴리규소의 제거 속도를 향상시키는 방법