TW202027228A - 積體電路及其形成方法以及用於設計積體電路的系統 - Google Patents

積體電路及其形成方法以及用於設計積體電路的系統 Download PDF

Info

Publication number
TW202027228A
TW202027228A TW108135321A TW108135321A TW202027228A TW 202027228 A TW202027228 A TW 202027228A TW 108135321 A TW108135321 A TW 108135321A TW 108135321 A TW108135321 A TW 108135321A TW 202027228 A TW202027228 A TW 202027228A
Authority
TW
Taiwan
Prior art keywords
layout pattern
conductive
feature layout
conductive structure
cutting
Prior art date
Application number
TW108135321A
Other languages
English (en)
Other versions
TWI727441B (zh
Inventor
黃湘琪
莊惠中
楊榮展
王柏鈞
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202027228A publication Critical patent/TW202027228A/zh
Application granted granted Critical
Publication of TWI727441B publication Critical patent/TWI727441B/zh

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11868Macro-architecture
    • H01L2027/11874Layout specification, i.e. inner core region
    • H01L2027/11875Wiring region, routing

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

一種形成積體電路的方法包括產生第一及第二標準單元佈局設計、產生在第一方向上延伸的第一切割特徵佈局圖案集合、以及基於第一或第二標準單元佈局設計製造積體電路。產生第一標準單元佈局設計包括產生在第一方向上延伸並且與在第一方向上延伸的第一柵格線集合重疊的第一導電特徵佈局圖案集合。產生第二標準單元佈局設計包括產生在第一方向上延伸並且與在第一方向上延伸的第二柵格線集合重疊的第二導電特徵佈局圖案集合。在第一方向上延伸的第一切割特徵佈局圖案的側面與第一或第二柵格線集合的第一柵格線對準。

Description

積體電路、系統及其對應形成方法
半導體積體電路(IC)工業已產生多種多樣的數位裝置來解決數個不同領域中的問題。一些此等數位裝置(諸如記憶體巨集)經構造為用於資料儲存。由於IC已變得愈來愈小且更為複雜,在此等數位裝置內的導線的電阻亦隨之改變,從而影響此等數位裝置的操作電壓及總IC效能。
100A:佈局設計
100B:部分
100C:積體電路
101a:單元邊界
101a':單元邊界
101b:單元邊界
101b':單元邊界
101c:單元邊界
101c':單元邊界
101d:單元邊界
101d':單元邊界
102:柵格線集合
102':柵格線集合
102a:柵格線
102a':柵格線
102b:柵格線
102b':柵格線
102c:柵格線
102c':柵格線
102d:柵格線
102d':柵格線
102e:柵格線
102e':柵格線
102f:柵格線
102f':柵格線
104:柵格線集合
104':柵格線集合
104a:柵格線
104a':柵格線
104b:柵格線
104b':柵格線
104c:柵格線
104c':柵格線
104d:柵格線
104d':柵格線
104e:柵格線
104e':柵格線
104f:柵格線
104f':柵格線
106a:標準單元佈局圖案
106a':標準單元
106b:標準單元佈局圖案
106b':標準單元
108a:標準單元佈局圖案
108a':標準單元
108b:標準單元佈局圖案
108b':標準單元
110:切割特徵佈局圖案集合
110a:切割特徵佈局圖案
110a':部分
110b:切割特徵佈局圖案
110b':部分
110b1:側面
110c:切割特徵佈局圖案
110c':部分
112:切割特徵佈局圖案集合
112a:切割特徵佈局圖案
112b:切割特徵佈局圖案
112c:切割特徵佈局圖案
120:導電特徵佈局圖案集合
120a:導電特徵佈局圖案
120a':導電結構
120b:導電特徵佈局圖案
120b':導電結構
120c:導電特徵佈局圖案
120c':導電結構
120d:導電特徵佈局圖案
120d':導電結構
120e:導電特徵佈局圖案
120e':導電結構
120f:導電特徵佈局圖案
120f':導電結構
122:導電特徵佈局圖案集合
122a:導電特徵佈局圖案
122a':導電結構
122b:導電特徵佈局圖案
122b':導電結構
122c:導電特徵佈局圖案
122c':導電結構
122d:導電特徵佈局圖案
122d':導電結構
122e:導電特徵佈局圖案
122e':導電結構
122f:導電特徵佈局圖案
122f':導電結構
122f1:側面
122f2:側面
124:導電特徵佈局圖案集合
124a:導電特徵佈局圖案
124a':導電結構
126a:導電特徵佈局圖案
126a':導電結構
126b:導電特徵佈局圖案
126b':導電結構
126c:導電特徵佈局圖案
126c':導電結構
126d:導電特徵佈局圖案
126d':導電結構
126e:導電特徵佈局圖案
126e':導電結構
126f:導電特徵佈局圖案
126f':導電結構
126f1:側面
126f2:側面
126:導電特徵佈局圖案集合
130a:導電特徵佈局圖案
130a':導電結構
130b:導電特徵圖案
130b':導電結構
132a:導電特徵佈局圖案
132a':導電結構
132b:導電特徵佈局圖案
132b':導電結構
134a:導電特徵佈局圖案
134a':導電結構
134b:導電特徵佈局圖案
134b':導電結構
136a:導電特徵佈局圖案
136a':導電結構
136b:導電特徵佈局圖案
136b':導電結構
200A:佈局設計
200B:積體電路
208a:標準單元佈局圖案
208a':標準單元
208b:標準單元佈局圖案
208b':標準單元
212:切割特徵佈局圖案集合
212a:對應切割特徵佈局圖案
212a':部分
212a1:側面
212b':部分
212c:對應切割特徵佈局圖案
212c':部分
212c1:側面
224':導電特徵集合
224f':導電結構
226':導電結構集合
226a:導電特徵佈局圖案
226a':導電結構
234a:導電特徵圖案
234a':導電結構
236a:導電特徵圖案
236a':導電結構
300A:佈局設計
306a:標準單元佈局圖案
306a':標準單元
306b:標準單元佈局圖案
306b':標準單元
308a:標準單元佈局圖案
308a':標準單元
308b':標準單元
310:切割特徵佈局圖案集合
310a:切割特徵佈局圖案
310a':部分
310a1:側面
310a2:側面
310c:切割特徵佈局圖案
310c1:側面
310c2:側面
312:切割特徵佈局圖案集合
312a:切割特徵佈局圖案
312a':部分
312a1:側面
312a2:側面
312c:切割特徵佈局圖案
312c':部分
312c1:側面
312c2:側面
330a:導電特徵圖案
330a':導電結構
332a:導電特徵圖案
334a:導電特徵佈局圖案
334a':導電結構
336a:導電特徵圖案
336a':導電結構
400A:佈局設計
400B:積體電路
406a:標準單元佈局圖案
406a':標準單元
406b:標準單元佈局圖案
406b':標準單元
408a:標準單元佈局圖案
408a':標準單元
408b:標準單元佈局圖案
410:切割特徵佈局圖案集合
410b:切割特徵佈局圖案
410b':部分
412:切割特徵佈局圖案集合
412b:切割特徵佈局圖案
412b':部分
420:導電特徵佈局圖案集合
420a:導電特徵圖案
420a':導電結構
420a1:側面
420b:導電特徵圖案
420b':導電結構
420b1:側面
420c:導電特徵圖案
420c':導電結構
420c1:側面
420d:導電特徵圖案
420d':導電結構
420d1:側面
420e:導電特徵圖案
420e':導電結構
420e1:側面
420f:導電特徵圖案
420f':導電結構
420f1:側面
422:導電特徵佈局圖案集合
422a:導電特徵圖案
422a':導電結構
422a1:側面
422b:導電特徵圖案
422b':導電結構
422b1:側面
422c:導電特徵圖案
422c':導電結構
422c1:側面
422d:導電特徵圖案
422d':導電結構
422d1:側面
422e:導電特徵圖案
422e':導電結構
422e1:側面
422f:導電特徵圖案
422f1:側面
424:導電特徵佈局圖案集合
424a:導電特徵圖案
424a':導電結構
424a1:側面
424b:導電特徵圖案
424b':導電結構
424b1:側面
424c:導電特徵圖案
424c':導電結構
424c1:側面
424d:導電特徵圖案
424d':導電結構
424d1:側面
424e:導電特徵圖案
424e':導電結構
424e1:側面
424f:導電特徵圖案
424f':導電結構
424f1:側面
426:導電特徵佈局圖案集合
426a:導電特徵圖案
426a':導電結構
426a1:側面
426b:導電特徵圖案
426b':導電結構
426b1:側面
426c:導電特徵圖案
426c':導電結構
426c1:側面
426d:導電特徵圖案
426d':導電結構
426d1:側面
426e:導電特徵圖案
426e':導電結構
426e1:側面
426f:導電特徵圖案
426f1:側面
430a:導電特徵佈局圖案
430a':導電結構
432a:導電特徵佈局圖案
432a':導電結構
434a:導電特徵佈局圖案
434a':導電結構
436a:導電特徵佈局圖案
436a':導電結構
500A:佈局設計
500B:積體電路
506a:標準單元佈局圖案
506a':標準單元
506b:標準單元佈局圖案
506b':標準單元
510:切割特徵佈局圖案集合
510a:切割特徵佈局圖案
510b:切割特徵佈局圖案
510b':部分
510c:切割特徵佈局圖案
520:導電特徵佈局圖案集合
520a:導電特徵佈局圖案
520a':導電結構
522:導電特徵佈局圖案集合
522':導電結構集合
522e:導電特徵佈局圖案
522e':導電結構
530a:導電特徵佈局圖案
530a':導電結構
532a:導電特徵佈局圖案
532a':導電結構
600A:佈局設計
606a:標準單元佈局圖案
606a':標準單元
606b:標準單元佈局圖案
606b':標準單元
608a:標準單元佈局圖案
608a':標準單元
608b:標準單元佈局圖案
608b':標準單元
610:切割特徵佈局圖案集合
610b:切割特徵佈局圖案
610b':部分
612:切割特徵佈局圖案集合
612b:切割特徵佈局圖案
612b':部分
620:導電特徵佈局圖案集合
620':導電結構集合
620f:導電特徵圖案
622:導電特徵佈局圖案集合
622':導電結構集合
622a:導電特徵佈局圖案
622a':導電結構
630a:導電特徵佈局圖案
632a:導電特徵佈局圖案
632a':導電結構
634a:導電特徵佈局圖案
634a':導電結構
636a:導電特徵佈局圖案
636a':導電結構
700:方法
702:操作
704:操作
706:操作
800:方法
802:操作
804:操作
806:操作
808:操作
810:操作
812:操作
814:操作
816:操作
900:系統
902:硬體處理器
904:電腦可讀取儲存媒體
906:電腦程式碼
908:匯流排
910:I/O介面
912:網路介面
914:網路
916:佈局設計
918:使用者介面
920:製造單元
1000:積體電路(IC)製造系統
1020:設計室
1022:IC設計佈局
1030:遮罩室
1032:資料準備
1044:遮罩製造
1050:IC製造商/生產商(「晶圓廠」)
1053:晶圓
1060:IC裝置
專利或申請案檔案含有按顏色執行的附圖/圖式。在請求及支付必要費用之後,將由專利局提供具有彩色附圖/圖式的此專利的副本。
當結合隨附圖式閱讀時,自以下詳細描述將很好地理解本揭示的態樣。應注意,根據工業中的標準實務,各個特徵並非按比例繪製。事實上,出於論述清晰的目的,可任意增加或減小各個特徵的尺寸。
第1A圖至第1B圖係根據一些實施例所繪示的佈局設計的示意圖。
第1C圖係根據一些實施例所繪示的積體電路的俯視圖。
第2A圖係根據一些實施例所繪示的積體電路的佈局設計的示意圖。
第2B圖係根據一些實施例所繪示的積體電路的俯視圖。
第3A圖係根據一些實施例所繪示的積體電路的佈局設計的示意圖。
第3B圖係根據一些實施例所繪示的積體電路的俯視圖。
第4A圖係根據一些實施例所繪示的積體電路的佈局設計的示意圖。
第4B圖係根據一些實施例所繪示的積體電路的俯視圖。
第5A圖係根據一些實施例所繪示的積體電路的佈局設計的示意圖。
第5B圖係根據一些實施例所繪示的積體電路的俯視圖。
第6A圖係根據一些實施例所繪示的積體電路的佈局設計的示意圖。
第6B圖係根據一些實施例所繪示的積體電路的俯視圖。
第7圖係根據一些實施例所繪示的形成或製造積體電路的方法的流程圖。
第8圖係根據一些實施例所繪示的產生積體電路的佈局設計的方法的流程圖。
第9圖係根據一些實施例所繪示的用於設計及製造IC佈局設計的系統的示意圖。
第10圖係根據本揭示的至少一個實施例所繪示的IC製造系統及與其相關聯的IC製造流程的方塊圖。
以下揭示內容提供許多不同實施例或實例,以便實施所提供標的之不同特徵。下文描述元件、材料、值、步驟、佈置或類似者的具體實例以簡化本揭示案。當然,此等僅為實例且不為限制性。可以預期其他元件、材料、值、步驟、佈置或類似者。例如,以下描述中在第二特徵上方或第二特徵上形成第一特徵可包括以直接接觸形成第一特徵及第二特徵的實施例;且亦可包括在第一特徵與第二特徵之間形成額外特徵,以使得第一特徵及第二特徵可不處於直接接觸的實施例。此外,本揭示案可在各個實例中重複元件符號及/或字母。此重複係出於簡便性及清晰的目的且本身並不指示所論述的各個實施例及/或配置之間的關係。
另外,為了便於描述,本文可使用空間相對性術語(諸如「在……之下」、「在……下方」、「下部」、「在……上方」、「上部」及類似術語)來描述諸圖中所示出的一個元件或特徵與另一元件或特徵的關係。除了諸圖所描繪的定向外,空間相對性術語意欲包含使用或操作中裝置的不同定向。設備可經其他方式定向(旋轉90度或處於其他定向)且可類似相應地解讀本文所使用的空間相對性描述詞。
根據一些實施例,一種形成IC的方法包括產生IC的第一標準單元佈局設計、產生IC的第二標準單元佈局設計、產生第一切割特徵佈局圖案集合、以及至少基於第一 標準單元佈局設計或第二標準單元佈局設計來製造IC電路。
在一些實施例中,第二標準單元佈局設計在第一方向上抵靠第一標準單元佈局設計。
在一些實施例中,產生第一標準單元佈局設計包括產生第一導電特徵佈局圖案集合,此第一導電特徵佈局圖案集合在第一方向上延伸、位於第一金屬位準上並且與在第一方向上延伸的第一柵格線集合重疊。在一些實施例中,第一導電特徵佈局圖案集合對應於製造第一導電結構集合。
在一些實施例中,產生第二標準單元佈局設計包括產生第二導電特徵佈局圖案集合,此第二導電特徵佈局圖案集合在第一方向上延伸、位於第一金屬位準上並且與在第一方向上延伸的第二柵格線集合重疊。在一些實施例中,第二柵格線集合在與第一方向不同的第二方向上與第一柵格線集合分離。在一些實施例中,第二導電特徵佈局圖案集合對應於製造第二導電結構集合。
在一些實施例中,在第一方向上延伸的第一切割特徵佈局圖案集合的第一切割特徵佈局圖案的側面與第一柵格線集合或第二柵格線集合的第一柵格線對準。在一些實施例中,藉由將第一切割特徵佈局圖案集合的第一切割特徵佈局圖案的側面定位為與第一或第二柵格線集合中的對應柵格線對準,第一切割特徵佈局圖案與第一或第二導電特徵佈局圖案集合的導電特徵佈局圖案充分分離以不違反通孔著陸點設計規則,並且第一導電特徵佈局圖案可用於製造 對應導電結構。在一些實施例中,第一導電特徵佈局圖案係額外導電特徵佈局圖案,此額外導電特徵佈局圖案可用作在第一或第二標準單元佈局圖案中的額外金屬路由軌跡佈局圖案,從而導致與其他方法相比更有效地利用額外路由資源。
積體電路的佈局設計
第1A圖至第1B圖係根據一些實施例所繪示的佈局設計100A的示意圖。佈局設計100A係第1C圖的積體電路100C的佈局圖。佈局設計100A可用於製造積體電路,諸如第1C圖的積體電路100C。在一些實施例中,第1A圖至第1B圖包括未在第1A圖至第1B圖中圖示的額外元件。
第1B圖係第1A圖的佈局設計100A的對應部分100B的示意圖,為了便於說明而簡化。部分100B包括從佈局設計100A的切割金屬一(M1)位準到金屬二(M2)位準的第1A圖的佈局設計100A的一或多個特徵。換言之,在一些實施例中,為了便於說明,部分100B不圖示佈局設計100A的金屬一(M1)位準。
在一些實施例中,部分100B亦包括第1A圖的佈局設計100A的一或多個標記的尺寸特徵(例如,寬度、間距或類似者),以及為了便於說明在第1A圖的佈局設計100A、佈局設計200A(第2A圖)、佈局設計300A(第3A圖)、佈局設計400A(第4A圖)、佈局設計500A(第5A圖)、佈局設計600A(第6A圖)中未標記的佈局設計200A(第2A圖)、佈局設計300A(第3A圖)、佈局設計400A (第4A圖)、佈局設計500A(第5A圖)、佈局設計600A(第6A圖)的尺寸特徵。然而,將理解第1A圖的佈局設計100A、佈局設計200A(第2A圖)、佈局設計300A(第3A圖)、佈局設計400A(第4A圖)、佈局設計500A(第5A圖)、或佈局設計600A(第6A圖)的每一者亦包括第1B圖的部分1B的一或多個標記的尺寸特徵(例如,寬度、間距或類似者),並且由此為了簡便而省略類似的詳細描述。
佈局設計100A包括標準單元佈局圖案106a、106b、108a及108b。標準單元佈局圖案106a、106b、108a及108b可用於製造第1C圖的積體電路100C的對應標準單元106a’、106b’、108a’及108b’。
標準單元佈局圖案106a、108a在第一方向X上沿著單元邊界101a抵靠對應的標準單元佈局圖案106b、108b。標準單元佈局圖案106a、106b在第二方向Y上沿著單元邊界101d抵靠對應的標準單元佈局圖案108a、108b。在一些實施例中,第二方向Y與第一方向X不同。在一些實施例中,標準單元佈局圖案106a、106b、108a及108b的每一者在第二方向Y上具有相同的對應高度(未標記)。
在一些實施例中,一或多個標準單元佈局圖案106a、106b、108a或108b係邏輯閘極單元的佈局設計。在一些實施例中,邏輯閘極單元包括與閘、或閘、反及閘、反或閘、互斥閘、反相閘、與或非閘(AOI)、或與非閘(OAI)、多工器、正反器、緩衝器、鎖存器、延遲、或時鐘單元。在一些實施例中,一或多個標準單元佈局圖案106a、 106b、108a及108b係記憶體單元的佈局設計。在一些實施例中,記憶體單元包括靜態隨機存取記憶體(SRAM)、動態隨機存取記憶體(DRAM)、電阻式隨機存取記憶體(RRAM)、磁阻式隨機存取記憶體(MRAM)或唯讀記憶體(ROM)。在一些實施例中,一或多個標準單元佈局圖案106a、106b、108a或108b包括一或多個主動或被動元件的佈局設計。主動元件的實例包括但不限於電晶體及二極體。電晶體的實例包括但不限於金屬氧化物半導體場效電晶體(metal oxide semiconductor field effect transistor;MOSFET)、互補金屬氧化物半導體(complementary metal oxide semiconductor;CMOS)電晶體、雙極接面電晶體(bipolar junction transistor;BJT)、高壓電晶體、高頻電晶體、p通道及/或n通道場效電晶體(PFET/NFET)等、FinFETs、以及具有提升的源極/汲極的平面MOS電晶體。被動元件的實例包括但不限於電容器、電感器、保險絲、及電阻器。
在一些實施例中,標準單元佈局圖案106a至少包括切割特徵佈局圖案110a、導電特徵佈局圖案集合120或導電特徵佈局圖案130a及130b(下文描述)。
在一些實施例中,標準單元佈局圖案106b至少包括切割特徵佈局圖案110b及110c、導電特徵佈局圖案集合122或導電特徵佈局圖案132a及132b(下文描述)。
在一些實施例中,標準單元佈局圖案108a至少包括切割特徵佈局圖案112a、導電特徵佈局圖案集合124或導電特徵佈局圖案134a及134b(下文描述)。
在一些實施例中,標準單元佈局圖案108b至少包括切割特徵佈局圖案112b及112c、導電特徵佈局圖案集合126或導電特徵佈局圖案136a及136b(下文描述)。
佈局設計100A進一步包括柵格線集合102、柵格線集合104、導電特徵佈局圖案集合120、導電特徵佈局圖案集合122、導電特徵佈局圖案集合124、導電特徵佈局圖案集合126、導電特徵佈局圖案集合130、導電特徵佈局圖案集合132、導電特徵佈局圖案集合134以及導電特徵佈局圖案集合136。
柵格線集合102及柵格線集合104的每一者在第一方向X上延伸。柵格線集合102至少包括柵格線102a、柵格線102b、柵格線102c、柵格線102d、柵格線102e或柵格線102f。柵格線集合102的每個柵格線在第二方向Y上以間距P1與柵格線集合102的相鄰柵格線分離。
在一些實施例中,柵格線集合102的每個柵格線102a、102b、102c、102d、102e、102f定義區域,其中導電特徵佈局圖案集合120中的對應導電特徵佈局圖案120a、120b、120c、120d、120e、120f或在導電特徵佈局圖案集合124中的對應導電特徵佈局圖案124a、124b、124c、124d、124e、124f定位在此。在一些實施例中,柵 格線102a與標準單元佈局106a及108a的單元邊界101b對準。
柵格線集合104至少包括柵格線104a、柵格線104b、柵格線104c、柵格線104d、柵格線104e或柵格線104f。柵格線集合104的每個柵格線在第二方向Y上以間距P1與柵格線集合104的相鄰柵格線分離。柵格線集合102在第二方向Y上與柵格線集合104分離。在一些實施例中,柵格線102f在第二方向Y上以間距P1與柵格線104a分離。在一些實施例中,柵格線104f與標準單元佈局106b及108b的單元邊界101c對準。
在一些實施例中,柵格線集合102亦被稱為第一路由軌跡集合。在一些實施例中,柵格線集合102或第一路由軌跡集合對應於金屬2(M2)路由軌跡。
在一些實施例中,柵格線集合104的每個柵格線104a、104b、104c、104d、104e、104f定義區域,其中導電特徵佈局圖案集合122中的對應導電特徵佈局圖案122a、122b、122c、122d、122e、122f或在導電特徵佈局圖案集合126中的對應導電特徵佈局圖案126a、126b、126c、126d、126e、126f定位在此。在一些實施例中,柵格線集合104亦被稱為第二路由軌跡集合。在一些實施例中,柵格線集合104或第二路由軌跡集合對應於M2路由軌跡。
導電特徵佈局圖案集合120在第一方向X上延伸。導電特徵佈局圖案集合120至少包括導電特徵佈局圖案 120a、120b、120c、120d、120e或120f。導電特徵佈局圖案集合120位於第一佈局位準上。在一些實施例中,第一佈局位準係金屬二(M2)佈局位準。
導電特徵佈局圖案集合120可用於製造積體電路100C的對應導電結構集合120’(第1C圖)。導電特徵佈局圖案120a、120b、120c、120d、120e、120f可用於製造對應導電結構120a’、120b’、120c’、120d’、120e’、120f’(第1C圖)。
導電特徵佈局圖案集合120重疊導電特徵佈局圖案集合130(下文描述)。在一些實施例中,導電特徵佈局圖案集合120與佈局設計100A的其他佈局位準(例如,主動、MD、M0、M1或類似者)的其他下層佈局圖案(未圖示)重疊。在一些實施例中,導電特徵佈局圖案集合120的每個佈局圖案120a、120b、120c、120d、120e、120f在第二方向Y上具有寬度W1。
在一些實施例中,導電特徵佈局圖案集合120的每個佈局圖案120a、120b、120c、120d、120e、120f與柵格線集合102的對應柵格線102a、102b、102c、102d、102e、102f重疊。在一些實施例中,導電特徵佈局圖案集合120的每個佈局圖案120a、120b、120c、120d、120e、120f的中心在第一方向X上與柵格線集合102的對應柵格線102a、102b、102c、102d、102e、102f對準。
在一些實施例中,導電特徵佈局圖案集合120的佈局圖案120b、120c、120d、120e及120f對應於標準 單元佈局106a中的5個M2路由軌跡。導電特徵佈局圖案120a位於標準單元佈局圖案106a的單元邊界101b之上。
在導電特徵佈局圖案集合120中的圖案的其他構造或量係在本揭示的範疇內。
導電特徵佈局圖案集合122在第一方向X上延伸。導電特徵佈局圖案集合122至少包括導電特徵佈局圖案122a、122b、122c、122d、122e或122f。導電特徵佈局圖案集合122位於第一佈局位準上。
導電特徵佈局圖案集合122可用於製造積體電路100C的對應導電結構集合122’(第1C圖)。導電特徵佈局圖案122a、122b、122c、122d、122e、122f可用於製造對應導電結構122a’、122b’、122c’、122d’、122e’、122f’(第1C圖)。
導電特徵佈局圖案集合122重疊導電特徵佈局圖案集合132(下文描述)。在一些實施例中,導電特徵佈局圖案集合122與佈局設計100A的其他佈局位準(例如,主動、MD、M0、M1或類似者)的其他下層佈局圖案(未圖示)重疊。在一些實施例中,導電特徵佈局圖案集合122的每個佈局圖案122a、122b、122c、122d、122e、122f在第二方向Y上具有寬度W1。
在一些實施例中,導電特徵佈局圖案集合122的每個佈局圖案122a、122b、122c、122d、122e、122f與柵格線集合104的對應柵格線104a、104b、104c、104d、104e、104f重疊。在一些實施例中,導電特徵佈局圖案集 合122的每個佈局圖案122a、122b、122c、122d、122e、122f的中心在第一方向X上與柵格線集合104的對應柵格線104a、104b、104c、104d、104e、104f對準。
在一些實施例中,導電特徵佈局圖案集合122的佈局圖案122b、122c、122d及122e對應於標準單元佈局106b中的4個M2路由軌跡。在一些實施例中,導電特徵佈局圖案122f及120a位於對應標準單元佈局圖案106b及106a的對應單元邊界101c及101b之上,並且被稱為在對應標準單元佈局圖案106b及106a內的「共用寬度」。
在一些實施例中,導電特徵佈局圖案120f及122a在第二方向Y上從抵靠標準單元佈局圖案106a及106b的單元邊界101a偏移,並且導電特徵佈局圖案120f及122a被稱為在相應標準單元佈局圖案106a及106b內的「共用空間」。
在一些實施例中,導電特徵佈局圖案集合120及122的每一者係在對應標準單元佈局圖案106a及106b內的規則佈局圖案。在一些實施例中,規則佈局圖案係指第一方向X對稱的佈局圖案。
在導電特徵佈局圖案集合122中的圖案的其他構造或量係在本揭示的範疇內。
導電特徵佈局圖案集合124在第一方向X上延伸。導電特徵佈局圖案集合124至少包括導電特徵佈局圖案124a、124b、124c、124d、124e或124f。導電特徵佈局圖案集合124位於第一佈局位準上。
導電特徵佈局圖案集合124可用於製造積體電路100C的對應導電結構集合124’(第1C圖)。導電特徵佈局圖案124a、124b、124c、124d、124e、124f可用於製造對應導電結構124a’、124b’、124c’、124d’、124e’、124f’(第1C圖)。
導電特徵佈局圖案集合124重疊導電特徵佈局圖案集合134(下文描述)。在一些實施例中,導電特徵佈局圖案集合124與佈局設計100A的其他佈局位準(例如,主動、MD、M0、M1或類似者)的其他下層佈局圖案(未圖示)重疊。在一些實施例中,導電特徵佈局圖案集合124的每個佈局圖案124a、124b、124c、124d、124e、124f在第二方向Y上具有寬度W1。
在一些實施例中,導電特徵佈局圖案集合124的每個佈局圖案124a、124b、124c、124d、124e、124f與柵格線集合102的對應柵格線102a、102b、102c、102d、102e、102f重疊。在一些實施例中,導電特徵佈局圖案集合124的每個佈局圖案124a、124b、124c、124d、124e、124f的中心在第一方向X上與柵格線集合102的對應柵格線102a、102b、102c、102d、102e、102f對準。
在一些實施例中,導電特徵佈局圖案集合124的佈局圖案124b、124c、124d、124e及124f對應於標準單元佈局108a中的5個M2路由軌跡。導電特徵佈局圖案124a位於標準單元佈局圖案108a的單元邊界101b之上。
在導電特徵佈局圖案集合124中的圖案的其他構造或量係在本揭示的範疇內。
導電特徵佈局圖案集合126在第一方向X上延伸。導電特徵佈局圖案集合126至少包括導電特徵佈局圖案126a、126b、126c、126d、126e或126f。導電特徵佈局圖案集合126位於第一佈局位準上。
導電特徵佈局圖案集合126可用於製造積體電路100C的對應導電結構集合126’(第1C圖)。導電特徵佈局圖案126a、126b、126c、126d、126e、126f可用於製造對應導電結構126a’、126b’、126c’、126d’、126e’、126f’(第1C圖)。
導電特徵佈局圖案集合126重疊導電特徵佈局圖案集合136(下文描述)。在一些實施例中,導電特徵佈局圖案集合126與佈局設計100A的其他佈局位準(例如,主動、MD、M0、M1或類似者)的其他下層佈局圖案(未圖示)重疊。在一些實施例中,導電特徵佈局圖案集合126的每個佈局圖案126a、126b、126c、126d、126e、126f在第二方向Y上具有寬度W1。
在一些實施例中,導電特徵佈局圖案集合126的每個佈局圖案126a、126b、126c、126d、126e、126f重疊柵格線集合104的對應柵格線104a、104b、104c、104d、104e、104f。在一些實施例中,導電特徵佈局圖案集合126的每個佈局圖案126a、126b、126c、126d、126e、126f的 中心在第一方向X上與柵格線集合104的對應柵格線104a、104b、104c、104d、104e、104f對準。
在一些實施例中,導電特徵佈局圖案集合126的佈局圖案126b、126c、126d及126e對應於標準單元佈局108b中的4個M2路由軌跡。
在一些實施例中,導電特徵佈局圖案126f及124a位於對應標準單元佈局圖案108b及108a的對應單元邊界101c及101b之上,並且被稱為在對應標準單元佈局圖案108b及108a內的「共用寬度」。
在一些實施例中,導電特徵佈局圖案126f及124a在第二方向Y上從抵靠標準單元佈局圖案108a及108b的單元邊界101a偏移,並且導電特徵佈局圖案126f及124a被稱為在相應標準單元佈局圖案108a及108b內的「共用空間」。在一些實施例中,導電特徵佈局圖案集合124及126的每一者係在對應標準單元佈局圖案108a及108b內的規則佈局圖案。
在導電特徵佈局圖案集合126中的圖案的其他構造或量係在本揭示的範疇內。
導電特徵佈局圖案集合130在第二方向Y上延伸。導電特徵佈局圖案集合130至少包括導電特徵佈局圖案130a或130b。導電特徵佈局圖案集合130位於第二佈局位準上。在一些實施例中,第二佈局位準係金屬一(M1)佈局位準。在一些實施例中,第二佈局位準係在第一佈局位準之下。
導電特徵佈局圖案集合130可用於製造積體電路100C的對應導電結構集合130’(第1C圖)。導電特徵佈局圖案130a、130b可用於製造對應導電結構130a’、130b’(第1C圖)。
導電特徵佈局圖案集合130被導電特徵佈局圖案集合120重疊。在一些實施例中,佈局圖案130a及130b被至少導電特徵佈局圖案120b、120c、120d、120e或120f重疊。
在一些實施例中,佈局圖案130a或130b至少重疊柵格線102b、102c、102d、102e或102f。在一些實施例中,導電特徵佈局圖案集合130與佈局設計100A的其他佈局位準(例如,主動、MD、M0或類似者)的其他下層佈局圖案(未圖示)重疊。在一些實施例中,導電特徵佈局圖案集合130的每個導電特徵佈局圖案130a、130b在第一方向X上與相鄰佈局圖案分離。
在導電特徵佈局圖案集合130中的圖案的其他構造或量係在本揭示的範疇內。
導電特徵佈局圖案集合132在第二方向Y上延伸。導電特徵佈局圖案集合132至少包括導電特徵佈局圖案132a或132b。導電特徵佈局圖案集合132位於第二佈局位準上。
導電特徵佈局圖案集合132可用於製造積體電路100C的對應導電結構集合132’(第1C圖)。導電特徵 佈局圖案132a、132b可用於製造對應導電結構132a’、132b’(第1C圖)。
導電特徵佈局圖案集合132被導電特徵佈局圖案集合122重疊。在一些實施例中,佈局圖案132a及132b被至少導電特徵佈局圖案122a、122b、122c、122d或122e重疊。
在一些實施例中,佈局圖案132a或132b至少重疊柵格線104a、104b、104c、104d、104e或104f。在一些實施例中,導電特徵佈局圖案集合132與佈局設計100A的其他佈局位準(例如,主動、MD、M0或類似者)的其他下層佈局圖案(未圖示)重疊。在一些實施例中,導電特徵佈局圖案集合132的每個導電特徵佈局圖案132a、132b在第一方向X上與相鄰佈局圖案分離。
在導電特徵佈局圖案集合132中的圖案的其他構造或量係在本揭示的範疇內。
導電特徵佈局圖案集合134在第二方向Y上延伸。導電特徵佈局圖案集合134至少包括導電特徵佈局圖案134a或134b。導電特徵佈局圖案集合134位於第二佈局位準上。
導電特徵佈局圖案集合134可用於製造積體電路100C的對應導電結構集合134’(第1C圖)。導電特徵佈局圖案134a、134b可用於製造對應導電結構134a’、134b'(第1C圖)。
導電特徵佈局圖案集合134被導電特徵佈局圖案集合124重疊。在一些實施例中,佈局圖案134a及134b被至少導電特徵佈局圖案124b、124c、124d、124e或124f重疊。
在一些實施例中,佈局圖案134a或134b至少重疊柵格線102b、102c、102d、102e或102f。在一些實施例中,導電特徵佈局圖案集合134與佈局設計100A的其他佈局位準(例如,主動、MD、M0或類似者)的其他下層佈局圖案(未圖示)重疊。在一些實施例中,導電特徵佈局圖案集合134的每個導電特徵佈局圖案134a、134b在第一方向X上與相鄰佈局圖案分離。
在導電特徵佈局圖案集合134中的圖案的其他構造或量係在本揭示的範疇內。
導電特徵佈局圖案集合136在第二方向Y上延伸。導電特徵佈局圖案集合136至少包括導電特徵佈局圖案136a或136b。導電特徵佈局圖案集合136位於第二佈局位準上。
導電特徵佈局圖案集合136可用於製造積體電路100C的對應導電結構集合136’(第1C圖)。導電特徵佈局圖案136a、136b可用於製造對應導電結構136a’、136b’(第1C圖)。
導電特徵佈局圖案集合136被導電特徵佈局圖案集合126重疊。在一些實施例中,佈局圖案136a及136b被 至少導電特徵佈局圖案126a、126b、126c、126d或126e重疊。
在一些實施例中,佈局圖案136a或136b至少重疊柵格線104a、104b、104c、104d、104e或104f。在一些實施例中,導電特徵佈局圖案集合136與佈局設計100A的其他佈局位準(例如,主動、MD、M0或類似者)的其他下層佈局圖案(未圖示)重疊。在一些實施例中,導電特徵佈局圖案集合136的每個導電特徵佈局圖案136a、136b在第一方向X上與相鄰佈局圖案分離。
在導電特徵佈局圖案集合136中的圖案的其他構造或量係在本揭示的範疇內。
佈局設計100A進一步包括切割特徵佈局圖案集合110及切割特徵佈局圖案集合112。
切割特徵佈局圖案集合110在第一方向X上延伸。切割特徵佈局圖案集合110至少包括切割特徵佈局圖案110a、110b或110c。在一些實施例中,切割特徵佈局圖案集合110的每個切割特徵佈局圖案110a、110b、110c在第二方向Y上與相鄰切割特徵佈局圖案分離。切割特徵佈局圖案集合110位於第二佈局位準上。
在一些實施例中,切割特徵佈局圖案集合110與至少一部分的導電特徵佈局圖案集合130或132的佈局圖案重疊。在一些實施例中,切割特徵佈局圖案集合110與佈局設計100A的其他佈局位準(例如,主動、MD、M0或類似者)的其他下層佈局圖案(未圖示)重疊。
在一些實施例中,切割特徵佈局圖案110a、110b、110c識別在方法700(第7圖)的操作706中移除的導電結構130a’或132a’的對應部分110a’、110b’、110c’的對應位置。在一些實施例中,切割特徵佈局圖案集合110的切割特徵佈局圖案110a、110b、110c的至少一個在第二方向Y上具有寬度W2。在一些實施例中,寬度W2對應於至少導電結構130a’或132a’的至少部分110a’、110b’或110c’的切割寬度(未標記)。在一些實施例中,寬度W2等於寬度W1。在一些實施例中,寬度W2與寬度W1不同。
切割特徵佈局圖案110a在第二方向Y上以間距PA1與切割特徵佈局圖案110b分離。切割特徵佈局圖案110b在第二方向Y上以間距PA2與切割特徵佈局圖案110c分離。在一些實施例中,間距PA1等於間距PA2。在一些實施例中,間距PA1與間距PA2不同。
在一些實施例中,導電特徵佈局圖案130a在切割特徵佈局圖案110a與110b之間定位。在一些實施例中,導電特徵佈局圖案132a在切割特徵佈局圖案110b與110c之間定位。
在一些實施例中,在第一方向X上延伸的切割特徵佈局圖案集合110的對應切割特徵佈局圖案110a、110b、110c的側面與對應柵格線102a、104a、104f對準。
在一些實施例中,在第一方向X上延伸的切割特徵佈局圖案集合110的切割特徵佈局圖案110b的另一側面與標準單元佈局圖案106a及106b的單元邊界101a對準。
在一些實施例中,對應切割特徵佈局圖案110a、110b及110c的中心在第二方向Y上以距離D1從對應柵格線102a、104a及104f偏移。在一些實施例中,距離D1等於寬度W2的二分之一。
在一些實施例中,對應切割特徵佈局圖案110a、110b及110c的中心在第二方向Y上從抵靠標準單元佈局圖案106a及106b的對應單元邊界101b、101a及101c偏移,並且切割特徵佈局圖案110a、110b及110c被稱為在標準單元佈局圖案106a及106b內的「共用空間」。在一些實施例中,切割特徵佈局圖案集合110在兩個標準單元佈局圖案(例如,標準單元佈局圖案108a及108b)中係規則的。
在切割特徵佈局圖案集合110中的圖案的其他構造或量係在本揭示的範疇內。
切割特徵佈局圖案集合112在第一方向X上延伸。切割特徵佈局圖案集合112至少包括切割特徵佈局圖案112a、112b或112c。在一些實施例中,切割特徵佈局圖案集合112的每個切割特徵佈局圖案112a、112b、112c在第二方向Y上與相鄰切割特徵佈局圖案分離。切割特徵佈局圖案集合112位於第二佈局位準上。
在一些實施例中,切割特徵佈局圖案集合110及112具有對應顏色A或B。顏色A或B表示具有相同顏色的切割特徵佈局圖案集合110將在多個遮罩集合的相同遮罩上形成,並且具有不同顏色B的切割特徵佈局圖案集合112將在多個遮罩集合的不同遮罩上形成。在第1A圖、第2A 圖、第3A圖、第4A圖、第5A圖、及第6A圖中將兩個顏色A及B描繪為實例。在一些實施例中,在佈局設計100A、200A、300A、400A、500A及600A中存在多於或少於兩種顏色。
在一些實施例中,切割特徵佈局圖案集合112與導電特徵佈局圖案集合134或136的佈局圖案的至少一部分重疊。在一些實施例中,切割特徵佈局圖案集合112與佈局設計100A的其他佈局位準(例如,主動、MD、M0或類似者)的其他下層佈局圖案(未圖示)重疊。
在一些實施例中,切割特徵佈局圖案112a、112b、112c識別在方法700(第7圖)的操作706中移除的導電結構134a’或136a’的對應部分112a’、112b’、112c’的對應位置。在一些實施例中,切割特徵佈局圖案集合112的至少一個切割特徵佈局圖案112a、112b、112c在第二方向Y上具有寬度W2。在一些實施例中,寬度W2對應於至少導電結構134a’或136a’的至少部分112a’、112b’或112c’的切割寬度(未標記)。
切割特徵佈局圖案112a在第二方向Y上以間距PA1與切割特徵佈局圖案112b分離。切割特徵佈局圖案112b在第二方向Y上以間距PA2與切割特徵佈局圖案112c分離。
在一些實施例中,導電特徵佈局圖案134a在切割特徵佈局圖案112a與112b之間定位。在一些實施例中, 導電特徵佈局圖案136a在切割特徵佈局圖案112b與112c之間定位。
在一些實施例中,在第一方向X上延伸的切割特徵佈局圖案集合112的對應切割特徵佈局圖案112a、112b、112c的側面與對應柵格線102a、104a、104f對準。
在一些實施例中,在第一方向X上延伸的切割特徵佈局圖案集合112的切割特徵佈局圖案112b的另一側面與標準單元佈局圖案108a及108b的單元邊界101a對準。
在一些實施例中,對應切割特徵佈局圖案112a、112b及112c的中心在第二方向Y上以距離D1從對應柵格線102a、104a及104f偏移。
在一些實施例中,對應切割特徵佈局圖案112a、112b及112c的中心在第二方向Y上以距離D1從抵靠標準單元佈局圖案108a及108b的對應單元邊界101b、101a及101c偏移,並且切割特徵佈局圖案112a、112b及112c被稱為在標準單元佈局圖案108a及108b內的「共用空間」。在一些實施例中,切割特徵佈局圖案集合112在兩個標準單元佈局圖案(例如,標準單元佈局圖案108a及108b)中係規則的。
在切割特徵佈局圖案集合112中的圖案的其他構造或量係在本揭示的範疇內。
在一些實施例中,藉由將在第一方向X上延伸的切割特徵佈局圖案集合110的對應切割特徵佈局圖案110a、110b、110c的側面定位為與對應柵格線102a、 104a、104f對準,額外導電特徵佈局圖案120f可用作在標準單元佈局圖案106a中的額外路由軌跡佈局圖案,從而導致與其他方法相比更有效地利用額外路由資源。
在一些實施例中,藉由將在第一方向X上延伸的切割特徵佈局圖案集合112的對應切割特徵佈局圖案112a、112b、112c的側面定位為與對應柵格線102a、104a、104f對準,額外導電特徵佈局圖案124f可用作在標準單元佈局圖案108a中的額外路由軌跡佈局圖案,從而導致與其他方法相比更有效地利用額外路由資源。
第1C圖係根據一些實施例所繪示的積體電路100C的俯視圖。
將相同元件符號給予與第1A圖至第1B圖、第2A圖、第3A圖、第4A圖、第5A圖、及第6A圖(下文圖示)的一或多個中的彼等相同或類似的元件,並且因此省略其詳細描述。
積體電路100C藉由佈局設計100A製造。結構關係包括對準、長度及寬度,以及第1C圖的積體電路100C的構造類似於第1A圖的佈局設計100A或第1B圖的部分100B的對應結構關係及對應構造,並且為了簡便起見,類似詳細描述將不在第1C圖、第2B圖、第3B圖、第4B圖、第5B圖、及第6B圖中描述。
積體電路100C包括標準單元106a’、106b’、108a’及108b’。在一些實施例中,一或多個標準單元106a’、106b’、108a’或108b’係邏輯閘極單元。在一些實 施例中,一或多個標準單元106a’、106b’、108a’或108b’係記憶體單元。在一些實施例中,一或多個標準單元106a’、106b’、108a’或108b’包括一或多個主動或被動元件。
在一些實施例中,標準單元106a’至少包括導電結構集合120’或130’。在一些實施例中,標準單元106b’至少包括導電結構集合122’或132’。在一些實施例中,標準單元108a’至少包括導電結構集合124’或134’。在一些實施例中,標準單元108b’至少包括導電結構集合126’或136’。
標準單元106a’、108a’在第一方向X上沿著單元邊界101a’抵靠對應標準單元106b’、108b’。標準單元106a’、106b在第二方向Y上沿著單元邊界101d’抵靠對應標準單元108a’、108b’。在一些實施例中,標準單元106a’、106b’、108a’及108b’的每一個在第二方向Y上具有相同的對應高度(未標記)。單元邊界101a’、101b’、101c’及101d’類似於對應單元邊界101a、101b、101c及101d,並且由此省略類似的詳細描述。
積體電路100C進一步包括柵格線集合102’及柵格線集合104’。柵格線集合102’及104’類似於對應柵格線集合102及104,並且由此省略類似的詳細描述。柵格線集合102’的構件類似於柵格線集合102的對應構件,並且由此省略類似的詳細描述。柵格線集合104’的構件類似於柵格線集合104的對應構件,並且由此省略類似的詳細描述。
柵格線集合102’至少包括柵格線102a’、102b’、102c’、102d’、102e’或102f’。在一些實施例中,柵格線集合102’的每個柵格線102a’、102b’、102c’、102d’、102e’、102f’定義區域,其中導電結構集合120’中的對應導電結構120a’、120b’、120c’、120d’、120e’、120f’或在導電結構集合124’中的對應導電結構124a’、124b’、124c’、124d’、124e’、124f’在此定位。
柵格線集合104’至少包括柵格線104a’、104b’、104c’、104d’、104e’或104f’。在一些實施例中,柵格線集合104’的每個柵格線104a’、104b’、104c’、104d’、104e’、104f’定義區域,其中導電結構集合122’中的對應導電結構122a’、122b’、122c’、122d’、122e’、122f’或在導電結構集合126’中的對應導電結構126a’、126b’、126c’、126d’、126e’、126f’定位在此。
在一些實施例中,積體電路100C不包括柵格線集合102’、柵格線集合104’、單元邊界101a’、單元邊界101b’、單元邊界101c’或單元邊界101d’中的一或多個。在一些實施例中,導電結構集合120’、122’、124’、126’、130’、132’、134’或136’中的一或多個不為標準單元106a’、106b’、108a’及108b’的部分,而是在由一或多個標準單元106a’、106b’、108a’及108b’定義的區域中圖示。
導電特徵集合120’至少包括導電結構120a’、120b’、120c’、120d’、120e’或120f’。導電結構集合122’ 至少包括導電結構122a’、122b’、122c’、122d’、122e’或122f’。導電結構集合124’至少包括導電結構124a’、124b’、124c’、124d’、124e’或124f’。導電結構集合126’至少包括導電結構126a’、126b’、126c’、126d’、126e’或126f’。在一些實施例中,至少導電結構集合120’、122’、124’或126’係在積體電路100C的第一層上。在一些實施例中,第一層係M2層。
在一些實施例中,至少導電結構集合120’的導電結構120b’、120c’、120d’、120e’或120f’或導電結構集合124’的導電結構124b’、124c’、124d’、124e’或124f’係功能導電結構。
在一些實施例中,功能導電結構對應於可在積體電路100C、200B、300B、400B、500B或600B中用於路由訊號、電源供應電壓或電源供應器電流的導電結構。
在一些實施例中,導電結構集合120’及124’具有奇數個功能導電結構及對應路由軌跡。在一些實施例中,導電結構集合120’及124’具有5個功能導電結構及對應路由軌跡。
在一些實施例中,導電結構集合122’的至少導電結構122b’、122c’、122d’或122e’係功能導電結構。在一些實施例中,導電結構集合126’的至少導電結構126b’、126c’、126d’或126e’係功能導電結構。
在一些實施例中,導電結構集合122’及126’具有偶數個功能導電結構及對應路由軌跡。在一些實施例中, 導電結構集合122’及126’具有4個功能導電結構及對應路由軌跡。
在一些實施例中,導電結構120a’、122a’、122f’、124a’、126a’或126f’係非功能或虛擬結構。在一些實施例中,非功能導電結構或虛擬結構對應於不可在積體電路100C、200B、300B、400B、500B或600B中用於路由訊號、電源供應電壓或電源供應電流的導電結構,這是由於至少非功能導電結構在第一方向X或第二方向Y上的大小不具有足夠表面積來作為通孔從下層(例如,主動、MD、M0或類似者)或上層(例如,M2或類似者)的著陸點。
導電結構集合130’至少包括導電結構130a’或130b’。導電結構集合132’至少包括導電結構132a’或132b’。導電結構集合134’至少包括導電結構134a’或134b’。導電結構集合136’至少包括導電結構136a’或136b’。在一些實施例中,至少導電結構集合130’、132’、134’或136’係在積體電路100C的第二層上。在一些實施例中,第二層係M1層。
導電結構130a’藉由移除部分110b’從導電結構132a’分離。導電結構134a’藉由移除部分112b’從導電結構136a’分離。
在一些實施例中,導電結構集合120’、122’、124’、126’、130’、132’、134’或136’的至少一個結構包括一或多層金屬材料,諸如鋁(Al)、銅(Cu)、鎢(W)、鈦 (Ti)、鉭(Ta)、氮化鈦(TiN)、一氮化鉭(TaN)、矽化鎳(NiSi)、矽化鈷(CoSi)及其他適宜導電材料或其組合。
導電結構集合120’、122’、124’、126’、130’、132’、134’或136’的層或材料的其他構造、佈置、數量係在本揭示的預期範疇內。
在一些實施例中,藉由將在第一方向X上延伸的切割特徵佈局圖案集合110的切割特徵佈局圖案110b的側面定位為與對應柵格線104a對準,切割特徵佈局圖案110b與導電特徵佈局圖案120f充分分離以不違反通孔著陸點設計規則,並且導電特徵佈局圖案120f可用於製造對應導電結構120f’。在一些實施例中,藉由在導電結構集合120’中具有導電結構120f’導致在導電結構集合120’及122’中的不同數量的功能導電結構。在一些實施例中,藉由在導電結構集合120’及122’中具有不同數量的功能導電結構,積體電路100C具有額外功能導電結構120f’及在標準單元106a’及106b’中的對應路由軌跡,從而導致與其他方法相比更有效地利用額外路由資源。
在一些實施例中,藉由將在第一方向X上延伸的切割特徵佈局圖案集合112的切割特徵佈局圖案112b的側面定位為與對應柵格線104a對準,切割特徵佈局圖案112b與導電特徵佈局圖案124f充分分離以不違反通孔著陸點設計規則,並且導電特徵佈局圖案124f可用於製造對應導電結構124f’。在一些實施例中,藉由在導電結構集合124’中具有導電結構124f’導致在導電結構集合124’及 126’中不同數量的功能導電結構。在一些實施例中,藉由在導電結構集合124’及126’中具有不同數量的功能導電結構,積體電路100C具有額外功能導電結構124f’及在標準單元108a’及108b’中的對應路由軌跡,從而導致與其他方法相比,更有效地利用額外路由資源。
第2A圖係根據一些實施例所繪示的積體電路的佈局設計200A的示意圖。
佈局設計200A係佈局設計100A(第1A圖)的變化,並且由此省略類似的詳細描述。例如,佈局設計200A示出了一實例,其中切割特徵佈局圖案集合212替代第1A圖的切割特徵佈局圖案集合112,從而致使導電特徵佈局圖案集合224及226為導電特徵佈局圖案集合124及126關於單元邊界101a的鏡像。
佈局設計200A可用於製造與第2B圖的積體電路200B類似的積體電路。
佈局設計200A包括標準單元佈局圖案106a、106b、208a及208b。與第1A圖的佈局設計100A相比,標準單元佈局圖案208a及208b替代對應標準單元佈局圖案108a及108b,並且由此省略類似的詳細描述。
佈局設計200A進一步包括柵格線集合102及104、導電特徵佈局圖案集合120及122、導電特徵佈局圖案的集合130及132、切割特徵佈局圖案集合110、導電特徵佈局圖案集合224及226,導電特徵佈局圖案集合234及236、及切割特徵佈局圖案集合212。
與第1A圖的佈局設計100A相比,導電特徵佈局圖案集合224及226替代對應導電特徵佈局圖案集合124及126,導電特徵佈局圖案集合234及236替代對應導電特徵佈局圖案集合134及136,並且切割特徵佈局圖案集合212替代對應切割特徵佈局圖案集合112,並且由此省略類似的詳細描述。
切割特徵佈局圖案集合212至少包括切割特徵佈局圖案212a、212b或212c。切割特徵佈局圖案212a、212b、212c替代第1A圖的對應切割特徵佈局圖案112a、112b、112c,並且由此省略類似的詳細描述。與第1A圖的切割特徵佈局圖案112a、112b、112c相比,切割特徵佈局圖案212a、212b、212c在第二方向Y上偏移了柵格線間距的一半(例如,P1/2)。
在一些實施例中,當與第1A圖的對應導電特徵佈局圖案124f及126a相比時,藉由使切割特徵佈局圖案集合的位置偏移達柵格線間距的一半(例如,P1/2)致使導電特徵佈局圖案224f及226a以在第一方向X上具有不同長度。
在一些實施例中,切割特徵佈局圖案212a、212b、212c識別在方法700(第7圖)的操作706中移除的導電結構234a’或236a’的對應部分212a’、212b’、212c’的對應位置。在一些實施例中,切割特徵佈局圖案集合212的切割特徵佈局圖案212a、212b、212c的至少一個在第二方向Y上具有寬度W2。在一些實施例中,寬度W2對應於至 少導電結構234a’或236a’的至少部分212a’、212b’或212c’的切割寬度(未標記)。
切割特徵佈局圖案212a在第二方向Y上以間距PA2與切割特徵佈局圖案212b分離。切割特徵佈局圖案212b在第二方向Y上以間距PA1與切割特徵佈局圖案212c分離。
在一些實施例中,導電特徵佈局圖案234a在切割特徵佈局圖案212a與212b之間定位。在一些實施例中,導電特徵佈局圖案236a在切割特徵佈局圖案212b與212c之間定位。
在一些實施例中,在第一方向X上延伸的切割特徵佈局圖案集合212的對應切割特徵佈局圖案212a、212b、212c的側面212a1、212b2、212c1與對應柵格線102a、102f、104f對準。
在一些實施例中,在第一方向X上延伸的切割特徵佈局圖案集合212的切割特徵佈局圖案212b的另一側面212b1與標準單元佈局圖案208a及208b的單元邊界101a對準。
在一些實施例中,對應切割特徵佈局圖案212a、212b及212c的中心在第二方向Y上以距離D1從對應柵格線102a、102f及104f偏移。
在一些實施例中,對應切割特徵佈局圖案212a、212b及212c的中心在第二方向Y上以距離D1從抵靠標準單元佈局圖案208a及208b的對應單元邊界101b、 101a及101c偏移,並且切割特徵佈局圖案212a、212b及212c被稱為在標準單元佈局圖案208a及208b內的「共用空間」。在一些實施例中,切割特徵佈局圖案集合212在兩個標準單元佈局圖案(例如,標準單元佈局圖案208a及208b)中係規則的。
在切割特徵佈局圖案集合212中的圖案的其他構造或量係在本揭示的範疇內。
導電特徵佈局圖案集合224至少包括導電特徵圖案124a、124b、124c、124d、124e或224f。導電特徵佈局圖案集合224可用於製造積體電路200B的對應導電特徵集合224’(第2B圖)。在一些實施例中,導電特徵佈局圖案集合224的導電特徵佈局圖案224f可用於製造積體電路200B的導電特徵集合224’(第2B圖)的對應導電結構224f’。
導電特徵佈局圖案224f替代第1A圖的導電特徵佈局圖案124f,並且由此省略類似的詳細描述。與第1A圖的導電特徵佈局圖案124f相比,由於切割特徵佈局圖案212b的位置,導電特徵佈局圖案224f的長度在第一方向X上較短。
在一些實施例中,導電特徵佈局圖案集合224的佈局圖案124b、124c、124d及124e對應於標準單元佈局208a中的4個M2路由軌跡。
導電特徵佈局圖案集合226至少包括導電特徵圖案226a、126b、126c、126d、126e或126f。導電特徵 佈局圖案集合226可用於製造積體電路200B的對應導電結構集合226’(第2B圖)。在一些實施例中,導電特徵佈局圖案集合226的導電特徵佈局圖案226a可用於製造積體電路200B的導電結構集合226’(第2B圖)的對應導電結構226a’。
導電特徵佈局圖案226a替代第1A圖的導電特徵佈局圖案126a,並且由此省略類似的詳細描述。與第1A圖的導電特徵佈局圖案126a相比,由於切割特徵佈局圖案212b的位置,導電特徵佈局圖案226a的長度在第一方向X上較長。
在一些實施例中,導電特徵佈局圖案集合226的佈局圖案226a、126b、126c、126d及126e對應於標準單元佈局208b中的5個M2路由軌跡。
導電特徵佈局圖案集合234至少包括導電特徵圖案234a或134b。導電特徵佈局圖案集合234可用於製造積體電路200B的對應導電結構集合234’(第2B圖)。在一些實施例中,導電特徵佈局圖案集合234的導電特徵佈局圖案234a可用於製造積體電路200B的導電結構集合234’(第2B圖)的對應導電結構234a’。
導電特徵佈局圖案234a替代第1A圖的導電特徵佈局圖案134a,並且由此省略類似的詳細描述。與第1A圖的導電特徵佈局圖案134a相比,由於切割特徵佈局圖案212a及212b的位置,導電特徵佈局圖案234a在第二方向Y上偏移。
導電特徵佈局圖案集合236至少包括導電特徵圖案236a或136b。導電特徵佈局圖案集合236可用於製造積體電路200B的對應導電結構集合236’(第2B圖)。在一些實施例中,導電特徵佈局圖案集合236的導電特徵佈局圖案236a可用於製造積體電路200B的導電結構集合236’(第2B圖)的對應導電結構236a’。
導電特徵佈局圖案236a替代第1A圖的導電特徵佈局圖案136a,並且由此省略類似的詳細描述。與第1A圖的導電特徵佈局圖案136a相比,由於切割特徵佈局圖案212b及212c的位置,導電特徵佈局圖案236a在第二方向Y上偏移。
在導電特徵佈局圖案集合224、226、234及236中的圖案的其他構造或量係在本揭示的範疇內。
在一些實施例中,藉由將在第一方向X上延伸的切割特徵佈局圖案集合212的對應切割特徵佈局圖案212a、212b、212c的側面定位為與對應柵格線102a、102f、104f對準,額外導電特徵佈局圖案226a可用作在標準單元佈局圖案208b中的額外路由軌跡佈局圖案,從而導致與其他方法相比,在佈局設計200A中更有效地利用額外路由資源。
第2B圖係根據一些實施例所繪示的積體電路200B的俯視圖。
積體電路200B由佈局設計200A製造。結構關係包括對準、長度及寬度,以及對應第2B圖、第3B圖、第 4B圖、第5B圖、第6B圖的積體電路200B、300B、400B、500B、600B的構造類似於對應第2A圖、第3A圖、第4A圖、第5A圖、第6A圖的對應佈局設計200A、300A、400A、500A、600A的結構關係及構造,並且為了簡便將不在第1C圖、第2B圖、第3B圖、第4B圖、第5B圖、及第6B圖中描述類似的詳細描述。
積體電路200B係積體電路100C(第1C圖)的變化,並且由此省略類似的詳細描述。例如,積體電路200B示出一實例,其中導電結構集合224’及226’係第1C圖的導電結構集合124’及126’關於單元邊界101a’的鏡像。
積體電路200B包括標準單元106a’、106b’、208a’及208b’。與第1C圖的積體電路100C相比,標準單元208a’及208b’替代對應標準單元108a’及108b’,並且由此省略類似的詳細描述。
積體電路200B進一步包括柵格線集合102’及104’、導電結構集合120及122、導電結構集合130及132、導電結構集合224’及226’以及導電結構集合234’及236’。
與第1C圖的積體電路100C相比,導電結構集合224’及226’替代對應導電結構集合124’及126’,並且導電結構集合234’及236’替代對應導電結構集合134’及136’,並且由此省略類似的詳細描述。
導電結構集合224’至少包括導電結構124a’、124b’、124c’、124d’、124e’或224f’。導電結構224f’替代第1C圖的導電結構124f’,並且由此省略類似 的詳細描述。與第1C圖的導電結構124f’相比,由於導電結構234a’或236a’的移除部分212b’,導電結構224f’的長度在第一方向X上較短,從而致使導電結構226f’為非功能或虛擬結構。在一些實施例中,導電結構集合224’的至少導電結構124b’、124c’、124d’或124e’係功能導電結構。在一些實施例中,導電結構集合224’具有偶數個功能導電結構及對應路由軌跡。在一些實施例中,導電結構集合224’具有4個功能導電結構及對應路由軌跡。
導電結構集合226’至少包括導電結構226a’、126b’、126c’、126d’、126e’或226f’。導電結構226a’替代第1C圖的導電結構126a’,並且由此省略類似的詳細描述。與第1C圖的導電結構126a’相比,導電結構226a’的長度在第一方向X上較長,這是因為導電結構234a’或236a’的移除部分212b’在第二方向Y上偏移了柵格線間距的一半(例如,P1/2)。在一些實施例中,藉由增加導電結構226a’的長度致使導電結構226a’為功能結構。在一些實施例中,導電結構集合226’的至少導電結構226a’、126b’、126c’、126d’或126e’係功能導電結構。在一些實施例中,導電結構集合226’具有奇數個功能導電結構及對應路由軌跡。在一些實施例中,導電結構集合226’具有5個功能導電結構及對應路由軌跡。
導電結構集合234’至少包括導電結構234a’或134b’。導電結構234a’替代第1C圖的導電結構134a’,並且由此省略類似的詳細描述。與第1C圖的導電結構 134a’相比,由於移除部分212a’、212b’及212c’的新位置,導電結構234a’在第二方向Y上的位置中偏移。
導電結構集合236’至少包括導電結構236a’或136b’。導電結構236a’替代第1C圖的導電結構136a’,並且由此省略類似的詳細描述。與第1C圖的導電結構136a’相比,由於移除部分212a’、212b’及212c’的新位置,導電結構236a’在第二方向Y上的位置中偏移。
在一些實施例中,藉由將在第一方向X上延伸的切割特徵佈局圖案集合212的切割特徵佈局圖案212b的側面212b2定位為與對應柵格線102f對準,切割特徵佈局圖案212b與導電特徵佈局圖案226a充分分離以不違反通孔著陸點設計規則,並且導電特徵佈局圖案226a可用於製造對應導電結構226a’。在一些實施例中,藉由在導電結構集合226’中具有導電結構226a’導致在導電結構集合224’及226’中的不同數量的功能導電結構。在一些實施例中,藉由在導電結構集合224’及226’中具有不同數量的功能導電結構,積體電路200B具有額外功能導電結構224a’及在標準單元108a’及108b’中的對應路由軌跡,從而導致與其他方法相比更有效地利用額外路由資源。
第3A圖係根據一些實施例所繪示的積體電路的佈局設計300A的示意圖。
佈局設計300A係佈局設計200A(第1A圖)的變化,並且由此省略類似的詳細描述。例如,佈局設計300A示出一實例,其中切割特徵佈局圖案集合310及312替代第 2A圖的對應切割特徵佈局圖案集合110及212,從而致使在切割特徵佈局圖案集合310及312內的切割特徵佈局圖案由不同間距(例如,間距PB1及PC1)分離。
佈局設計300A可用於製造第3B圖的積體電路300B。
佈局設計300A包括標準單元佈局圖案306a、306b、308a及308b。與第1A圖的佈局設計200A相比,標準單元佈局圖案306a、306b、308a及308b替代對應標準單元佈局圖案108a、108b、208a及208b,並且由此省略類似的詳細描述。
佈局設計300A進一步包括柵格線集合102及104、導電特徵佈局圖案集合120、122、224及226、導電特徵佈局圖案集合330、332、334及336以及切割特徵佈局圖案集合310及312。
與第2A圖的佈局設計200A相比,導電特徵佈局圖案集合330、332、334及336替代對應導電特徵佈局圖案集合130、132、234及236,並且切割特徵佈局圖案集合310及312替代對應切割特徵佈局圖案集合110及212,並且由此省略類似的詳細描述。
切割特徵佈局圖案集合310至少包括切割特徵佈局圖案310a、110b或310c。切割特徵佈局圖案310a、310c替代第1A圖或第2A圖的對應切割特徵佈局圖案110a、110c,並且由此省略類似的詳細描述。與第2A圖的切割特徵佈局圖案110a、110c相比,對應切割特徵佈局圖 案310a、310c在第二方向Y上偏移了距離D1。在一些實施例中,藉由使切割特徵佈局圖案集合310的切割特徵佈局圖案310a、310c的位置偏移達距離D1改變了間距PB1及PC1。
在一些實施例中,切割特徵佈局圖案310a、110b、310c識別在方法700(第7圖)的操作706中移除的導電結構330a’或332a’的對應部分310a’、110b’、310c’的對應位置。在一些實施例中,切割特徵佈局圖案集合310的切割特徵佈局圖案310a、110b、310c的至少一個在第二方向Y上具有寬度W2。在一些實施例中,寬度W2對應於至少導電結構330a’或332a’的至少部分310a’、110b’或310c’的切割寬度(未標記)。
切割特徵佈局圖案310a在第二方向Y上以間距PB1與切割特徵佈局圖案310b分離。切割特徵佈局圖案110b在第二方向Y上以間距PC1與切割特徵佈局圖案310c分離。在一些實施例中,間距PB1與間距PC1不同。
在一些實施例中,導電特徵佈局圖案330a在切割特徵佈局圖案310a與110b之間定位。在一些實施例中,導電特徵佈局圖案332a在切割特徵佈局圖案110b與310c之間定位。
在一些實施例中,在第一方向X上延伸的切割特徵佈局圖案集合310的切割特徵佈局圖案310a的側面310a1、310a2與導電特徵佈局圖案集合120的導電特徵佈局圖案120a的對應側面120a1、120a2對準。
在一些實施例中,在第一方向X上延伸的切割特徵佈局圖案集合310的切割特徵佈局圖案310c的側面310c1、310c2與導電特徵佈局圖案集合122的導電特徵佈局圖案122f的對應側面122f1、122f2對準。
在一些實施例中,對應切割特徵佈局圖案310a及310c的中心在第一方向X上與對應柵格線102a及104f或對應單元邊界101b及101c對準。在一些實施例中,切割特徵佈局圖案集合310在兩個標準單元佈局圖案(例如,標準單元佈局圖案306a及306b)中係規則的。
在切割特徵佈局圖案集合310中的圖案的其他構造或量係在本揭示的範疇內。
切割特徵佈局圖案集合312至少包括切割特徵佈局圖案312a、212b或312c。切割特徵佈局圖案312a、312c替代第2A圖的對應切割特徵佈局圖案212a、212c,並且由此省略類似的詳細描述。與第2A圖的切割特徵佈局圖案212a、212c相比,對應切割特徵佈局圖案312a、312c在第二方向Y上偏移達距離D1。在一些實施例中,藉由使切割特徵佈局圖案集合312的切割特徵佈局圖案312a、312c的位置偏移達距離D1改變間距PB1及PC1。
在一些實施例中,切割特徵佈局圖案312a、212b、312c識別在方法700(第7圖)的操作706中移除的導電結構334a’或336a’的對應部分312a’、212b’、312c’的對應位置。在一些實施例中,切割特徵佈局圖案集合312的切割特徵佈局圖案312a、212b、312c的至少一個在第二 方向Y上具有寬度W2。在一些實施例中,寬度W2對應於至少導電結構334a’或336a’的至少部分312a’、212b’或312c’的切割寬度(未標記)。
切割特徵佈局圖案312a在第二方向Y上以間距PC1與切割特徵佈局圖案312b分離。切割特徵佈局圖案212b在第二方向Y上以間距PB1與切割特徵佈局圖案312c分離。
在一些實施例中,導電特徵佈局圖案334a在切割特徵佈局圖案312a與212b之間定位。在一些實施例中,導電特徵佈局圖案336a在切割特徵佈局圖案212b與312c之間定位。
在一些實施例中,在第一方向X上延伸的切割特徵佈局圖案集合312的切割特徵佈局圖案312a的側面312a1、312a2與導電特徵佈局圖案集合224的導電特徵佈局圖案124a的對應側面124a1、124a2對準。
在一些實施例中,在第一方向X上延伸的切割特徵佈局圖案集合312的切割特徵佈局圖案312c的側面312c1、312c2與導電特徵佈局圖案集合226的導電特徵佈局圖案126f的對應側面126f1、126f2對準。
在一些實施例中,對應切割特徵佈局圖案312a及312c的中心在第一方向X上與對應柵格線102a及104f或對應單元邊界101b及101c對準。在一些實施例中,切割特徵佈局圖案集合312在兩個標準單元佈局圖案(例如,標準單元佈局圖案308a及308b)中係規則的。
在切割特徵佈局圖案集合312中的圖案的其他構造或量係在本揭示的範疇內。
導電特徵佈局圖案集合330至少包括導電特徵圖案330a或130b。導電特徵佈局圖案集合330可用於製造積體電路300B的對應導電結構集合330’(第3B圖)。在一些實施例中,導電特徵佈局圖案集合330的導電特徵佈局圖案330a可用於製造積體電路300B的導電結構集合330’(第3B圖)的對應導電結構330a’。
導電特徵佈局圖案330a替代第1A圖的導電特徵佈局圖案130a,並且由此省略類似的詳細描述。與第1A圖的導電特徵佈局圖案130a相比,由於切割特徵佈局圖案310a及110b的位置,導電特徵佈局圖案330a在第二方向Y上偏移。
導電特徵佈局圖案集合332至少包括導電特徵圖案332a或132b。導電特徵佈局圖案集合332可用於製造積體電路300B的對應導電結構集合332’(第3B圖)。在一些實施例中,導電特徵佈局圖案集合332的導電特徵佈局圖案332a可用於製造積體電路300B的導電結構集合332’(第3B圖)的對應導電結構332a’。
導電特徵佈局圖案332a替代第1A圖的導電特徵佈局圖案132a,並且由此省略類似的詳細描述。與第1A圖的導電特徵佈局圖案132a相比,由於切割特徵佈局圖案110b及310c的位置,導電特徵佈局圖案332a在第二方向Y上偏移。
導電特徵佈局圖案集合334至少包括導電特徵圖案334a或134b。導電特徵佈局圖案集合334可用於製造積體電路300B的對應導電結構集合334’(第3B圖)。在一些實施例中,導電特徵佈局圖案集合334的導電特徵佈局圖案334a可用於製造積體電路300B的導電結構集合334’(第3B圖)的對應導電結構334a’。
導電特徵佈局圖案334a替代第2A圖的導電特徵佈局圖案234a,並且由此省略類似的詳細描述。與第2A圖的導電特徵佈局圖案234a相比,由於切割特徵佈局圖案312a及212b的位置,導電特徵佈局圖案334a在第二方向Y上偏移。
導電特徵佈局圖案集合336至少包括導電特徵圖案336a或136b。導電特徵佈局圖案集合336可用於製造積體電路300B的對應導電結構集合336’(第3B圖)。在一些實施例中,導電特徵佈局圖案集合336的導電特徵佈局圖案336a可用於製造積體電路300B的導電結構集合336’(第3B圖)的對應導電結構336a’。
導電特徵佈局圖案336a替代第2A圖的導電特徵佈局圖案236a,並且由此省略類似的詳細描述。與第2A圖的導電特徵佈局圖案236a相比,由於切割特徵佈局圖案212b及312c的位置,導電特徵佈局圖案336a在第二方向Y上偏移。
在導電特徵佈局圖案集合330、332、334及336中的圖案的其他構造或量係在本揭示的範疇內。
在一些實施例中,藉由將在第一方向X上延伸的切割特徵佈局圖案集合312的切割特徵佈局圖案110b的側面110b1定位為與柵格線102f對準,額外導電特徵佈局圖案120f可用作在標準單元佈局圖案308a中的額外路由軌跡佈局圖案,從而導致與其他方法相比,在佈局設計300A中更有效地利用額外路由資源。
在一些實施例中,藉由將在第一方向X上延伸的切割特徵佈局圖案集合312的切割特徵佈局圖案212b的側面212b2定位為與柵格線102f對準,額外導電特徵佈局圖案226a可用作在標準單元佈局圖案308b中的額外路由軌跡佈局圖案,從而導致與其他方法相比,在佈局設計300A中更有效地利用額外路由資源。
第3B圖係根據一些實施例所繪示的積體電路300B的俯視圖。
積體電路300B係積體電路200B(第2B圖)的變化,並且由此省略類似的詳細描述。例如,積體電路300B示出一實例,其中根據不同間距(例如,間距PB1及PC1),對應導電結構330a’、334a’的長度與對應導電結構332a’、336a’的長度不同。
積體電路300B包括標準單元306a’、306b’、308a’及308b’。與第2B圖的積體電路200B相比,標準單元306a’、306b’、308a’及308b’替代對應標準單元106a’、106b’、208a’及208b’,並且由此省略類似的詳細描述。
積體電路300B進一步包括柵格線集合102’及104’、導電結構集合120’、122’、224’及226、以及導電結構集合330’、332’、334’及336’。
與第2B圖的積體電路200B相比,導電結構集合330’、332’、334’及336’替代對應導電結構集合130’、132’、234’及236’,並且由此省略類似的詳細描述。
導電結構集合330’至少包括導電結構330a’或130b’。導電結構330a’替代第2B圖的導電結構130a’,並且由此省略類似的詳細描述。與第2B圖的導電結構130a’相比,由於移除部分310a’、110b’及310c’的新位置,導電結構330a’在第二方向Y上的位置中偏移。
導電結構集合332’至少包括導電結構332a’或132b’。導電結構332a’替代第2B圖的導電結構132a’,並且由此省略類似的詳細描述。與第2B圖的導電結構132a’相比,由於移除部分310a’、110b’及310c’的新位置,導電結構332a’在第二方向Y上的位置中偏移。
導電結構集合334’至少包括導電結構334a’或134b’。導電結構334a’替代第2B圖的導電結構234a’,並且由此省略類似的詳細描述。與第2B圖的導電結構234a’相比,由於移除部分312a’、212b’及312c’的新位置,導電結構334a’在第二方向Y上的位置中偏移。
導電結構集合336’至少包括導電結構336a’及136b’。導電結構336a’替代第2B圖的導電結構236a’,並且由此省略類似的詳細描述。與第2B圖的導電 結構236a’相比,由於移除部分312a’、212b’及312c’的新位置,導電結構336a’在第二方向Y上的位置中偏移。
在一些實施例中,藉由在導電結構集合120’及122’中具有不同數量的功能導電結構,積體電路300B具有額外功能導電結構120f’及在標準單元306a’及306b’中的對應路由軌跡,從而導致與其他方法相比更有效地利用額外路由資源。
在一些實施例中,藉由在導電結構集合224’及226’中具有不同數量的功能導電結構,積體電路300B具有額外功能導電結構224a’及在標準單元308a’及308b’中的對應路由軌跡,從而導致與其他方法相比更有效地利用額外路由資源。
第4A圖係根據一些實施例所繪示的積體電路的佈局設計400A的示意圖。
佈局設計400A係佈局設計300A(第3A圖)的變化,並且由此省略類似的詳細描述。例如,佈局設計400A示出一實例,其中導電特徵佈局圖案集合420、422、424、426替代第3A圖的對應導電特徵佈局圖案集合120、122、224、226,從而致使導電特徵佈局圖案集合420、422、424、426在第二方向Y上偏移達寬度W1的一半(例如,W1/2)。
佈局設計400A可用於製造第4B圖的積體電路400B。
佈局設計400A包括標準單元佈局圖案406a、406b、408a及408b。與第3A圖的佈局設計300A相比,標準單元佈局圖案406a、406b、408a及408b替代對應標準單元佈局圖案308a、308b、308a及308b,並且由此省略類似的詳細描述。
佈局設計400A進一步包括柵格線集合102及104、導電特徵佈局圖案集合420、422、424及426、導電特徵佈局圖案集合430、432、434及436、以及切割特徵佈局圖案集合410及412。
與第3A圖的佈局設計300A相比,導電特徵佈局圖案集合420、422、424及426替代對應導電特徵佈局圖案集合120、122、224及226,導電特徵佈局圖案集合430、432、434及436替代對應導電特徵佈局圖案集合330、332、334及336,並且切割特徵佈局圖案集合410及412替代對應切割特徵佈局圖案集合310及312,並且由此省略類似的詳細描述。
導電特徵佈局圖案集合420至少包括導電特徵圖案420a、420b、420c、420d、420e或420f。導電特徵佈局圖案集合420可用於製造積體電路400B的對應導電結構集合420’(第4B圖)。在一些實施例中,導電特徵佈局圖案集合420的導電特徵佈局圖案420a、420b、420c、420d、420e、420f可用於製造積體電路400B的導電結構集合420’(第4B圖)的對應導電結構420a’、420b’、420c’、420d’、420e’、420f’。
導電特徵佈局圖案420a、420b、420c、420d、420e、420f替代第3A圖的對應導電特徵佈局圖案120a、120b、120c、120d、120e、120f,並且由此省略類似的詳細描述。與第3A圖的導電特徵佈局圖案120a、120b、120c、120d、120e、120f相比,對應導電特徵佈局圖案420a、420b、420c、420d、420e、420f在第二方向Y上偏移達寬度W1的一半(例如,W1/2)。在一些實施例中,藉由使導電特徵佈局圖案420a、420b、420c、420d、420e、420f在第二方向Y上偏移達寬度W1的一半(例如,W1/2),在第一方向X上延伸的對應導電特徵佈局圖案420a、420b、420c、420d、420e、420f的側面420a1、420b1、420c1、420d1、420e1、420f1在第一方向X上與對應柵格線102a、102b、102c、102d、120e、102f對準。
與第3A圖的導電特徵佈局圖案120f相比,由於切割特徵佈局圖案410b的位置,對應導電特徵佈局圖案420f的長度在第一方向X上較短。
在一些實施例中,導電特徵佈局圖案集合420的佈局圖案420b、420c、420d及420e對應於標準單元佈局406a中的4個M2路由軌跡。
在導電特徵佈局圖案集合420中的圖案的其他構造或量係在本揭示的範疇內。
導電特徵佈局圖案集合422至少包括導電特徵圖案422a、422b、422c、422d、422e或422f。導電特徵佈局圖案集合422可用於製造積體電路400B的對應導電結 構集合422’(第4B圖)。在一些實施例中,導電特徵佈局圖案集合422的導電特徵佈局圖案422a、422b、422c、422d、422e、422f可用於製造積體電路400B的導電結構集合422’(第4B圖)的對應導電結構422a’、422b’、422c’、422d’、422e’、422f’。
導電特徵佈局圖案422a、422b、422c、422d、422e、422f替代第3A圖的對應導電特徵佈局圖案122a、122b、122c、122d、122e、122f,並且由此省略類似的詳細描述。與第3A圖的導電特徵佈局圖案122a、122b、122c、122d、122e、122f相比,對應導電特徵佈局圖案422a、422b、422c、422d、422e、422f在第二方向Y上偏移達寬度W1的一半(例如,W1/2)。在一些實施例中,藉由使導電特徵佈局圖案422a、422b、422c、422d、422e、422f在第二方向Y上偏移達寬度W1的一半(例如,W1/2),在第一方向X上延伸的對應導電特徵佈局圖案422a、422b、422c、422d、422e、422f的側面422a1、422b1、422c1、422d1、422e1、422f1在第一方向X上與對應柵格線104a、104b、104c、104d、104e、104f對準。
與第3A圖的導電特徵佈局圖案122a相比,對應導電特徵佈局圖案422a的長度在第一方向X上較長,這是因為導電特徵佈局圖案422a及切割特徵佈局圖案410b的每一者的位置在第二方向Y上遠離彼此偏移。
在一些實施例中,導電特徵佈局圖案集合422的佈局圖案422a、422b、422c、422d及422e對應於標準 單元佈局406b中的5個M2路由軌跡。在一些實施例中,導電特徵佈局圖案集合420及422一起在標準單元佈局圖案406a及406b內係規則的佈局圖案。
在導電特徵佈局圖案集合422中的圖案的其他構造或量係在本揭示的範疇內。
導電特徵佈局圖案集合424至少包括導電特徵圖案424a、424b、424c、424d、424e或424f。導電特徵佈局圖案集合424可用於製造積體電路400B的對應導電結構集合424’(第4B圖)。在一些實施例中,導電特徵佈局圖案集合424的導電特徵佈局圖案424a、424b、424c、424d、424e、424f可用於製造積體電路400B的導電結構集合424’(第4B圖)的對應導電結構424a’、424b’、424c’、424d’、424e’、424f’。
導電特徵佈局圖案424a、424b、424c、424d、424e、424f替代第3A圖的對應導電特徵佈局圖案124a、124b、124c、124d、124e、224f,並且由此省略類似的詳細描述。與第3A圖的導電特徵佈局圖案124a、124b、124c、124d、124e、224f相比,對應導電特徵佈局圖案424a、424b、424c、424d、424e、424f在第二方向Y上偏移達寬度W1的一半(例如,W1/2)。在一些實施例中,藉由使導電特徵佈局圖案424a、424b、424c、424d、424e、424f在第二方向Y上偏移達寬度W1的一半(例如,W1/2),在第一方向X上延伸的對應導電特徵佈局圖案424a、424b、424c、424d、424e、424f的側面424a1、424b1、 424c1、424d1、424e1、424f1在第一方向X上與對應柵格線102a、102b、102c、102d、124e、102f對準。
在一些實施例中,導電特徵佈局圖案集合424的佈局圖案424b、424c、424d及424e對應於標準單元佈局408a中的4個M2路由軌跡。
在導電特徵佈局圖案集合424中的圖案的其他構造或量係在本揭示的範疇內。
導電特徵佈局圖案集合426至少包括導電特徵圖案426a、426b、426c、426d、426e或426f。導電特徵佈局圖案集合426可用於製造積體電路400B的對應導電結構集合426’(第4B圖)。在一些實施例中,導電特徵佈局圖案集合426的導電特徵佈局圖案426a、426b、426c、426d、426e、426f可用於製造積體電路400B的導電結構集合426’(第4B圖)的對應導電結構426a’、426b’、426c’、426d’、426e’、426f’。
導電特徵佈局圖案426a、426b、426c、426d、426e、426f替代第3A圖的對應導電特徵佈局圖案226a、126b、126c、126d、126e、126f,並且由此省略類似的詳細描述。與第3A圖的導電特徵佈局圖案226a、126b、126c、126d、126e、126f相比,對應導電特徵佈局圖案426a、426b、426c、426d、426e、426f在第二方向Y上偏移達寬度W1的一半(例如,W1/2)。在一些實施例中,藉由使導電特徵佈局圖案426a、426b、426c、426d、426e、426f在第二方向Y上偏移達寬度W1的一半(例如,W1/2), 在第一方向X上延伸的對應導電特徵佈局圖案426a、426b、426c、426d、426e、426f的側面426a1、426b1、426c1、426d1、426e1、426f1在第一方向X上與對應柵格線104a、104b、104c、104d、104e、104f對準。
在一些實施例中,導電特徵佈局圖案集合426的佈局圖案426a、426b、426c、426d及426e對應於標準單元佈局408b中的5個M2路由軌跡。在一些實施例中,導電特徵佈局圖案集合424及426一起在標準單元佈局圖案408a及408b內係規則的佈局圖案。
在導電特徵佈局圖案集合426中的圖案的其他構造或量係在本揭示的範疇內。
切割特徵佈局圖案集合410至少包括切割特徵佈局圖案310a、410b或310c。
切割特徵佈局圖案集合412至少包括切割特徵佈局圖案312a、412b或312c。
切割特徵佈局圖案410b、412b替代第3A圖的對應切割特徵佈局圖案110b、212b,並且由此省略類似的詳細描述。
與第3A圖的切割特徵佈局圖案110b、212b相比,對應切割特徵佈局圖案410b、412b各者在第二方向Y上偏移達切割寬度W2的一半(例如,W2/2)。在一些實施例中,藉由使切割特徵佈局圖案集合410的對應切割特徵佈局圖案410b、412b的位置偏移達切割寬度W2的一半(例 如,W2/2)將切割特徵佈局圖案集合410及412的間距改變為間距PA3及PA4。
在一些實施例中,切割特徵佈局圖案310a、410b、310c識別在方法700(第7圖)的操作706中移除的導電結構430a’或432a’的對應部分410a’、410b’、410c’的對應位置。
在一些實施例中,切割特徵佈局圖案312a、412b、312c識別在方法700(第7圖)的操作706中移除的導電結構434a’或436a’的對應部分412a’、412b’、412c’的對應位置。
在一些實施例中,切割特徵佈局圖案310a、410b、310c、312a、412b或312c的至少一者在第二方向Y上具有寬度W2。在一些實施例中,寬度W2對應於至少導電結構430a’、432a’、434a’或436a’的至少部分310a’、410b’、310c’、312a’、412b’或312c’的切割寬度(未標記)。
切割特徵佈局圖案310a、312a在第二方向Y上以間距PA3與對應切割特徵佈局圖案410b、412b分離。切割特徵佈局圖案410b、412b在第二方向Y上以間距PA4與對應切割特徵佈局圖案310c、312c分離。在一些實施例中,間距PA3、PA4、PA1或PA2的至少一者與間距PA3、PA4、PA1或PA2的至少另一者相同。
在一些實施例中,導電特徵佈局圖案330a、334a在對應切割特徵佈局圖案310a、312a與對應切割特徵 佈局圖案410b、412b之間定位。在一些實施例中,導電特徵佈局圖案332a、336a在對應切割特徵佈局圖案410b、412b與對應切割特徵佈局圖案310c、312c之間定位。
在一些實施例中,對應切割特徵佈局圖案410b及412b的中心在第一方向X上與單元邊界101a對準。在一些實施例中,切割特徵佈局圖案集合410或412在單個標準單元佈局圖案(例如,標準單元佈局圖案406a及406b)中係規則的。在一些實施例中,換言之,切割特徵佈局圖案集合410在對應標準單元佈局圖案內406a及406b係規則的,並且切割特徵佈局圖案集合412在對應標準單元佈局圖案408a及408b內係規則的。
在切割特徵佈局圖案集合410或412中的圖案的其他構造或量係在本揭示的範疇內。
導電特徵佈局圖案集合430至少包括導電特徵圖案430a或130b。導電特徵佈局圖案集合432至少包括導電特徵圖案432a或132b。導電特徵佈局圖案集合434至少包括導電特徵圖案434a或134b。導電特徵佈局圖案集合436至少包括導電特徵圖案436a或136b。
導電特徵佈局圖案集合430、432、434、436可用於製造積體電路400B的對應導電結構集合430’、432’、434’、436’(第3B圖)。在一些實施例中,導電特徵佈局圖案430a、432a、434a、436a可用於製造積體電路400B的對應導電結構集合430’、432’、434’、436’(第3B圖)的對應導電結構430a’、432a’、434a’、436a’。
導電特徵佈局圖案430a、432a、434a、436a替代第3A圖的對應導電特徵佈局圖案330a、332a、334a、336a,並且由此省略類似的詳細描述。
與第3A圖的導電特徵佈局圖案330a相比,由於切割特徵佈局圖案310a及410b的位置,導電特徵佈局圖案430a在第二方向Y上偏移。
與第3A圖的導電特徵佈局圖案332a相比,由於切割特徵佈局圖案410b及310c的位置,導電特徵佈局圖案432a在第二方向Y上偏移。
與第3A圖的導電特徵佈局圖案334a相比,由於切割特徵佈局圖案312a及412b的位置,導電特徵佈局圖案434a在第二方向Y上偏移。
與第3A圖的導電特徵佈局圖案336a相比,由於切割特徵佈局圖案412b及312c的位置,導電特徵佈局圖案436a在第二方向Y上偏移。
在導電特徵佈局圖案集合430、332、334及336中的圖案的其他構造或量係在本揭示的範疇內。
在一些實施例中,藉由將在第一方向X上延伸的至少一對應導電特徵佈局圖案422a、422b、422c、422d、422e、422f的至少一側面422a1、422b1、422c1、422d1、422e1、422f1定位為在第一方向X上與對應柵格線104a、104b、104c、104d、104e、104f對準,額外導電特徵佈局圖案422a可用作標準單元佈局圖案406b中的額 外路由軌跡佈局圖案,從而導致與其他方法相比,在佈局設計400A中更有效地利用額外路由資源。
在一些實施例中,藉由將在第一方向X上延伸的至少一對應導電特徵佈局圖案426a、426b、426c、426d、426e、426f的至少一側面426a1、426b1、426c1、426d1、426e1、426f1定位為在第一方向X上與對應柵格線104a、104b、104c、104d、104e、104f對準,額外導電特徵佈局圖案426a可用作標準單元佈局圖案408b中的額外路由軌跡佈局圖案,從而導致與其他方法相比,在佈局設計400A中更有效地利用額外路由資源。
第4B圖係根據一些實施例的積體電路400B的俯視圖的圖。
積體電路400B係積體電路300B(第3B圖)的變化,並且由此省略類似的詳細描述。例如,積體電路400B示出一實例,其中導電結構集合420’、422’、424’、426’替代第3A圖的對應導電結構集合120’、122’、224’、226’,從而致使導電結構集合420’、422’、424’、426’在第二方向Y上偏移達寬度W1的一半(例如,W1/2)。
積體電路400B包括標準單元406a’、406b’、408a’及408b’。與第3B圖的積體電路300B相比,標準單元406a’、406b’、408a’及408b’替代對應標準單元306a’、306b’、308a’及308b’,並且由此省略類似的詳細描述。
積體電路400B進一步包括柵格線集合102’及104’、導電結構集合420’、422’、424’及426’、以及導電結構集合430’、432’、434’及436’。
與第3B圖的積體電路300B相比,導電結構集合420’、422’、424’及426’替代對應導電結構集合120’、122’、224’及226’,導電結構集合430’、432’、434’及436’替代對應導電結構集合330’、332’、334’及336’,並且由此省略類似的詳細描述。
導電結構集合420’至少包括導電結構420a’、420b’、420c’、420d’、420e’或420f’。導電結構420a’、420b’、420c’、420d’、420e’、420f’替代第3B圖的對應導電結構120a’、120b’、120c’、120d’、120e’、120f’,並且由此省略類似的詳細描述。
與第3B圖的導電結構120a’、120b’、120c’、120d’、120e’、120f’相比,導電結構420a’、420b’、420c’、420d’、420e’、420f’在第二方向Y上偏移達寬度W1的一半(例如,W1/2)。在一些實施例中,藉由使導電結構420a’、420b’、420c’、420d’、420e’、420f’在第二方向Y上偏移達寬度W1的一半(例如,W1/2)致使導電結構420f’的長度在第一方向X上較短,這是由於臨近導電結構430a’或432a’的移除部分410b’致使導電結構420f’為非功能或虛擬結構。
在一些實施例中,導電結構集合420’的至少導電結構420b’、420c’、420d’或420e’係功能導電結構。 在一些實施例中,導電結構集合420’具有偶數個功能導電結構及對應路由軌跡。在一些實施例中,導電結構集合420’具有4個功能導電結構及對應路由軌跡。
導電結構集合422’至少包括導電結構422a’、422b’、422c’、422d’、422e’或422f’。導電結構422a’、422b’、422c’、422d’、422e’、422f’替代第3B圖的對應導電結構122a’、122b’、122c’、122d’、122e’、124f’,並且由此省略類似的詳細描述。
與第3B圖的導電結構122a’、122b’、122c’、122d’、122e’、124f’相比,導電結構422a’、422b’、422c’、422d’、422e’、422f’在第二方向Y上偏移達寬度W1的一半(例如,W1/2)。在一些實施例中,藉由使導電結構422a’、422b’、422c’、422d’、422e’、422f’在第二方向Y上偏移達寬度W1的一半(例如,W1/2),功能或非功能導電結構的數量可以基於導電結構422a’、422b’、422c’、422d’、422e’、422f’到導電結構430a’或432a’的移除部分410a’、410b’、410c’的鄰近度來調節。
與第3B圖的導電結構122a’相比,導電結構422a’的長度在第一方向X上較長,這是因為導電結構430a’或432a’的移除部分410b’在第二方向Y上偏移達寬度W1的一半(例如,W1/2)。在一些實施例中,藉由增加導電結構422a’的長度致使導電結構422a’為功能結構。在一些實施例中,導電結構集合422’的至少導電結構422a’、422b’、422c’、422d’或422e’係功能導電結構。 在一些實施例中,導電結構集合422’具有奇數個功能導電結構及對應路由軌跡。在一些實施例中,導電結構集合422’具有5個功能導電結構及對應路由軌跡。
導電結構集合424’至少包括導電結構424a’、424b’、424c’、424d’、424e’或424f’。導電結構424a’、424b’、424c’、424d’、424e’、424f’替代第3B圖的對應導電結構124a’、124b’、124c’、124d’、124e’、224f’,並且由此省略類似的詳細描述。
與第3B圖的導電結構124a’、124b’、124c’、124d’、124e’、224f’相比,導電結構424a’、424b’、424c’、424d’、424e’、424f’在第二方向Y上偏移達寬度W1的一半(例如,W1/2)。在一些實施例中,藉由使導電結構424a’、424b’、424c’、424d’、424e’、424f’在第二方向Y上偏移達寬度W1的一半(例如,W1/2),功能或非功能導電結構的數量可以基於導電結構424a’、424b’、424c’、424d’、424e’、424f’到導電結構434a’或436a’的移除部分410a’、410b’、410c’的鄰近度來調節。導電結構424f’在第一方向X上具有與導電結構424b’、424c’、424d’、424e’相比較短的長度,並且導電結構424f’因此係非功能或虛擬結構。
在一些實施例中,導電結構集合424’的至少導電結構424b’、424c’、424d’或424e’係功能導電結構。在一些實施例中,導電結構集合424’具有偶數個功能導電 結構及對應路由軌跡。在一些實施例中,導電結構集合424’具有4個功能導電結構及對應路由軌跡。
導電結構集合426’至少包括導電結構426a’、426b’、426c’、426d’、426e’或426f’。導電結構426a’、426b’、426c’、426d’、426e’、426f’替代第3B圖的對應導電結構226a’、126b’、126c’、126d’、126e’、126f’,並且由此省略類似的詳細描述。
與第3B圖的導電結構226a’、126b’、126c’、126d’、126e’、126f’相比,導電結構426a’、426b’、426c’、426d’、426e’、426f’在第二方向Y上偏移達寬度W1的一半(例如,W1/2)。在一些實施例中,藉由使導電結構426a’、426b’、426c’、426d’、426e’、426f’在第二方向Y上偏移達寬度W1的一半(例如,W1/2),功能或非功能導電結構的數量可以基於導電結構426a’、426b’、426c’、426d’、426e’、426f’到導電結構434a’或436a’的移除部分410a’、410b’、410c’的鄰近度來調節。
在一些實施例中,導電結構集合426’的至少導電結構426a’、426b’、426c’、426d’或426e’係功能導電結構。在一些實施例中,導電結構集合426’具有奇數個功能導電結構及對應路由軌跡。在一些實施例中,導電結構集合426’具有5個功能導電結構及對應路由軌跡。
導電結構集合430’至少包括導電結構430a’或130b’。導電結構集合432’至少包括導電結構432a’或132b’。導電結構430a’、432a’替代第3B圖的對應導電結 構330a’、332a’,並且由此省略類似的詳細描述。與第3B圖的導電結構330a’、332a’相比,由於移除部分310a’、410b’及310c’的新位置,對應導電結構430a’、432a’在第二方向Y上的對應位置中偏移。
導電結構集合434’至少包括導電結構434a’或134b’。導電結構集合436’至少包括導電結構436a’或136b’。導電結構434a’、436a’替代第3B圖的對應導電結構334a’、336a’,並且由此省略類似的詳細描述。與第3B圖的導電結構334a’、336a’相比,由於移除部分312a’、412b’及312c’的新位置,對應導電結構434a’、436a’在第二方向Y上的對應位置中偏移。
在一些實施例中,藉由使導電特徵佈局圖案集合420、422、424、426的位置在第二方向Y上偏移達寬度W1的一半(例如,W1/2),在第一方向X上延伸的導電特徵佈局圖案集合420、422、424、426中的導電特徵佈局圖案的對應側面與在柵格線集合102及104中的對應柵格線對準,從而致使至少導電特徵佈局圖案422a、426a與對應切割特徵佈局圖案410b、412b充分分離以不違反通孔著陸點設計規則,並且導電特徵佈局圖案422a及426a可用於製造對應導電結構422a’及426a’,此等對應導電結構係在對應導電結構集合422’及426’中的額外功能導電結構。
在一些實施例中,藉由偏移導電結構集合420’、422’、424’、426’的位置以在第二方向Y上偏移達寬度W1的一半(例如,W1/2)導致在導電結構集合420’ 及422’中的不同數量的功能導電結構、或在導電結構集合424’及426’中的不同數量的功能導電結構。在一些實施例中,藉由在導電結構集合420’及422’中具有不同數量的功能導電結構或在導電結構集合424’及426’中具有不同數量的功能導電結構,積體電路400B具有額外功能導電結構422a’及在標準單元406a’及406b’中的對應路由軌跡、以及額外功能導電結構426a’及在標準單元408a’及408b’中的對應路由軌跡,從而導致與其他方法相比,更有效地利用額外路由資源。
第5A圖係根據一些實施例所繪示的積體電路的佈局設計500A的示意圖。
佈局設計500A係佈局設計400A(第4A圖)的變化,並且由此省略類似的詳細描述。例如,佈局設計500A示出一實例,其中切割特徵佈局圖案集合510替代第4A圖的對應切割特徵佈局圖案集合410,從而致使切割特徵佈局圖案集合510在第二方向Y上偏移達寬度W1。
佈局設計500A可用於製造第4B圖的積體電路500B。
佈局設計500A包括標準單元佈局圖案506a、506b、408a及408b。與第4A的佈局設計400A相比,標準單元佈局圖案506a及506b替代對應標準單元佈局圖案406a及406b,並且由此省略類似的詳細描述。
佈局設計500A進一步包括柵格線集合102及104、導電特徵佈局圖案集合520、522、424及426、導電 特徵佈局圖案集合530、532、434及436、以及切割特徵佈局圖案集合510及412。
與第4A圖的佈局設計400A相比,導電特徵佈局圖案集合520及522替代對應導電特徵佈局圖案集合420及422,導電特徵佈局圖案集合530及532替代對應導電特徵佈局圖案集合430及432,並且切割特徵佈局圖案集合510替代對應切割特徵佈局圖案集合410,並且由此省略類似的詳細描述。
切割特徵佈局圖案集合510至少包括切割特徵佈局圖案510a、510b或510c。切割特徵佈局圖案510a、510b、510c替代第4A圖的對應切割特徵佈局圖案310a、410b、310c,並且由此省略類似的詳細描述。與第4A圖的切割特徵佈局圖案310a、410b、310c相比,切割特徵佈局圖案510a、510b、510c在第二方向Y上偏移達寬度W1。在一些實施例中,藉由使切割特徵佈局圖案集合的位置偏移達寬度W1致使導電特徵佈局圖案520a及522e在第一方向X上具有與第4A圖的對應導電特徵佈局圖案420a及422e不同的對應長度。
在一些實施例中,切割特徵佈局圖案510a、510b、510c識別在方法700(第7圖)的操作706中移除的導電結構530a’或530a’的對應部分510a’、510b’、510c’的對應位置。在一些實施例中,切割特徵佈局圖案集合510的切割特徵佈局圖案510a、510b、510c的至少一者在第二方向Y上具有寬度W2。在一些實施例中,寬度W2對應於至 少導電結構530a’或532a’的至少部分510a’、510b’或510c’的切割寬度(未標記)。
切割特徵佈局圖案510a在第二方向Y上以間距PA4與切割特徵佈局圖案510b分離。切割特徵佈局圖案510b在第二方向Y上以間距PA3與切割特徵佈局圖案510c分離。
在一些實施例中,導電特徵佈局圖案530a在切割特徵佈局圖案510a與510b之間定位。在一些實施例中,導電特徵佈局圖案532a在切割特徵佈局圖案510b與510c之間定位。
在一些實施例中,切割特徵佈局圖案510b的中心在第二方向Y上從單元邊界101a偏移達間距的一半(例如,P1/2)。在一些實施例中,切割特徵佈局圖案510b的中心在第一方向X上與柵格線102f對準。
在一些實施例中,對應切割特徵佈局圖案510a、510c的中心在第二方向Y上從對應單元邊界101b、101c偏移達寬度W1。在一些實施例中,切割特徵佈局圖案510c的中心在第二方向Y上從柵格線104e偏移達寬度W1。在一些實施例中,切割特徵佈局圖案集合510在單個標準單元佈局圖案(例如,標準單元佈局圖案506a及506b)中係規則的。在一些實施例中,換言之,切割特徵佈局圖案集合410在對應標準單元佈局圖案406a及406b內係規則的,並且切割特徵佈局圖案集合412在對應標準單元佈局圖案408a及408b內係規則的。
在切割特徵佈局圖案510中的圖案的其他構造或量係在本揭示的範疇內。
導電特徵佈局圖案集合520至少包括導電特徵圖案520a、420b、420c、420d、420e或420f。導電特徵佈局圖案集合520可用於製造積體電路500B的對應導電結構集合520’(第5B圖)。在一些實施例中,導電特徵佈局圖案集合520的導電特徵佈局圖案520a可用於製造積體電路500B的導電結構集合520’(第5B圖)的對應導電結構520a’。
導電特徵佈局圖案520a替代第4A圖的導電特徵佈局圖案420a,並且由此省略類似的詳細描述。與第4A圖的導電特徵佈局圖案420a相比,導電特徵佈局圖案520a的長度在第一方向X上較長,這是因為切割特徵佈局圖案510a的位置在第二方向Y上遠離導電特徵佈局圖案520a偏移。
在一些實施例中,導電特徵佈局圖案集合520的佈局圖案520a、420b、420c、420d及420e對應於標準單元佈局506a中的5個M2路由軌跡。
在導電特徵佈局圖案集合520中的圖案的其他構造或量係在本揭示的範疇內。
導電特徵佈局圖案集合522至少包括導電特徵圖案422a、422b、422c、422d、522e或422f。導電特徵佈局圖案集合522可用於製造積體電路500B的對應導電結構集合522’(第5B圖)。在一些實施例中,導電特徵佈局 圖案集合522的導電特徵佈局圖案522e可用於製造積體電路500B的導電結構集合522’(第5B圖)的對應導電結構522e’。
導電特徵佈局圖案522e替代第4A圖的導電特徵佈局圖案422e,並且由此省略類似的詳細描述。與第4A圖的導電特徵佈局圖案422e相比,導電特徵佈局圖案522e在第一方向X上的長度較短,這是因為切割特徵佈局圖案510c的位置在第二方向Y上朝向導電特徵佈局圖案522e偏移。
在一些實施例中,導電特徵佈局圖案集合522的佈局圖案422a、422b、422c及422d對應於標準單元佈局506b中的4個M2路由軌跡。
在導電特徵佈局圖案集合522中的圖案的其他構造或量係在本揭示的範疇內。
導電特徵佈局圖案集合530至少包括導電特徵圖案530a或130b。導電特徵佈局圖案集合532至少包括導電特徵圖案532a或132b。
導電特徵佈局圖案集合530、532可用於製造積體電路500B的對應導電結構集合530’、532’(第5B圖)。在一些實施例中,導電特徵佈局圖案530a、532a可用於製造積體電路500B的對應導電結構集合530’、532’(第5B圖)的對應導電結構530a’、532a’。
導電特徵佈局圖案530a、532a替代第4A圖的對應導電特徵佈局圖案430a、432a,並且由此省略類似的詳細描述。
與第4A圖的導電特徵佈局圖案430a相比,由於切割特徵佈局圖案510a及510b的位置,導電特徵佈局圖案530a在第二方向Y上偏移。
與第4A圖的導電特徵佈局圖案432a相比,由於切割特徵佈局圖案510b及510c的位置,導電特徵佈局圖案532a在第二方向Y上偏移。
在導電特徵佈局圖案集合530及532中的圖案的其他構造或量係在本揭示的範疇內。
在一些實施例中,藉由使切割特徵佈局圖案510a的位置在第二方向Y上遠離導電特徵佈局圖案520a偏移,額外導電特徵佈局圖案520a可用作標準單元佈局圖案506a中的額外路由軌跡佈局圖案,從而導致與其他方法相比,在佈局設計500A中更有效地利用額外路由資源。
第5B圖係根據一些實施例所繪示的積體電路500B的俯視圖。
積體電路500B係積體電路400B(第4B圖)的變化,並且由此省略類似的詳細描述。例如,積體電路500B示出一實例,其中導電結構520a’及522e’替代第4A圖的對應導電結構420a’及422e’,並且導電結構520a’及522e’在第一方向X上具有與第4A圖的對應導電結構420a’及422e’不同的對應長度。
積體電路500B包括標準單元506a’、506b’、408a’及408b’。與第4B圖的積體電路400B相比,標準單元506a’及506b’替代對應標準單元406a’及406b’,並且由此省略類似的詳細描述。
與第4B圖的積體電路400B相比,導電結構集合520’及522’替代對應導電結構集合420’及422’,導電結構集合530’及532’替代對應導電結構集合430’及432’,並且由此省略類似的詳細描述。
導電結構集合520’至少包括導電結構520a’、420b’、420c’、420d’、420e’或420f’。導電結構520a’替代第4B圖的導電結構420a’,並且由此省略類似的詳細描述。
與第4B圖的導電結構420a’相比,導電結構520a’的長度在第一方向X上較長,這是因為導電結構530a的移除部分510a’在第二方向Y上偏移達寬度W1。在一些實施例中,藉由增加導電結構520a’的長度致使導電結構520a’為功能導電結構。在一些實施例中,導電結構集合520’的至少導電結構520a’、420b’、420c’、420d’或420e’係功能導電結構。在一些實施例中,導電結構集合520’具有奇數個功能導電結構及對應路由軌跡。在一些實施例中,導電結構集合520’具有5個功能導電結構及對應路由軌跡。
導電結構集合522’至少包括導電結構422a’、422b’、422c’、422d’、522e’或422f’。導電結 構522e’替代第4B圖的導電結構422e’,並且由此省略類似的詳細描述。
與第4B圖的導電結構422e’相比,導電結構522e’的長度在第一方向X上較短,這是因為導電結構532a’的移除部分510c’在第二方向Y上朝向導電結構522e’偏移達寬度W1。在一些實施例中,藉由在第二方向Y上偏移導電結構530a或532a的移除部分510a’、510b’、510c’的位置,功能或非功能導電結構的數量可以基於導電結構422a’、422b’、422c’、422d’、522e’、422f’到導電結構530a或532a的移除部分510a’、510b’、510c’的鄰近度來調節。
導電結構522e’在第一方向X上具有與導電結構422e’相比較短的長度,並且因此係非功能或虛擬結構。在一些實施例中,導電結構集合522’的至少導電結構422a’、422b’、422c’或422d’係功能導電結構。在一些實施例中,導電結構集合522’具有偶數個功能導電結構及對應路由軌跡。在一些實施例中,導電結構集合522’具有4個功能導電結構及對應路由軌跡。
導電結構集合530’至少包括導電結構530a’或130b’。導電結構集合532’至少包括導電結構532a’或132b’。導電結構530a’、532a’替代第4B圖的對應導電結構430a’、432a’,並且由此省略類似的詳細描述。與第4B圖的導電結構430a’、432a’相比,由於移除部分510a’、 510b’及510c’的新位置,對應導電結構530a’、532a’在第二方向Y上的對應位置中偏移。
在一些實施例中,導電特徵佈局圖案522a’可用於製造對應導電結構522a’,此對應導電結構係在對應導電結構集合522’中的額外功能導電結構,從而致使在導電結構集合520’及522’中的不同數量的功能導電結構。在一些實施例中,藉由在導電結構集合520’及522’中具有不同數量的功能導電結構,積體電路500B具有額外功能導電結構522a’及在標準單元506a’及506b’中的對應路由軌跡,從而導致與其他方法相比,更有效地利用額外路由資源。
第6A圖係根據一些實施例所繪示的積體電路的佈局設計600A的示意圖。
佈局設計600A係佈局設計500A(第5A圖)的變化,並且由此省略類似的詳細描述。例如,佈局設計600A示出一實例,其中切割特徵佈局圖案集合610及612替代第5A圖的對應切割特徵佈局圖案集合510及412,從而致使在切割特徵佈局圖案集合610或612內的切割特徵佈局圖案由不同間距(例如,間距PB2及PC2)分離。
佈局設計600A可用於製造第6B圖的積體電路600B。
佈局設計600A包括標準單元佈局圖案606a、606b、608a及608b。與第5A圖的佈局設計500A相比,標準單元佈局圖案606a、606b、608a及608b替代對應標準 單元佈局圖案506a、506b、408a及408b,並且由此省略類似的詳細描述。
與第5A圖的佈局設計500A相比,導電特徵佈局圖案集合620及622替代對應導電特徵佈局圖案集合520及522,導電特徵佈局圖案集合630、632、634及636替代對應導電特徵佈局圖案集合530、532、534及536,並且切割特徵佈局圖案集合610及612替代對應切割特徵佈局圖案集合510及412,並且由此省略類似的詳細描述。
切割特徵佈局圖案集合610至少包括切割特徵佈局圖案310a、610b或310c。
切割特徵佈局圖案集合612至少包括切割特徵佈局圖案312a、612b或312c。
切割特徵佈局圖案610b、612b替代第5A圖的對應切割特徵佈局圖案510b、412b,並且由此省略類似的詳細描述。
與第5A圖的切割特徵佈局圖案510b、412b相比,對應切割特徵佈局圖案610b、612b各者在第二方向Y上偏移達間距P1。在一些實施例中,藉由將切割特徵佈局圖案集合610的對應切割特徵佈局圖案610b、612b的位置偏移達間距P1將切割特徵佈局圖案集合610及612的間距改變為間距PB2及PC2。
在一些實施例中,切割特徵佈局圖案310a、610b、310c識別在方法700(第7圖)的操作706中移除的 導電結構630a’或632a’的對應部分610a’、610b’、610c’的對應位置。
在一些實施例中,切割特徵佈局圖案312a、612b、312c識別在方法700(第7圖)的操作706中移除的導電結構634a’或636a’的對應部分612a’、612b’、612c’的對應位置。
在一些實施例中,切割特徵佈局圖案310a、610b、310c、312a、612b或312c的至少一者在第二方向Y上具有寬度W2。在一些實施例中,寬度W2對應於至少導電結構630a’、632a’、634a’或636a’的至少部分310a’、610b’、310c’、312a’、612b’或312c’的切割寬度(未標記)。
切割特徵佈局圖案310a、312c在第二方向Y上以間距PB2與對應切割特徵佈局圖案610b、612b分離。切割特徵佈局圖案610b、612b在第二方向Y上以間距PC2與對應切割特徵佈局圖案310c、312a分離。在一些實施例中,間距PB1、PB2、PC1或PC2的至少一者與間距PB1、PB2、PC1或PC2的至少另一者不同。
在一些實施例中,導電特徵佈局圖案630a、634a在對應切割特徵佈局圖案310a、312a與對應切割特徵佈局圖案610b、612b之間定位。在一些實施例中,導電特徵佈局圖案632a、636a在對應切割特徵佈局圖案610b、612b與對應切割特徵佈局圖案310c、312c之間定位。
在一些實施例中,對應切割特徵佈局圖案610b及612b的中心在第一方向X上與對應柵格線104a及102f對準。在一些實施例中,切割特徵佈局圖案集合610在對應標準單元佈局圖案606a及606b內係規則的,並且切割特徵佈局圖案集合612在對應標準單元佈局圖案608a及608b內係規則的。
在切割特徵佈局圖案集合610或612中的圖案的其他構造或量係在本揭示的範疇內。
導電特徵佈局圖案集合620至少包括導電特徵圖案420a、420b、420c、420d、420e或620f。導電特徵佈局圖案集合620可用於製造積體電路500B的對應導電結構集合620’(第6B圖)。在一些實施例中,導電特徵佈局圖案集合620的導電特徵佈局圖案620f可用於製造積體電路600B的導電結構集合620’(第6B圖)的對應導電結構620f’。
導電特徵佈局圖案620f替代第5A圖的導電特徵佈局圖案420f,並且由此省略類似的詳細描述。與第5A圖的導電特徵佈局圖案420f相比,導電特徵佈局圖案620f的長度在第一方向X上較長,這是因為切割特徵佈局圖案610b的位置在第二方向Y上遠離導電特徵佈局圖案620f偏移。
在一些實施例中,導電特徵佈局圖案集合620的佈局圖案420b、420c、420d、420e及6206對應於標準單元佈局506a中的5個M2路由軌跡。
在導電特徵佈局圖案集合620中的圖案的其他構造或量係在本揭示的範疇內。
導電特徵佈局圖案集合622至少包括導電特徵圖案622a、422b、422c、422d、422e或422f。導電特徵佈局圖案集合622可用於製造積體電路600B的對應導電結構集合622’(第6B圖)。在一些實施例中,導電特徵佈局圖案集合622的導電特徵佈局圖案622e可用於製造積體電路600B的導電結構集合622’(第6B圖)的對應導電結構622e’。
導電特徵佈局圖案622a替代第5A圖的導電特徵佈局圖案422a,並且由此省略類似的詳細描述。與第5A圖的導電特徵佈局圖案422a相比,導電特徵佈局圖案622a的長度在第一方向X上較短,這是因為切割特徵佈局圖案610b的位置在第二方向Y上朝向導電特徵佈局圖案622a偏移。
在一些實施例中,導電特徵佈局圖案集合622的佈局圖案422b、422c、422d及422e對應於標準單元佈局606b中的4個M2路由軌跡。
在導電特徵佈局圖案集合622中的圖案的其他構造或量係在本揭示的範疇內。
導電特徵佈局圖案集合630至少包括導電特徵圖案630a或130b。導電特徵佈局圖案集合632至少包括導電特徵圖案632a或132b。導電特徵佈局圖案集合634至少 包括導電特徵圖案634a或134b。導電特徵佈局圖案集合636至少包括導電特徵圖案636a或136b。
導電特徵佈局圖案集合630、632、634、636可用於製造積體電路600B的對應導電結構集合630’、632’、634’、636’(第6B圖)。在一些實施例中,導電特徵佈局圖案630a、632a、634a、636a可用於製造積體電路600B的對應導電結構集合630’、632’、634’、636’(第6B圖)的對應導電結構630a’、632a’、634a’、636a’。
導電特徵佈局圖案630a、632a、634a、636a替代第5A圖的對應導電特徵佈局圖案530a、532a、434a、436a,並且由此省略類似的詳細描述。
與第5A圖的導電特徵佈局圖案530a相比,由於切割特徵佈局圖案310a及610b的位置,導電特徵佈局圖案630a在第二方向Y上偏移。
與第5A圖的導電特徵佈局圖案532a相比,由於切割特徵佈局圖案610b及310c的位置,導電特徵佈局圖案632a在第二方向Y上偏移。
與第5A圖的導電特徵佈局圖案434a相比,由於切割特徵佈局圖案312a及612b的位置,導電特徵佈局圖案634a在第二方向Y上偏移。
與第5A圖的導電特徵佈局圖案436a相比,由於切割特徵佈局圖案612b及312c的位置,導電特徵佈局圖案636a在第二方向Y上偏移。
在導電特徵佈局圖案集合630、632、634及636中的圖案的其他構造或量係在本揭示的範疇內。
在一些實施例中,藉由使切割特徵佈局圖案610b的位置在第二方向Y上遠離導電特徵佈局圖案620f偏移,額外導電特徵佈局圖案620f可用作標準單元佈局圖案606a中的額外路由軌跡佈局圖案,從而導致與其他方法相比,在佈局設計600A中更有效地利用額外路由資源。
第6B圖係根據一些實施例所繪示的積體電路600B的俯視圖。
積體電路600B係積體電路500B(第5B圖)的變化,並且由此省略類似的詳細描述。例如,積體電路600B示出一實例,其中導電結構620f’及622a’替代第5A圖的對應導電結構420f’及422a’,並且導電結構620f’及622a’在第一方向X上具有與第5A圖的對應導電結構420f’及422a’不同的對應長度。在一些實施例中,積體電路600B亦示出一實例,其中對應導電結構630a’、634a’的長度係根據不同間距(例如,間距PB2及PC2)與對應導電結構632a’、636a’的長度不同。
積體電路600B包括標準單元606a’、606b’、608a’及608b’。與第5B圖的積體電路500B相比,標準單元606a’、606b’、608a’及608b’替代對應標準單元506a’、506b’、408a’及408b’,並且由此省略類似的詳細描述。
與第5B圖的積體電路500B相比,導電結構集合620’及622’替代對應導電結構集合520’及522’,導電結 構集合630’、632’、634’及636’替代對應導電結構集合530’、532’、434’及436’,並且由此省略類似的詳細描述。
導電結構集合620’至少包括導電結構420a’、420b’、420c’、420d’、420e’或620f’。導電結構620f’替代第5B圖的導電結構420f’,並且由此省略類似的詳細描述。
與第5B圖的導電結構420f’相比,導電結構620f’的長度在第一方向X上較長,這是因為導電結構630a或632a的移除部分610b’在第二方向Y上遠離導電結構620f’偏移達間距P1。在一些實施例中,藉由增加導電結構620f’的長度致使導電結構620f’為功能導電結構。在一些實施例中,導電結構集合620’的至少導電結構420b’、420c’、420d’、420e’或620f’係功能導電結構。在一些實施例中,導電結構集合620’具有奇數個功能導電結構及對應路由軌跡。在一些實施例中,導電結構集合620’具有5個功能導電結構及對應路由軌跡。
導電結構集合622’至少包括導電結構622a’、422b’、422c’、422d’、422e’或422f’。導電結構622a’替代第5B圖的導電結構422a’,並且由此省略類似的詳細描述。
與第5B圖的導電結構422a’相比,導電結構622a’的長度在第一方向X上較短,這是因為導電結構630a’或632a’的移除部分610b’在第二方向Y上朝向導電結構622a’偏移達間距P1。在一些實施例中,藉由使導電結 構630a’或632a’的移除部分310a’、610b’、310c’的位置在第二方向Y上偏移,功能或非功能導電結構的數量可以基於導電結構622a’、422b’、422c’、422d’、422e’、422f’到導電結構630a或632a的移除部分310a’、610b’、310c’的鄰近度來調節。
導電結構622a’在第一方向X上具有與導電結構422a’相比較短的長度,並且因此係非功能或虛擬結構。在一些實施例中,導電結構集合622’的至少導電結構422b’、422c’、422d’或422e’係功能導電結構。在一些實施例中,導電結構集合622’具有偶數個功能導電結構及對應路由軌跡。在一些實施例中,導電結構集合622’具有4個功能導電結構及對應路由軌跡。
在一些實施例中,導電特徵佈局圖案620f’可用於製造對應導電結構520f’,此對應導電結構係在對應導電結構集合620’中的額外功能導電結構,從而致使在導電結構集合620’及622’中的不同數量的功能導電結構。在一些實施例中,藉由在導電結構集合620’及622’中具有不同數量的功能導電結構,積體電路600B具有額外功能導電結構622f’及在標準單元606a’及606b’中的對應路由軌跡,從而導致與其他方法相比,更有效地利用額外路由資源。
方法
第7圖係根據一些實施例所繪示的形成或製造積體電路的方法700的流程圖。將理解,額外操作可在第7圖中描繪的方法700之前、期間、及/或之後執行,並且一 些其他操作僅可在本文中簡要描述。在一些實施例中,方法700可用於形成積體電路,諸如至少積體電路100C(第1C圖)、積體電路200B(第2B圖)、積體電路400B(第4B圖)、積體電路500B(第5B圖)或積體電路600B(第6B圖)。在一些實施例中,方法700可用於形成具有與下列中的一或多個類似的結構關係的積體電路:佈局設計100A(第1A圖)、佈局設計200A(第2A圖)、佈局設計300A(第3A圖)、佈局設計400A(第4A圖)、佈局設計500A(第5A圖)、佈局設計600A(第6A圖)。
在方法700的操作702中,產生積體電路的佈局設計。在一些實施例中,方法700的佈局設計包括下列中的一或多個:佈局設計100A(第1A圖)、佈局設計200A(第2A圖)、佈局設計300A(第3A圖)、佈局設計400A(第4A圖)、佈局設計500A(第5A圖)、佈局設計600A(第6A圖)。在一些實施例中,方法700的積體電路包括下列中的一或多個:積體電路100C(第1C圖)、積體電路200B(第2B圖)、積體電路300B(第3B圖)、積體電路400B(第4B圖)、積體電路500B(第5B圖)或積體電路600B(第6B圖)。
操作702藉由處理裝置(例如,處理器902(第9圖))執行,此處理裝置用以執行產生佈局設計的指令。在一些實施例中,佈局設計係圖形資料庫系統(GDSII)檔案格式。
在方法700的操作704中,基於佈局設計製造積體電路。在一些實施例中,方法700的操作704包含基於佈局設計製造至少一個遮罩、以及基於至少一個遮罩製造積體電路。
方法700以操作706接續,其中移除導電結構130a’或132a’的部分110b’,由此形成積體電路100C的導電結構130a’或132a’。
在一些實施例中,導電結構130a’或132a’的移除部分110b’藉由切割區域(例如,金屬切割特徵佈局圖案110b(第1A圖至第1B圖))識別。在一些實施例中,方法700的操作706被稱為切割金屬一製程。在一些實施例中,操作706導致形成IC 100A(第1A圖)。
在一些實施例中,在操作706中移除的導電結構130a’或132a’的部分110b’在佈局設計100A中藉由金屬切割特徵佈局圖案110b’(第1A圖至第1B圖)識別。在一些實施例中,金屬切割特徵佈局圖案110b’識別積體電路100C的導電結構130a’或132a’的移除部分110b’的位置。
在一些實施例中,導電結構130a’或132a’的移除部分110b’在第二方向Y上包含切割寬度(未標記),並且在第一方向X上包含切割長度(未標記)。在一些實施例中,金屬切割特徵佈局圖案110b(第1A圖至第1B圖)在第二方向Y上包含圖案寬度(未標記),並且在第一方向X上包含圖案長度(未標記)。在一些實施例中,金屬切割特徵佈局圖案110b的圖案寬度(未標記)對應於移除部分110b’ 的切割寬度(未標記)。在一些實施例中,金屬切割特徵佈局圖案110b的圖案長度(未標記)對應於移除部分110b’的切割長度(未標記)。
在一些實施例中,方法700的操作706在積體電路100C中的導電結構上執行,此等導電結構未在第二方向Y上彼此充分分離以確保一致的製造產量。例如,在此等實施例中,若在第二方向Y上的導電結構130a’與對應導電結構132a’之間的距離小於最小距離(例如,取決於製造製程),則導電結構130a’及對應導電結構132a’未彼此充分分離以確保一致的製造產量,並且由此應用方法700的操作706以確保在導電結構130a’與對應導電結構132a’之間的充分分離。最小距離係在以確保一致產量來製造導電結構之間的最小間隔。在一些實施例中,若在第二方向Y上的導電結構130a’與對應導電結構132a’之間的距離大於最小距離(例如,取決於製造製程),則方法700的操作706不在導電結構130a’及對應導電結構132a’上執行。
在一些實施例中,操作706藉由移除製程執行。在一些實施例中,移除製程包括適用於移除導電結構130a’或132a’的部分110b’的一或多個蝕刻製程。在一些實施例中,操作706的蝕刻製程包括識別待移除的導電結構130a’或132a’的部分110b’,以及蝕刻待移除的導電結構130a’或132a’的部分110b’。在一些實施例中,遮罩用於規定待切割或移除的導電結構130a’或132a’的部分110b’。在一些實施例中,遮罩係硬遮罩。在一些實施例中, 遮罩係軟遮罩。在一些實施例中,蝕刻對應於電漿蝕刻、反應性離子蝕刻、化學蝕刻、乾式蝕刻、濕式蝕刻、其他適宜製程、其任何組合、或類似者。在一些實施例中,方法700的操作704或706可用於製造一或多個積體電路,此積體電路具有第1A圖至第1C圖、第2A圖至第2B圖、第3A圖至第3B圖、第4A圖至第4B圖、第5A圖至第5B圖或第6A圖至第6B圖中描述的一或多個優點,並且由此省略類似的詳細描述。
儘管操作706被關於導電結構130a’及132a’以及部分110b’所描述,將理解操作706亦可應用於積體電路100C、200B、300B、400B、500B或600B的一或多個其他部分(至少包括導電結構130b’、132b’、134a’、134b’、136a’、136b’、234a’、236a’、430a’、432a’、434a’、436a’、530a’、532a’、630a’、632a’、634a’或636a’,部分110b’、212b’、410b’、412b’、510b’、610b’或612b’或類似者),或積體電路100C、200B、400B、500B或600B的其他部分(由切割特徵佈局圖案集合110、112、212、310、312、410、412、510、610或612中的一或多個切割特徵佈局圖案識別)。
第8圖係根據一些實施例所繪示的產生積體電路的佈局設計的方法800的流程圖。將理解,額外操作可在第8圖中描繪的方法800之前、期間、及/或之後執行,並且一些其他製程僅可在本文中簡要描述。
在一些實施例中,方法800可用於產生積體電路的佈局設計的一或多個佈局圖案。在一些實施例中,方法800可用於產生一或多個佈局設計,諸如佈局設計100A(第1A圖)、佈局設計200A(第2A圖)、佈局設計300A(第3A圖)、佈局設計400A(第4A圖)、佈局設計500A(第5A圖)、佈局設計600A(第6A圖)。在一些實施例中,方法800可用於產生積體電路的佈局設計的一或多個佈局圖案,諸如至少積體電路100C(第1C圖)、積體電路200B(第2B圖)、積體電路300B(第3B圖)、積體電路400B(第4B圖)、積體電路500B(第5B圖)或積體電路600B(第6B圖)。
在方法800的操作802中,產生或置放第一柵格線集合。在一些實施例中,將第一柵格線集合置放在佈局設計上。在一些實施例中,方法800的佈局設計至少包括佈局設計100A(第1A圖)、佈局設計200A(第2A圖)、佈局設計300A(第3A圖)、佈局設計400A(第4A圖)、佈局設計500A(第5A圖)或佈局設計600A(第6A圖)。在一些實施例中,方法800的第一柵格線集合至少包括柵格線集合102或104。
在方法800的操作804中,產生或置放第二柵格線集合。在一些實施例中,將第二柵格線集合置放在佈局設計上。在一些實施例中,方法800的第二柵格線集合至少包括柵格線集合102或104。
在方法800的操作806中,產生或置放第一導電特徵佈局圖案集合。在一些實施例中,將方法800的第一導電特徵佈局圖案集合置放在第一佈局位準上。在一些實施例中,第一佈局位準係M2佈局位準。在一些實施例中,方法800的第一導電特徵佈局圖案集合至少包括導電特徵佈局圖案集合120、122、124、126、224、226、420、422、424、426、520、522、620或622。
在方法800的操作808中,產生或置放第二導電特徵佈局圖案集合。在一些實施例中,將方法800的第二導電特徵佈局圖案集合置放在第一佈局位準上。在一些實施例中,方法800的第二導電特徵佈局圖案集合至少包括導電特徵佈局圖案集合120、122、124、126、224、226、420、422、424、426、520、522、620或622。
在方法800的操作810中,產生或置放第一切割特徵佈局圖案集合。在一些實施例中,將方法800的第一切割特徵佈局圖案集合置放在與第一佈局位準不同的第二佈局位準上。在一些實施例中,第二佈局位準係M1佈局位準。在一些實施例中,方法800的第一切割特徵佈局圖案集合至少包括切割特徵佈局圖案集合110、112、212、310、312、410、412、510、610或612。
在方法800的操作812中,產生或佈置第二切割特徵佈局圖案集合。在一些實施例中,將方法800的第二切割特徵佈局圖案集合置放在第二佈局位準上。在一些實施例中,方法800的第二切割特徵佈局圖案集合至少包括切割特 徵佈局圖案集合110、112、212、310、312、410、412、510、610或612。
在方法800的操作814中,產生或置放第三導電特徵佈局圖案集合。在一些實施例中,將方法800的第三導電特徵佈局圖案集合置放在第二佈局位準上。在一些實施例中,方法800的第三導電特徵佈局圖案集合至少包括導電特徵佈局圖案集合130、132、134、136、234、236、330、332、334、336、430、432、434、436、530、532、630、632、634或636。
在方法800的操作814中,產生或置放第四導電特徵佈局圖案集合。在一些實施例中,將方法800的第四導電特徵佈局圖案集合置放在第二佈局位準上。在一些實施例中,方法800的第四導電特徵佈局圖案集合至少包括導電特徵佈局圖案集合130、132、134、136、234、236、330、332、334、336、430、432、434、436、530、532、630、632、634或636。
在一些實施例中,一或多個操作806、808、810、812、814、816進一步包括產生或置放第一標準單元佈局設計集合。在一些實施例中,一或多個操作806、808、810、812、814、816進一步包括產生或置放第二標準單元佈局設計集合。在一些實施例中,一或多個操作806、808、810、812、814、816進一步包括產生或置放第三標準單元佈局設計集合。在一些實施例中,一或多個操作806、808、 810、812、814、816進一步包括產生或置放第四標準單元佈局設計集合。
在一些實施例中,至少方法800的第一標準單元佈局設計集合、第二標準單元佈局設計集合、第三標準單元佈局設計集合或第四標準單元佈局設計集合包括一或多個標準單元佈局設計106a、106b、108a、108b、208a、208b、306a、306b、308a、308b、406a、406b、408a、408b、506a、506b、606a、606b、608a或608b。
在一些實施例中,本揭示的佈局設計(諸如佈局設計100A、200A、300、400A、500A或600A)中的至少一個係標準單元。在一些實施例中,不執行方法800的一或多個操作(諸如操作802、804、806、808、810、812或814)。
方法700至800的一或多個操作藉由處理裝置執行,此處理裝置用以執行指令,用於製造積體電路,諸如積體電路100C、200B、400B、500B或600B.。在一些實施例中,方法700至800的一或多個操作使用與在方法700至800的不同的一或多個操作中使用相同的處理裝置執行。在一些實施例中,不同的處理裝置用於執行方法700至800的一或多個操作,與用於執行方法700至800的不同一或多個操作的處理裝置不同。
所描述的方法包括示例性操作,但其等並非必須以所示次序執行。根據本揭示的實施例的精神及範疇,操作可適當地添加、替代、交換次序、及/或消除。結合不同 特徵及/或不同實施例的實施例係在本揭示的範疇內,並且在回顧本揭示之後對於熟習此項技術者將顯而易見。
第9圖係根據一些實施例所繪示的用於設計及製造IC佈局設計的系統900的示意圖。在一些實施例中,系統900產生或置放本文描述的一或多個IC佈局設計。在一些實施例中,系統900基於本文描述的一或多個IC佈局設計來製造一或多個IC。系統900包括硬體處理器902及用電腦程式碼906(亦即,可執行指令集)編碼(亦即,儲存電腦程式碼906)的非暫時性電腦可讀取儲存媒體904。電腦可讀取儲存媒體904經構造為用於與產生積體電路的製造機器介面對接。處理器902由匯流排908電氣耦接到電腦可讀取儲存媒體904。處理器902亦由匯流排908電氣耦接到I/O介面910。網路介面912亦由匯流排908電氣連接到處理器902。網路介面912連接到網路914,使得處理器902及電腦可讀取儲存媒體904能夠經由網路914連接到外部元件。處理器902用以執行在電腦可讀取儲存媒體904中編碼的電腦程式碼906,以便致使系統900可用於執行如方法700或800中描述的操作一部分或全部。
在一些實施例中,處理器902係中央處理單元(CPU)、多處理器、分散式處理系統、特殊應用積體電路(ASIC)、及/或適宜的處理單元。
在一些實施例中,電腦可讀取儲存媒體904係電子、磁性、光學、電磁、紅外線、及/或半導體系統(或者設備或裝置)。例如,電腦可讀取儲存媒體904包括半導 體或固態記憶體、磁帶、可移除電腦磁片、隨機存取記憶體(RAM)、唯讀記憶體(ROM)、剛性磁碟及/或光碟。在使用光碟的一些實施例中,電腦可讀取儲存媒體904包括壓縮磁碟-唯讀記憶體(CD-ROM)、壓縮磁碟-讀/寫(CD-R/W)、及/或數位視訊光碟(DVD)。
在一些實施例中,儲存媒體904儲存電腦程式碼906,用以致使系統900執行方法700或800。在一些實施例中,儲存媒體904亦儲存執行方法700或800所需的資訊,以及在執行方法700或800期間產生的資訊,諸如佈局設計916、使用者介面918及製造單元920及/或用於執行方法700或800的操作的可執行指令集。在一些實施例中,佈局設計916包含下列的一或多個佈局圖案:佈局設計100A(第1A圖)、佈局設計200A(第2A圖)、佈局設計300A(第3A圖)、佈局設計400A(第4A圖)、佈局設計500A(第5A圖)、佈局設計600A(第6A圖)。
在一些實施例中,儲存媒體904儲存用於與製造機器對接的指令(例如,電腦程式碼906)。指令(例如,電腦程式碼906)使處理器902能夠產生可由製造機器讀取的製造指令以在製造製程期間有效地實施方法700或800。
系統900包括I/O介面910。I/O介面910耦接到外部電路系統。在一些實施例中,I/O介面910包括用於將資訊及命令通訊到處理器902的鍵盤、小鍵盤、滑鼠、軌跡球、軌跡板、及/或遊標方向鍵。
系統900亦包括耦接到處理器902的網路介面912。網路介面912允許系統900與網路914通訊,其中一或多個其他電腦系統連接到此網路。網路介面912包括:無線網路介面,諸如BLUETOOTH、WIFI、WIMAX、GPRS、或WCDMA;或有線網路介面,諸如ETHERNET、USB、或IEEE-1394。在一些實施例中,方法700或800在兩個或兩個以上系統900中實施,並且諸如佈局設計、使用者介面及製造單元的資訊在不同系統900之間由網路914交換。
系統900用以經由I/O介面910或網路介面912接收關於佈局設計的資訊。資訊由匯流排908傳遞到處理器902以決定用於產生IC(例如,積體電路100C(第1C圖)、積體電路200B(第2B圖)、積體電路400B(第4B圖)、積體電路500B(第5B圖)或積體電路600B(第6B圖))的佈局設計。隨後,將佈局設計儲存在電腦可讀取媒體904中作為佈局設計916。系統900用以經由I/O介面910或網路介面912接收關於使用者介面的資訊。將資訊儲存在電腦可讀取媒體904中作為使用者介面918。系統900用以經由I/O介面910或網路介面912接收關於製造單元的資訊。將資訊儲存在電腦可讀取媒體904中作為製造單元920。在一些實施例中,製造單元920包括由系統900利用的製造資訊。
在一些實施例中,方法700或800由處理器執行的獨立式軟體應用程式所實施。在一些實施例中,方法700或800由軟體應用程式所實施,此軟體應用程式係額外軟體應用程式的一部分。在一些實施例中,方法700或800由到 軟體應用程式的插件實施。在一些實施例中,方法700或800由軟體應用程式所實施,此軟體應用程式為EDA工具的一部分。在一些實施例中,方法700或800由由EDA工具使用的軟體應用程式實施。在一些實施例中,EDA工具用於產生積體電路裝置的佈局設計。在一些實施例中,將佈局設計儲存在非暫時性電腦可讀取媒體上。在一些實施例中,佈局設計使用諸如獲自CADENCE DESIGN SYSTEMS,Inc.的VIRTUOSO®的工具或另一適宜佈局產生工具產生。在一些實施例中,佈局設計基於網路連線表產生,此網路連線表基於示意性設計產生。在一些實施例中,方法700或800藉由製造裝置實施以使用基於由系統900產生的一或多個佈局設計製造的遮罩集合來製造積體電路。在一些實施例中,系統900係用於使用基於本揭示的一或多個佈局設計製造的遮罩集合製造積體電路的製造裝置。在一些實施例中,第9圖的系統900產生小於其他方法的IC的佈局設計。在一些實施例中,第9圖的系統900產生與其他方法相比佔用較少面積的IC結構的佈局設計。在一些實施例中,第9圖的IC或IC結構包括本說明的IC(例如,積體電路100C(第1C圖)、積體電路200B(第2B圖)、積體電路300B(第3B圖)、積體電路400B(第4B圖)、積體電路500B(第5B圖)或積體電路600B(第6B圖))。
第10圖係根據本揭示的至少一個實施例所繪示的積體電路(IC)製造系統1000及與其相關聯的IC製造流程的方塊圖。
在第10圖中,IC製造系統1000包括實體,諸如設計室1020、遮罩室1030、及IC製造商/生產商(「晶圓廠」)1040,此等實體在關於製造IC裝置1060的設計、開發、及製造週期及/或服務中彼此相互作用。系統1000中的實體由通訊網路連接。在一些實施例中,通訊網路係單個網路。在一些實施例中,通訊網路係各種不同的網路,諸如網內網路及網際網路。通訊網路包括有線及/或無線通訊通道。每個實體與其他實體中的一或多個相互作用,並且將服務提供到其他實體中的一或多個及/或從其他實體中的一或多個接收服務。在一些實施例中,設計室1020、遮罩室1030、及IC晶圓廠1040中的兩個或兩個以上由單個較大的公司擁有。在一些實施例中,設計室1020、遮罩室1030、及IC晶圓廠1040中的兩個或兩個以上在共用設施中共存並且使用共用資源。
設計室(或設計團隊)1020產生IC設計佈局1022。IC設計佈局1022包括針對IC裝置1060設計的各個幾何圖案。幾何圖案對應於構成待製造的IC裝置1060的各個元件的金屬、氧化物、或半導體層的圖案。各個層結合以形成各種IC特徵。例如,IC設計佈局1022的一部分包括待在半導體基板(諸如矽晶圓)中形成的各種IC特徵(諸如主動區域、閘極電極、源極電極及汲極電極、層間互連的金屬線或通孔、以及用於接合墊的開口)以及在半導體基板上設置的各個材料層。設計室1020實施適當設計程序以形成IC設計佈局1022。設計程序包括下列的一或多個:邏輯設 計、實體設計或置放及路由。IC設計佈局1022存在於具有幾何圖案的資訊的一或多個資料檔案中。例如,IC設計佈局1022可以GDSII檔案格式或DFII檔案格式表達。
遮罩室1030包括資料準備1032及遮罩製造1034。遮罩室1030使用IC設計佈局1022,以製造一或多個遮罩,此等遮罩將用於根據IC設計佈局1022製造IC裝置1060的各個層。遮罩室1030執行遮罩資料準備1032,其中IC設計佈局1022轉換為代表性資料檔案(「RDF」)。遮罩資料準備1032向遮罩製造1034提供RDF。遮罩製造1034包括遮罩寫入器。遮罩寫入器將RDF轉換為基板上的影像,諸如遮罩(主光罩)或半導體晶圓。設計佈局由遮罩資料準備1032操控,以符合遮罩寫入器的特定特性及/或IC晶圓廠1040的需求。在第10圖中,將遮罩資料準備1032及遮罩製造1034示出為單獨的元件。在一些實施例中,遮罩資料準備1032及遮罩製造1034可以共同稱為遮罩資料準備。
在一些實施例中,遮罩資料準備1032包括光學鄰近修正(optical proximity correction;OPC),此OPC使用微影增強技術來補償影像誤差,諸如可以由繞射、干涉、其他製程效應及類似者產生的彼等影像誤差。OPC調節IC設計佈局1022。在一些實施例中,遮罩資料準備1032包括進一步的解析度增強技術(RET),諸如離軸照明、次解析度輔助特徵、相移遮罩、其他適宜技術、及類似者或其組合。在一些實施例中,亦使用反向微影技術(ILT),其將OPC視作反向成像問題。
在一些實施例中,遮罩資料準備1032包括遮罩規則檢驗器(mask rule checker;MRC),此遮罩規則檢驗器檢驗已經歷具有一組遮罩產生規則的OPC中的製程的IC設計佈局,此等遮罩產生規則含有某些幾何及/或連接性限制以確保足夠裕度,用於考慮在半導體製造製程中的變化性及類似者。在一些實施例中,MRC修改IC設計佈局以補償在遮罩製造1034期間的限制,這可撤銷由OPC執行的部分修改,以便滿足遮罩產生規則。
在一些實施例中,遮罩資料準備1032包括模擬處理的微影製程檢驗(LPC),此處理將由IC晶圓廠1040實施以製造IC裝置1060。LPC基於IC設計佈局1022模擬此處理以產生模擬的製造裝置,諸如IC裝置1060。在LPC模擬中的處理參數可以包括與IC製造週期的各個製程相關聯的參數、與用於製造IC的工具相關聯的參數、及/或製造製程的其他態樣。LPC考慮到各種因素,諸如天線影像對比、焦點深度(「DOF」)、遮罩誤差增強因素(「MEEF」)、其他適宜因素、及類似者或其組合。在一些實施例中,在模擬的製造裝置已經由LPC產生之後,若模擬的裝置形狀不足夠緊密以滿足設計規則,則OPC及/或MRC將重複以進一步細化IC設計佈局1022。
應當理解,對遮罩資料準備1032的以上描述出於清晰目的已經簡化。在一些實施例中,資料準備1032包括額外特徵,諸如邏輯操作(LOP)以根據製造規則修改IC 設計佈局。另外,在資料準備1032期間應用到IC設計佈局1022的製程可以各種不同次序執行。
在遮罩資料準備1032之後並且在遮罩製造1034期間,遮罩或遮罩的群組基於經修改的IC設計佈局製造。在一些實施例中,電子束(e束)或多個電子束的機制用於基於經修改的IC設計佈局在遮罩(光罩或主光罩)上形成圖案。遮罩可以在各種技術中形成。在一些實施例中,遮罩使用二元技術形成。在一些實施例中,遮罩圖案包括不透明區域及透明區域。用於暴露已經在晶圓上塗佈的影像敏感材料層(例如,光阻劑)的輻射光束(諸如紫外(UV)光束)由不透明區域阻擋並且穿過透明區域發射。在一個實例中,二元遮罩包括透明基板(例如,熔凝石英)及在遮罩的不透明區域中塗佈的不透明材料(例如,鉻)。在另一實例中,遮罩使用相移技術形成。在相移遮罩(PSM)中,在遮罩上形成的圖案中的各種特徵用以具有適當相位差,以增強解析度及成像品質。在各個實例中,相移遮罩可以係衰減PSM或交替PSM。由遮罩製造1034產生的遮罩在各種製程中使用。例如,此種遮罩在離子佈植製程中使用以在半導體晶圓中形成各種摻雜區域、在蝕刻製程中使用以在半導體晶圓中形成各種蝕刻區域及/或在其他適宜製程中使用。
IC晶圓廠1040係包括用於製造各種不同的IC產品的一或多個製造設施的IC製造實體。在一些實施例中,IC晶圓廠1040係半導體代工廠。例如,可存在用於複數種IC產品的前端製造(線程前端(FEOL)製造)的製造設 施,而第二製造設施可提供用於互連及封裝IC產品的後端製造(線程後端(BEOL)製造),並且第三製造設施可提供用於代工廠實體的其他服務。
IC晶圓廠1040使用由遮罩室1030製造的遮罩(或多個遮罩)來製造IC裝置1060。因此,IC晶圓廠1040至少間接地使用IC設計佈局1022製造IC裝置1060。在一些實施例中,半導體晶圓1042藉由IC晶圓廠1040使用遮罩(或多個遮罩)製造以形成IC裝置1060。半導體晶圓1042包括矽基板或其上形成有材料層的其他適當基板。半導體晶圓進一步包括下列中的一或多個:各種摻雜區域、介電特徵、多級互連及類似者(在後續的製造步驟處形成)。
將系統1000圖示為具有作為單個部件或實體的設計室1020、遮罩室1030或IC晶圓廠1040。然而,將理解,設計室1020、遮罩室1030或IC晶圓廠1040中的一或多個係相同部件或實體的部分。
關於積體電路(IC)製造系統(例如,第10圖的系統1000)以及與其相關聯的IC製造流程的細節例如在下列中發現:於2016年2月9日授權的美國專利第9,256,709號、於2015年10月1日公開的美國授權前公開案第20150278429號、於2014年2月6日公開的美國授權前公開案第20140040838號、以及於2007年8月21日授權的美國專利第7,260,442號,其各者的全部內容以引用方式併入本文中。
熟習此項技術者將容易瞭解到,所揭示的一或多個實施例實現上文闡述的一或多個優點。在閱讀以上說明書之後,熟習此項技術者將能夠實現如本文廣泛地揭示的各種改變、等效替代及各種其他實施例。由此,對本揭示授予的保護意欲僅受限於在隨附申請專利範圍及其等效中含有的定義。
此描述的一個態樣係關於一種形成積體電路(IC)的方法。在一些實施例中,方法包括:藉由處理器產生積體電路的第一標準單元佈局設計;產生積體電路的第二標準單元佈局設計;產生在第一方向上延伸的第一切割特徵佈局圖案集合;以及至少基於第一標準單元佈局設計或第二標準單元佈局設計來製造積體電路。在一些實施例中,第二標準單元佈局設計在第一方向上抵靠第一標準單元佈局設計。在一些實施例中,第一切割特徵佈局圖案集合的第一切割特徵佈局圖案的側面在第一方向上延伸,並且與第一柵格線集合或第二柵格線集合的第一柵格線對準。在一些實施例中,產生第一標準單元佈局設計包括產生第一導電特徵佈局圖案集合,此第一導電特徵佈局圖案集合在第一方向上延伸、位於第一金屬位準上及重疊在第一方向上延伸的第一柵格線集合。在一些實施例中,第一導電特徵佈局圖案集合對應於製造第一導電結構集合。在一些實施例中,產生第二標準單元佈局設計包括產生第二導電特徵佈局圖案集合,此第二導電特徵佈局圖案集合在第一方向上延伸、位於第一金屬位準上及重疊在第一方向上延伸的第二柵格線集合。在一些 實施例中,第二導電特徵佈局圖案集合對應於製造第二導電結構集合。在一些實施例中,第二柵格線集合在與第一方向不同的第二方向上與第一柵格線集合分離。在一些實施例中,第一導電特徵佈局圖案集合的每個導電特徵佈局圖案的中心與第一柵格線集合的對應柵格線對準。在一些實施例中,第二導電特徵佈局圖案集合的每個導電特徵佈局圖案的中心與第二柵格線集合的對應柵格線對準。在一些實施例中,第一切割特徵佈局圖案集合包括第一切割特徵佈局圖案、在第二方向上以第一間距與第一切割特徵佈局圖案分離的第二切割特徵佈局圖案、以及在第二方向上以第二間距與第一切割特徵佈局圖案分離的第三切割特徵佈局圖案。在一些實施例中,產生第一標準單元佈局設計進一步包括產生在第二方向上延伸的第一導電特徵佈局圖案。在一些實施例中,第一導電特徵佈局圖案位於第一金屬位準之下的第二金屬位準上。在一些實施例中,第一導電特徵佈局圖案對應於製造第一導電結構。在一些實施例中,第一導電特徵佈局圖案在第一切割特徵佈局圖案與第二切割特徵佈局圖案之間定位。在一些實施例中,產生第二標準單元佈局設計進一步包括產生在第二方向上延伸的第二導電特徵佈局圖案。在一些實施例中,第二導電特徵佈局圖案位於第二金屬位準上。在一些實施例中,第二導電特徵佈局圖案對應於製造第二導電結構。在一些實施例中,第二導電特徵佈局圖案在第一切割特徵佈局圖案與第三切割特徵佈局圖案之間定位。在一些實施例中,第一切割特徵佈局圖案對應於辨識第一導電結構 或第二導電結構的移除部分的位置。在一些實施例中,方法進一步包括產生積體電路的第三標準單元佈局設計。在一些實施例中,第三標準單元佈局設計在第二方向上抵靠第一標準單元佈局設計。在一些實施例中,產生第三標準單元佈局設計包括產生第三導電特徵佈局圖案集合,此第三導電特徵佈局圖案集合在第一方向上延伸、位於第一金屬位準上及重疊第一柵格線集合。在一些實施例中,第三導電特徵佈局圖案集合對應於製造第三導電結構集合。在一些實施例中,方法進一步包括產生積體電路的第四標準單元佈局設計。在一些實施例中,第四標準單元佈局設計在第一方向上抵靠第三標準單元佈局設計,並且在第二方向上抵靠第二標準單元佈局設計。在一些實施例中,產生第四標準單元佈局設計包括產生第四導電特徵佈局圖案集合,此第四導電特徵佈局圖案集合在第一方向上延伸、位於第一金屬位準上及重疊第二柵格線集合。在一些實施例中,第四導電特徵佈局圖案集合對應於製造第四導電結構集合。在一些實施例中,產生第四標準單元佈局設計包括產生在第一方向上延伸的第二切割特徵佈局圖案集合。在一些實施例中,第一導電結構集合包括奇數個導電結構,第二導電結構集合包括偶數個導電結構,第三導電結構集合包括奇數個導電結構,並且第四導電結構集合包括偶數個導電結構。在一些實施例中,第一導電結構集合包括奇數個導電結構,第二導電結構集合包括偶數個導電結構,第三導電結構集合包括偶數個導電結構,並且第四導電結構集合包括奇數個導電結構。在一些實施例中,第二 切割特徵佈局圖案集合包括第四切割特徵佈局圖案、在第二方向上以第三間距與第四切割特徵佈局圖案分離的第五切割特徵佈局圖案、以及在第二方向上以第四間距與第四切割特徵佈局圖案分離的第六切割特徵佈局圖案。在一些實施例中,第一間距等於第三間距,並且第二間距等於第四間距。在一些實施例中,第一間距、第二間距、第三間距、及第四間距中的每一個彼此相等。
此描述的另一態樣係關於一種用於設計積體電路的系統。在一些實施例中,系統包括用以儲存可執行指令的非暫時性電腦可讀取媒體、及耦接到非暫時性電腦可讀取媒體的處理器。在一些實施例中,處理器用以執行指令,此等指令用於產生在第一方向上延伸的第一路由軌跡集合及第二路由軌跡集合。在一些實施例中,第二路由軌跡集合在與第一方向不同的第二方向上與第一路由軌跡集合分離。在一些實施例中,處理器進一步用以執行指令,此等指令用於產生在第一方向上延伸並且位於第一金屬位準上的第一導電特徵佈局圖案集合。在一些實施例中,第一導電特徵佈局圖案集合對應於製造具有奇數個導電結構的第一導電結構集合。在一些實施例中,第一導電特徵佈局圖案集合的每個佈局圖案的側面在第一方向上與第一路由軌跡集合的對應路由軌跡對準。在一些實施例中,處理器進一步用以執行指令,此等指令用於產生在第一方向上延伸並且位於第一金屬位準上的第二導電特徵佈局圖案集合。在一些實施例中,第二導電特徵佈局圖案集合對應於製造具有偶數個導電結構 的第二導電結構集合。在一些實施例中,第二導電特徵佈局圖案集合的每個佈局圖案的側面在第一方向上與第二路由軌跡集合的對應路由軌跡對準。在一些實施例中,處理器進一步用以執行指令,此等指令用於產生在第二方向上延伸並且位於與第一金屬位準不同的第二金屬位準上的第三導電特徵佈局圖案集合。在一些實施例中,第三導電特徵佈局圖案集合對應於製造第三導電結構集合。在一些實施例中,處理器用以執行指令,此等指令用於產生在第一方向上延伸並且位於第一金屬位準上的第四導電特徵佈局圖案集合。在一些實施例中,第四導電特徵佈局圖案集合對應於製造第四導電結構集合。在一些實施例中,第一導電特徵佈局圖案集合的每個佈局圖案的側面在第一方向上與第四導電特徵佈局圖案集合的每個佈局圖案的對應側面對準。在一些實施例中,處理器用以執行指令,此等指令用於產生在第一方向上延伸並且位於第一金屬位準上的第五導電特徵佈局圖案集合。在一些實施例中,第五導電特徵佈局圖案集合對應於製造第五導電結構集合。在一些實施例中,第二導電特徵佈局圖案集合的每個佈局圖案的側面在第一方向上與第五導電特徵佈局圖案集合的每個佈局圖案的對應側面對準。在一些實施例中,處理器用以執行指令,此等指令用於產生在第一方向上延伸的第一切割特徵佈局圖案集合,第一切割特徵佈局圖案集合的第一切割特徵佈局圖案辨識積體電路的第三導電結構集合的第一導電結構的第一移除部分的第一位置。在一些實施例中,處理器用以執行指令,此等指令用於 產生在第一方向上延伸的第二切割特徵佈局圖案集合,並且第二切割特徵佈局圖案集合的第二切割特徵佈局圖案辨識積體電路的第三導電結構集合的第二導電結構的第二移除部分的第二位置。在一些實施例中,處理器用以執行指令,此等指令用於產生第一切割特徵佈局圖案集合,其中第一切割特徵佈局圖案集合的每個切割特徵佈局圖案的中心在第一方向上與第一路由軌跡集合的對應路由軌跡對準。在一些實施例中,處理器用以執行指令,此等指令用於產生第二切割特徵佈局圖案集合,其中第二切割特徵佈局圖案集合的每個切割特徵佈局圖案的中心在第一方向上與第二路由軌跡集合的對應路由軌跡對準。在一些實施例中,處理器用以執行指令,此等指令用於產生第一切割特徵佈局圖案集合,包括產生第一切割特徵佈局圖案集合,此第一切割特徵佈局圖案集合包括第一切割特徵佈局圖案、在第二方向上以第一間距與第一切割特徵佈局圖案分離的第三切割特徵佈局圖案、以及在第二方向上以第二間距與第一切割特徵佈局圖案分離的第四切割特徵佈局圖案。在一些實施例中,處理器用以執行指令,此等此等指令用於產生第二切割特徵佈局圖案集合,包括產生第二切割特徵佈局圖案集合,此第二切割特徵佈局圖案集合包括第二切割特徵佈局圖案、在第二方向上以第三間距與第二切割特徵佈局圖案分離的第五切割特徵佈局圖案、以及在第二方向上以第四間距與第二切割特徵佈局圖案分離的第六切割特徵佈局圖案。在一些實施例中,處理器用以執行指令,其中第一間距等於第三間距,並且第二 間距等於第四間距。在一些實施例中,處理器用以執行指令,其中第一間距、第二間距、第三間距、及第四間距的每一個彼此相等。
此描述的又一態樣係關於一種積體電路。在一些實施例中,積體電路包括第一區域、第二區域、第三區域、及第四區域。在一些實施例中,積體電路的第一區域包括在第一方向上延伸、位於第一金屬層上並且具有奇數個功能導電結構的第一導電結構集合。在一些實施例中,積體電路的第二區域包括在第一方向上延伸、位於第一金屬層上並且具有偶數個功能導電結構的第二導電結構集合。在一些實施例中,第二導電結構集合在與第一方向不同的第二方向上與第一導電結構集合分離。在一些實施例中,積體電路的第三區域包括在第一方向上延伸、位於第一金屬層上並且具有奇數個功能導電結構的第三導電結構集合。在一些實施例中,積體電路的第四區域包括第四導電結構集合。在一些實施例中,積體電路的第一區域進一步包括在第二方向上延伸、位於第一金屬層之下的第二金屬層上的第一導電結構。在一些實施例中,積體電路的第二區域進一步包括在第二方向上延伸、位於第二金屬層上、並且在第二方向上與第一導電結構分離的第二導電結構。在一些實施例中,積體電路的第三區域進一步包括在第二方向上延伸、並且位於第二金屬層上的第三導電結構。在一些實施例中,積體電路的第四區域進一步包括在第二方向上延伸、位於第二金屬層上、並且在第二方向上與第三導電結構分離的第四導電結構。在一些實施例 中,積體電路的第一區域在第二方向上具有第一高度。在一些實施例中,積體電路的第二區域在第二方向上具有第二高度。在一些實施例中,積體電路的第三區域在第二方向上具有第三高度。在一些實施例中,積體電路的第四區域具有第四高度及第二方向。在一些實施例中,第一高度、第二高度、第三高度及第四高度的每一者係相等的。
上文概述若干實施例的特徵,使得熟習此項技術者可更好地理解本揭示的態樣。熟習此項技術者應瞭解,可輕易使用本揭示作為設計或修改其他製程及結構的基礎,以便實施本文所介紹的實施例的相同目的及/或實現相同優點。熟習此項技術者亦應認識到,此類等效構造並未脫離本揭示的精神及範疇,且可在不脫離本揭示的精神及範疇的情況下進行本文的各種變化、取代及更改。
800:方法
802:操作
804:操作
806:操作
808:操作
810:操作
812:操作
814:操作
816:操作

Claims (20)

  1. 一種形成一積體電路(IC)的方法,該方法包含:
    藉由一處理器產生該積體電路的一第一標準單元佈局設計,其中該產生該第一標準單元佈局設計的步驟包含:
    產生在一第一方向上延伸、位於一第一金屬位準上並且與在該第一方向上延伸的一第一柵格線集合重疊的一第一導電特徵佈局圖案集合;
    產生該積體電路的一第二標準單元佈局設計,該第二標準單元佈局設計在該第一方向上抵靠該第一標準單元佈局設計,其中該產生該第二標準單元佈局設計的步驟包含:
    產生在該第一方向上延伸、位於該第一金屬位準上並且重疊在該第一方向上延伸的一第二柵格線集合的一第二導電特徵佈局圖案集合,並且該第二柵格線集合在與該第一方向上不同的一第二方向上與該第一柵格線集合分離;
    產生在該第一方向上延伸的一第一切割特徵佈局圖案集合,在該第一方向上延伸的該第一切割特徵佈局圖案集合的一第一切割特徵佈局圖案的一側面與該第一柵格線集合或該第二柵格線集合的一第一柵格線對準;以及
    基於至少該第一標準單元佈局設計或該第二標準單元佈局設計來製造該積體電路。
  2. 如請求項1所述之方法,其中
    該第一導電特徵佈局圖案集合的每個導電特徵佈局圖案的一中心與該第一柵格線集合的一對應柵格線對準;以及
    該第二導電特徵佈局圖案集合的每個導電特徵佈局圖案的一中心與該第二柵格線集合的一對應柵格線對準。
  3. 如請求項1所述之方法,其中
    該第一切割特徵佈局圖案集合包含:
    該第一切割特徵佈局圖案;
    一第二切割特徵佈局圖案,在該第二方向上以一第一間距與該第一切割特徵佈局圖案分離;以及
    一第三切割特徵佈局圖案,在該第二方向上以一第二間距與該第一切割特徵佈局圖案分離。
  4. 如請求項3所述之方法,其中
    產生該第一標準單元佈局設計的步驟進一步包含:
    產生在該第二方向上延伸的一第一導電特徵佈局圖案,該第一導電特徵佈局圖案位於該第一金屬位準之下的一第二金屬位準上,該第一導電特徵佈局圖案對應於製造一第一導電結構,該第一導電特徵佈局圖案在該第一切割特徵佈局圖案與該第二切割特徵佈局圖案之間定位;以及
    產生該第二標準單元佈局設計的步驟進一步包含:
    產生在該第二方向上延伸的一第二導電特徵佈局圖案,該第二導電特徵佈局圖案位於該第二金屬位準 上,該第二導電特徵佈局圖案對應於製造一第二導電結構,該第二導電特徵佈局圖案在該第一切割特徵佈局圖案與該第三切割特徵佈局圖案之間定位,並且該第一切割特徵佈局圖案對應於識別一移除部分的該第一導電結構或該第二導電結構的一位置。
  5. 如請求項3所述之方法,進一步包含:
    產生該積體電路的一第三標準單元佈局設計,該第三標準單元佈局設計在該第二方向上抵靠該第一標準單元佈局設計,其中該產生該第三標準單元佈局設計的步驟包含:
    產生在該第一方向上延伸、位於該第一金屬位準上並且重疊該第一柵格線集合的一第三導電特徵佈局圖案集合;
    產生該積體電路的一第四標準單元佈局設計,該第四標準單元佈局設計在該第一方向上抵靠該第三標準單元佈局設計,並且在該第二方向上抵靠該第二標準單元佈局設計,其中該產生該第四標準單元佈局設計的步驟包含:
    產生在該第一方向上延伸、位於該第一金屬位準上並且重疊該第二柵格線集合的一第四導電特徵佈局圖案集合;以及
    產生在該第一方向上延伸的一第二切割特徵佈局圖案集合。
  6. 如請求項5所述之方法,其中
    該第一導電特徵佈局圖案集合對應於製造一第一導電結構集合,該第一導電結構集合包括一奇數個導電結構;
    該第二導電特徵佈局圖案集合對應於製造一第二導電結構集合,該第二導電結構集合包括一偶數個導電結構;
    該第三導電特徵佈局圖案集合對應於製造一第三導電結構集合,該第三導電結構集合包括該奇數個導電結構;以及
    該第四導電特徵佈局圖案集合對應於製造一第四導電結構集合,該第四導電結構集合包括該偶數個導電結構。
  7. 如請求項5所述之方法,其中
    該第一導電特徵佈局圖案集合對應於製造一第一導電結構集合,該第一導電結構集合包括一奇數個導電結構;
    該第二導電特徵佈局圖案集合對應於製造一第二導電結構集合,該第二導電結構集合包括一偶數個導電結構;
    該第三導電特徵佈局圖案集合對應於製造一第三導電結構集合,該第三導電結構集合包括該偶數個導電結構以及
    該第四第二導電特徵佈局圖案集合對應於製造一第四導電結構集合,該第四導電結構集合包括該奇數個導電結構。
  8. 如請求項5所述之方法,其中該第二切割特徵佈局圖案集合包含:
    一第四切割特徵佈局圖案;
    一第五切割特徵佈局圖案,在該第二方向上以一第三間距與該第四切割特徵佈局圖案分離;以及
    一第六切割特徵佈局圖案,在該第二方向上以一第四間距與該第四切割特徵佈局圖案分離。
  9. 如請求項8所述之方法,其中
    該第一間距等於該第三間距,並且
    該第二間距等於該第四間距。
  10. 如請求項8所述之方法,其中該第一間距、該第二間距、該第三間距、及該第四間距的每一者彼此相等。
  11. 一種用於設計一積體電路的系統,該系統包含:
    一非暫時性電腦可讀取媒體,用於儲存可執行複數個指令;以及
    一處理器,耦接到該非暫時性電腦可讀取媒體,其中該處理器用以執行該些指令,用於以下操作:
    產生在一第一方向上延伸的一第一路由軌跡集合及一第二路由軌跡集合,該第二路由軌跡集合在與該第一方向不同的一第二方向上與該第一路由軌跡集合分離;
    產生在該第一方向上延伸並且位於一第一金屬位準上的一第一導電特徵佈局圖案集合,該第一導電特 徵佈局圖案集合的每個佈局圖案的一側面在該第一方向上與該第一路由軌跡集合的一對應路由軌跡對準;
    產生在該第一方向上延伸並且位於該第一金屬位準上的一第二導電特徵佈局圖案集合,該第二導電特徵佈局圖案集合的每個佈局圖案的一側面在該第一方向上與該第二路由軌跡集合的一對應路由軌跡對準;以及
    產生在該第二方向上延伸的一第三導電特徵佈局圖案集合,該第三導電特徵佈局圖案集合位於與該第一金屬位準不同的一第二金屬位準上。
  12. 如請求項11所述之系統,其中該處理器進一步用以執行該些指令,用於:
    產生在該第一方向上延伸並且位於該第一金屬位準上的一第四導電特徵佈局圖案集合,該第一導電特徵佈局圖案集合的每個佈局圖案的該側面在該第一方向上與該第四導電特徵佈局圖案集合的每個佈局圖案的一對應側面對準;以及
    產生在該第一方向上延伸並且位於該第一金屬位準上的一第五導電特徵佈局圖案集合,該第二導電特徵佈局圖案集合的每個佈局圖案的該側面在該第一方向上與該第五導電特徵佈局圖案集合的每個佈局圖案的一對應側面對準。
  13. 如請求項12所述之系統,其中
    該處理器用以執行該些指令,用於:
    該第一導電特徵佈局圖案集合對應於製造具有一奇數個導電結構的一第一導電結構集合,
    該第二導電特徵佈局圖案集合對應於製造具有一偶數個導電結構的一第二導電結構集合,
    該第三導電特徵佈局圖案集合對應於製造一第三導電結構集合,
    該第四導電特徵佈局圖案集合對應於製造一第四導電結構集合,並且
    該第五導電特徵佈局圖案集合對應於製造一第五導電結構集合;
    並且該處理器進一步用以執行該些指令,用於:
    產生在該第一方向上延伸的一第一切割特徵佈局圖案集合,該第一切割特徵佈局圖案集合的一第一切割特徵佈局圖案識別該積體電路的該第三導電結構集合的一第一導電結構的一第一移除部分的一第一位置;以及
    產生在該第一方向上延伸的一第二切割特徵佈局圖案集合,該第二切割特徵佈局圖案集合的一第二切割特徵佈局圖案識別該積體電路的該第三導電結構集合的一第二導電結構的一第二移除部分的一第二位置。
  14. 如請求項13所述之系統,其中該處理器用以執行該些指令,用於以下操作:
    產生該第一切割特徵佈局圖案集合,該第一切割特徵佈局圖案集合的每個切割特徵佈局圖案的一中心在該第一方向上與該第一路由軌跡集合的一對應路由軌跡對準;以及
    產生該第二切割特徵佈局圖案集合,該第二切割特徵佈局圖案集合的每個切割特徵佈局圖案的一中心在該第一方向上與該第二路由軌跡集合的一對應路由軌跡對準。
  15. 如請求項13所述之系統,其中該處理器用以執行該些指令,用於:
    產生該第一切割特徵佈局圖案集合包含產生該第一切割特徵佈局圖案集合,該第一切割特徵佈局圖案集合包含:
    該第一切割特徵佈局圖案;
    一第三切割特徵佈局圖案,在該第二方向上以一第一間距與該第一切割特徵佈局圖案分離;以及
    一第四切割特徵佈局圖案,在該第二方向上以一第二間距與該第一切割特徵佈局圖案分離;以及
    產生該第二切割特徵佈局圖案集合包含產生該第二切割特徵佈局圖案集合,該第二切割特徵佈局圖案集合包含:
    該第二切割特徵佈局圖案;
    一第五切割特徵佈局圖案,在該第二方向上以一第三間距與該第二切割特徵佈局圖案分離;以及
    一第六切割特徵佈局圖案,在該第二方向上以一第四間距與該第二切割特徵佈局圖案分離。
  16. 如請求項15所述之系統,其中該處理器用以執行該些指令,其中
    該第一間距等於該第三間距,並且
    該第二間距等於該第四間距。
  17. 如請求項15所述之系統,其中該處理器用以執行該些指令,其中
    該第一間距、該第二間距、該第三間距、及該第四間距的每一者彼此相等。
  18. 一種積體電路,包含:
    該積體電路的一第一區域,該第一區域包含:
    一第一導電結構集合,在一第一方向上延伸、位於一第一金屬層上並且具有一奇數個功能導電結構;
    該積體電路的一第二區域,該第二區域包含:
    一第二導電結構集合,在該第一方向上延伸、位於該第一金屬層上並且具有一偶數個功能導電結構,該第二導電結構集合在與該第一方向不同的一第二方向上與該第一導電結構集合分離;
    該積體電路的一第三區域,該第三區域包含:
    一第三導電結構集合,在該第一方向上延伸、位於該第一金屬層上並且具有該奇數個功能導電結構;
    該積體電路的一第四區域,該第四區域包含:
    一第四導電結構集合,在該第一方向上延伸、位於該第一金屬層上並且具有該偶數個功能導電結構,該第四導電結構集合在該第二方向上與該第三導電結構集合分離。
  19. 如請求項18所述之積體電路,其中
    該積體電路的該第一區域進一步包含:
    一第一導電結構,在該第二方向上延伸、位於該第一金屬層之下的一第二金屬層上;
    該積體電路的該第二區域進一步包含:
    一第二導電結構,在該第二方向上延伸、位於該第二金屬層上、並且在該第二方向上與該第一導電結構分離;
    該積體電路的該第三區域進一步包含:
    一第三導電結構,在該第二方向上延伸、並且位於該第二金屬層上;以及
    該積體電路的該第四區域進一步包含:
    一第四導電結構,在該第二方向上延伸、位於該第二金屬層上、並且在該第二方向上與該第三導電結構分離。
  20. 如請求項18所述之積體電路,其中
    該積體電路的該第一區域在該第二方向上具有一第一高度,
    該積體電路的該第二區域在該第二方向上具有一第二高度,
    該積體電路的該第三區域在該第二方向上具有一第三高度,
    該積體電路的該第四區域具有一第四高度及該第二方向,並且
    該第一高度、該第二高度、該第三高度及該第四高度的每一者係相等的。
TW108135321A 2018-09-28 2019-09-27 積體電路及其形成方法以及用於設計積體電路的系統 TWI727441B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862738776P 2018-09-28 2018-09-28
US62/738,776 2018-09-28
US16/579,138 2019-09-23
US16/579,138 US11188703B2 (en) 2018-09-28 2019-09-23 Integrated circuit, system, and method of forming the same

Publications (2)

Publication Number Publication Date
TW202027228A true TW202027228A (zh) 2020-07-16
TWI727441B TWI727441B (zh) 2021-05-11

Family

ID=69946844

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108135321A TWI727441B (zh) 2018-09-28 2019-09-27 積體電路及其形成方法以及用於設計積體電路的系統

Country Status (4)

Country Link
US (1) US11188703B2 (zh)
KR (1) KR102320068B1 (zh)
CN (1) CN110993599B (zh)
TW (1) TWI727441B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10997348B2 (en) * 2018-09-28 2021-05-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal cut region location method and system
US11714945B2 (en) 2020-04-09 2023-08-01 Tokyo Electron Limited Method for automated standard cell design
US11550985B2 (en) 2020-04-09 2023-01-10 Tokyo Electron Limited Method for automated standard cell design
JP1695971S (zh) * 2020-08-10 2021-09-27
JP1695972S (zh) * 2020-08-10 2021-09-27
KR20220022498A (ko) 2020-08-18 2022-02-28 삼성전자주식회사 반도체 장치
KR20220058783A (ko) 2020-10-30 2022-05-10 삼성전자주식회사 반도체 장치
US20220216163A1 (en) * 2021-01-07 2022-07-07 Changxin Memory Technologies, Inc. Method for manufacturing semiconductor mark, and semiconductor mark
CN113177385B (zh) * 2021-04-20 2024-02-02 深圳市一博科技股份有限公司 一种自动生成MeshLine的PCB设计方法
US12001772B2 (en) * 2021-09-24 2024-06-04 International Business Machines Corporation Ultra-short-height standard cell architecture

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6885043B2 (en) 2002-01-18 2005-04-26 Lightspeed Semiconductor Corporation ASIC routing architecture
US6892370B2 (en) 2003-04-02 2005-05-10 Silicon Design Systems Ltd. Computerized standard cell library for designing integrated circuits (ICs) with high metal layer intra cell signal wiring, and ICs including same
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US7956421B2 (en) * 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US9009641B2 (en) * 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8667443B2 (en) * 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
KR101395060B1 (ko) * 2007-09-18 2014-05-15 삼성전자주식회사 라인 패턴들을 포함하는 반도체 소자
JP2010117851A (ja) * 2008-11-12 2010-05-27 Nec Electronics Corp レイアウト検証装置、レイアウト装置、レイアウト検証方法、レイアウト検証プログラム、及び配線形成方法
US9324866B2 (en) * 2012-01-23 2016-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for transistor with line end extension
US8769446B2 (en) * 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8365108B2 (en) * 2011-01-06 2013-01-29 International Business Machines Corporation Generating cut mask for double-patterning process
US8513978B2 (en) * 2011-03-30 2013-08-20 Synopsys, Inc. Power routing in standard cell designs
US8621406B2 (en) * 2011-04-29 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
JP5708330B2 (ja) * 2011-07-15 2015-04-30 富士通セミコンダクター株式会社 配線パターンデータの生成方法
US20150048425A1 (en) * 2011-10-07 2015-02-19 Baysand Inc. Gate array architecture with multiple programmable regions
US8788984B2 (en) * 2011-10-07 2014-07-22 Baysand Inc. Gate array architecture with multiple programmable regions
KR101937851B1 (ko) * 2012-06-27 2019-04-10 삼성전자 주식회사 반도체 집적 회로, 그 설계 방법 및 제조방법
DE102013106383B4 (de) 2012-06-27 2022-10-20 Samsung Electronics Co. Ltd. Integrierte Halbleiterschaltung, Verfahren zum Entwerfen derselben und Verfahren zum Herstellen derselben
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US9213793B1 (en) * 2012-08-31 2015-12-15 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing electronic designs using flexible routing tracks
US8788998B2 (en) * 2012-12-21 2014-07-22 Broadcom Corporation Non-integer height standard cell library
US8975712B2 (en) * 2013-05-14 2015-03-10 Globalfoundries Inc. Densely packed standard cells for integrated circuit products, and methods of making same
US9147028B2 (en) * 2013-05-24 2015-09-29 Globalfoundries Inc. Forming modified cell architecture for finFET technology and resulting device
US8918746B1 (en) * 2013-09-04 2014-12-23 Globalfoundries Inc. Cut mask aware contact enclosure rule for grating and cut patterning solution
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US9465906B2 (en) 2014-04-01 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integrated circuit manufacturing
US9582629B2 (en) * 2014-04-04 2017-02-28 Globalfoundries Inc. Methods of generating circuit layouts using self-alligned double patterning (SADP) techniques
US9330221B2 (en) * 2014-05-23 2016-05-03 Globalfoundries Inc. Mask-aware routing and resulting device
US9767243B2 (en) 2014-05-27 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. System and method of layout design for integrated circuits
US9477804B2 (en) * 2015-01-20 2016-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit design method
US9690896B2 (en) * 2015-04-09 2017-06-27 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device and semiconductor device manufactured by the same
US9727685B2 (en) * 2015-05-14 2017-08-08 Globalfoundries Inc. Method, apparatus, and system for improved standard cell design and routing for improving standard cell routability
US9798852B2 (en) * 2015-06-29 2017-10-24 Globalfoundries Inc. Methods of design rule checking of circuit designs
US9529954B1 (en) * 2016-03-11 2016-12-27 Pdf Solutions, Inc. Standard cell library with DFM-optimized M0 cuts
US20170300608A1 (en) * 2016-04-19 2017-10-19 Globalfoundries Inc. Method, system and program product for sadp-friendly interconnect structure track generation
US10262981B2 (en) * 2016-04-29 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system for and method of forming an integrated circuit
US20170358565A1 (en) * 2016-06-09 2017-12-14 Globalfoundries Inc. Standard cell layout and method of arranging a plurality of standard cells
US10163883B2 (en) * 2016-06-15 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Layout method for integrated circuit and layout of the integrated circuit
US10402529B2 (en) * 2016-11-18 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and layout of an integrated circuit
US11251124B2 (en) 2016-11-29 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Power grid structures and method of forming the same
US10854499B2 (en) * 2016-11-29 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system for and method of forming an integrated circuit
US10339250B2 (en) * 2016-11-29 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating engineering change order (ECO) layout of base cell and computer-readable medium comprising executable instructions for carrying out said method
US10331838B2 (en) * 2016-12-12 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with fill cells
CN106601732B (zh) * 2016-12-21 2022-07-12 台湾积体电路制造股份有限公司 元件格布局结构与形成元件格的方法
US10192021B1 (en) * 2017-02-21 2019-01-29 Cadence Design Systems, Inc. Generating and inserting metal and metal etch shapes in a layout to correct design rule errors
US10229239B2 (en) * 2017-04-03 2019-03-12 International Business Machines Corporation Capacity model for global routing
US10489548B2 (en) * 2017-05-26 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method for manufacturing the same
US10803227B2 (en) * 2017-08-29 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit layouts with line-end extensions
KR102458359B1 (ko) * 2018-01-31 2022-10-25 삼성전자주식회사 적응적 메탈 필을 이용한 레이아웃 설계 방법 및 이에 기반하여 제조되는 반도체 장치

Also Published As

Publication number Publication date
CN110993599A (zh) 2020-04-10
US20200104451A1 (en) 2020-04-02
KR102320068B1 (ko) 2021-11-03
CN110993599B (zh) 2023-05-02
KR20200037116A (ko) 2020-04-08
TWI727441B (zh) 2021-05-11
US11188703B2 (en) 2021-11-30

Similar Documents

Publication Publication Date Title
TWI727441B (zh) 積體電路及其形成方法以及用於設計積體電路的系統
US11133254B2 (en) Hybrid power rail structure
US10503863B2 (en) Integrated circuit and method of manufacturing same
KR102150518B1 (ko) 집적 회로 및 그 제조 방법
US20200104462A1 (en) Semiconductor device with cell region, method of generating layout diagram and system for same
TW202013067A (zh) 產生積體電路單元佈局圖之方法
US20210240902A1 (en) Integrated circuit and method of forming the same
US20210384127A1 (en) Advanced node interconnect routing methodology
TW202032262A (zh) 製造半導體元件之方法及用於製造半導體元件之系統
TW202011550A (zh) 半導體裝置製造方法
US11704464B2 (en) Integrated circuit including misaligned isolation portions
US20230253328A1 (en) Method of making a semiconductor device with v2v rail
TW202026919A (zh) 積體電路佈局圖產生系統及其產生方法
US20230008866A1 (en) Semiconductor device and method of making
US11587937B2 (en) Method of forming semiconductor device including trimmed-gates
US20230297754A1 (en) Integrated circuit and method of forming same
CN113594159A (zh) 集成电路及其形成方法