CN110993599B - 集成电路及其形成方法和用于设计集成电路的系统 - Google Patents

集成电路及其形成方法和用于设计集成电路的系统 Download PDF

Info

Publication number
CN110993599B
CN110993599B CN201910931843.6A CN201910931843A CN110993599B CN 110993599 B CN110993599 B CN 110993599B CN 201910931843 A CN201910931843 A CN 201910931843A CN 110993599 B CN110993599 B CN 110993599B
Authority
CN
China
Prior art keywords
conductive
layout
patterns
layout patterns
layout pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201910931843.6A
Other languages
English (en)
Other versions
CN110993599A (zh
Inventor
黄湘琪
庄惠中
杨荣展
王柏钧
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110993599A publication Critical patent/CN110993599A/zh
Application granted granted Critical
Publication of CN110993599B publication Critical patent/CN110993599B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11868Macro-architecture
    • H01L2027/11874Layout specification, i.e. inner core region
    • H01L2027/11875Wiring region, routing

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

形成集成电路的方法包括:生成第一和第二标准单元布局设计,生成在第一方向上延伸的第一组切割部件布局图案,以及基于第一或第二标准单元布局设计来制造集成电路。生成第一标准单元布局设计包括生成在第一方向上延伸,并且与在第一方向上延伸的第一组栅格线重叠的第一组导电部件布局图案。生成第二标准单元布局设计包括生成在第一方向上延伸并且与在第一方向上延伸的第二组栅格线重叠的第二组导电部件布局图案。在第一方向上延伸的第一切割部件布局图案的侧与第一或第二组栅格线的第一栅格线对准。本发明的实施例还涉及集成电路和用于设计集成电路的系统。

Description

集成电路及其形成方法和用于设计集成电路的系统
技术领域
本发明的实施例涉及集成电路及其形成方法和用于设计集成电路的系统。
背景技术
半导体集成电路(IC)工业已经产生了多种数字器件,以解决许多不同领域中的问题。这些数字器件中的一些(诸如存储器宏)被配置为用于存储数据。随着IC变得越来越小且越来越复杂,这些数字器件内的导线的电阻也发生了变化,从而影响了这些数字器件的工作电压和整体IC性能。
发明内容
本发明的实施例涉及一种形成集成电路(IC)的方法,所述方法包括:由处理器生成所述集成电路的第一标准单元布局设计,其中,生成所述集成电路的所述第一标准单元布局设计包括:生成第一组导电部件布局图案,所述第一组导电部件布局图案在第一方向上延伸、位于所述第一金属层级上并且与在所述第一方向上延伸的第一组栅格线重叠;生成所述集成电路的第二标准单元布局设计,所述第二标准单元布局设计在所述第一方向上邻接所述第一标准单元布局设计,其中,生成所述第二标准单元布局设计包括:生成第二组导电部件布局图案,所述第二组导电部件布局图案所述第一方向上延伸、位于所述第一金属层级上并且与在所述第一方向上延伸的第二组栅格线重叠,并且所述第二组栅格线在与所述第一方向不同的第二方向上与所述第一组栅格线分隔开;生成在所述第一方向上延伸的第一组切割部件布局图案,在所述第一方向上延伸的所述第一组切割部件布局图案中的第一切割部件布局图案的侧与所述第一组栅格线或所述第二组栅格线中的第一栅格线对准;以及基于所述第一标准单元布局设计或所述第二标准单元布局设计来制造所述集成电路。
本发明的另一实施例涉及一种用于设计集成电路的系统,所述系统包括:非暂时性计算机可读介质,被配置为存储可执行指令;以及处理器,耦合至所述非暂时性计算机可读介质,其中,所述处理器被配置为执行以下指令:生成在第一方向上延伸的第一组布线轨道和第二组布线轨道,所述第二组布线轨道在不同于所述第一方向的第二方向上与所述第一组布线轨道分隔开;生成在所述第一方向上延伸并位于所述第一金属层级上的第一组导电部件布局图案,所述第一组导电部件布局图案的每个布局图案的侧在所述第一方向上与第一组布线轨道中的布线轨道对准;生成在所述第一方向上延伸并位于所述第一金属层级上的第二组导电部件布局图案,所述第二组导电部件布局图案的每个布局图案的侧在所述第一方向上与所述第二组布线轨道中的相应布线轨道对准;以及生成在所述第二方向上延伸的第三组导电部件布局图案,所述第三组导电部件布局图案位于与所述第一金属层级不同的第二金属层级上。
本发明的又一实施例涉及一种集成电路,包括:所述集成电路的第一区域,包括:第一组导电结构,在第一方向上延伸、位于第一金属层上并且具有奇数个功能性导电结构;所述集成电路的第二区域,包括:第二组导电结构,在所述第一方向上延伸、位于所述第一金属层上并且具有偶数个功能性导电结构,所述第二组导电结构在不同于所述第一方向的第二方向上与所述第一组导电结构分隔开;所述集成电路的第三区域,包括:第三组导电结构,在所述第一方向上延伸、位于所述第一金属层上并且具有奇数个功能性导电结构;以及所述集成电路的第四区域,包括:第四组导电结构,在所述第一方向上延伸、位于所述第一金属层上并且具有偶数个功能性导电结构,所述第四组导电结构在所述第二方向上与所述第三组导电结构分隔开。
附图说明
当结合附图进行阅读时,从以下详细描述可以最佳理解本发明的各个方面。应该指出,根据工业中的标准实践,各个部件未按比例绘制并且仅用于说明的目的。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1A至图1B是根据一些实施例的布局设计的图。
图1C是根据一些实施例的集成电路的俯视图的图。
图2A是根据一些实施例的集成电路的布局设计的图。
图2B是根据一些实施例的集成电路的俯视图的图。
图3A是根据一些实施例的集成电路的布局设计的图。
图3B是根据一些实施例的集成电路的俯视图的图。
图4A是根据一些实施例的集成电路的布局设计的图。
图4B是根据一些实施例的集成电路的俯视图的图。
图5A是根据一些实施例的集成电路的布局设计的图。
图5B是根据一些实施例的集成电路的俯视图的图。
图6A是根据一些实施例的集成电路的布局设计的图。
图6B是根据一些实施例的集成电路的俯视图的图。
图7是根据一些实施例的形成或制造集成电路的方法的流程图。
图8是根据一些实施例的生成集成电路的布局设计的方法的流程图。
图9是根据一些实施例的用于设计和制造IC布局设计的系统的示意图。
图10是根据本发明的至少一个实施例的IC制造系统以及与其相关的IC制造流程的框图。
具体实施方式
以下公开内容提供了许多用于实现所提供主题的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实施例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在...之下”、“在...下方”、“下部”、“在...之上”、“上部”等空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)原件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其它方式定向(旋转90度或在其它方位上),而本文使用的空间相对描述符可以同样地作出相应的解释。
根据一些实施例,形成IC的方法包括:生成IC的第一标准单元布局设计,生成IC的第二标准单元布局设计,生成第一组切割部件布局图案,以及基于至少第一标准单元布局设计或第二标准单元布局设计制造IC电路。
在一些实施例中,第二标准单元布局设计在第一方向上邻接第一标准单元布局设计。
在一些实施例中,生成第一标准单元布局设计包括生成在第一方向上延伸的第一组导电部件布局图案,其位于第一金属层级上并且与在第一方向上延伸的第一组栅格线重叠。在一些实施例中,第一组导电部件布局图案对应于制造第一组导电结构。
在一些实施例中,生成第二标准单元布局设计包括生成在第一方向上延伸的第二组导电部件布局图案,其位于第一金属层级上并且与在第一方向上延伸的第二组栅格线重叠。在一些实施例中,第二组栅格线在不同于第一方向的第二方向上与第一组栅格线分隔开。在一些实施例中,第二组导电部件布局图案对应于制造第二组导电结构。
在一些实施例中,在第一方向上延伸的第一组切割部件布局图案的第一切割部件布局图案的侧与第一组栅格线或第二组栅格线的第一栅格线对准。在一些实施例中,通过将第一组切割部件布局图案的第一切割部件布局图案的侧定位成与第一组栅格线或第二组栅格线中的相应栅格线对准,第一切割部件布局图案与第一或第二组导电部件布局图案的导电部件布局图案充分分隔开,以不违反定位点设计规则,并且第一导电部件布局图案可用于制造相应的导电结构。在一些实施例中,第一导电部件布局图案是可以用作第一或第二标准单元布局图案中的附加金属布线轨道布局图案的附加导电部件布局图案,从而使得比其它方法更有效地利用附加布线资源。
集成电路的布局设计
图1A至图1B是根据一些实施例的布局设计100A的图。布局设计100A是图1C的集成电路100C的布局图。布局设计100A可用于制造集成电路,诸如图1C的集成电路100C。在一些实施例中,图1A至图1B包括图1A至图1B中未示出的附加元件。
图1B是图1A的布局设计100A的相应部分100B的图。为了便于说明,简化了图1B。部分100B包括图1A的从布局设计100A的切割金属一(M1)层级到金属二(M2)层级的布局设计100A的一个或多个部件。换句话说,在一些实施例中,为了便于说明,部分100B未示出布局设计100A的金属一(M1)层级。
在一些实施例中,为了便于说明,部分100B还包括图1A的布局设计100A、布局设计200A(图2A)、布局设计300A(图3A)、布局设计400A(图4A)、布局设计500A(图5A)、布局设计600A(图6A)中未标记的图1A的布局设计100A以及布局设计200A(图2A)、布局设计300A(图3A)、布局设计400A(图4A)、布局设计500A(图5A)、布局设计600A(图6A)的一个或多个标记的尺寸特征(例如宽度,间距等)。然而,应当理解,图1A的布局设计100A、布局设计200A(图2A)、布局设计300A(图3A)、布局设计400A(图4A)、布局设计500A(图5A)或布局设计600A(图6A)中的每一个均还可以包括图1B的部分1B的一个或多个标记的尺寸特征(例如,宽度、间距等),并且因此为简洁起见,省略了类似的详细描述。
布局设计100A包括标准单元布局图案106a、106b、108a和108b。标准单元布局图案106a、106b、108a和108b可用于制造图1C的集成电路100C的相应标准单元106a’、106b’、108a’和108b’。
标准单元布局图案106a、108a在沿着单元边界101a的第一方向X上邻接相应的标准单元布局图案106b、108b。标准单元布局图案106a、106b在沿着单元边界101d的第二方向Y上邻接相应的标准单元布局图案108a、108b。在一些实施例中,第二方向Y与第一方向X不同。在一些实施例中,每个标准单元布局图案106a、106b、108a和108b在第二方向Y上具有相同的相应高度(未标记)。
在一些实施例中,标准单元布局图案106a、106b、108a或108b中的一个或多个是逻辑门单元的布局设计。在一些实施例中,逻辑门单元包括AND、OR、NAND、NOR、XOR、INV、AND-OR-反相(AOI)、OR-AND-反相(OAI)、MUX、触发器、BUFF、锁存器、延迟或时钟单元。在一些实施例中,标准单元布局图案106a、106b、108a或108b中的一个或多个是存储单元的布局设计。在一些实施例中,存储单元包括静态随机存取存储器(SRAM)、动态RAM(DRAM)、电阻式RAM(RRAM)、磁阻式RAM(MRAM)或只读存储器(ROM)。在一些实施例中,标准单元布局图案106a、106b、108a或108b中的一个或多个包括一个或多个有源或无源元件的布局设计。有源元件的实例包括但不限于晶体管和二极管。晶体管的实例包括但不限于金属氧化物半导体场效应晶体管(MOSFET)、互补金属氧化物半导体(CMOS)晶体管、双极结型晶体管(BJT)、高压晶体管、高频晶体管、p沟道和/或或n沟道场效应晶体管(PFET/NFET)等),FinFET和源极/漏极电压升高的平面MOS晶体管。无源元件的示例包括但不限于电容器、电感器、熔丝和电阻器。
在一些实施例中,标准单元布局图案106a至少包括切割部件布局图案110a、导电部件布局图案组120或导电部件布局图案130a和130b(下面描述)。
在一些实施例中,标准单元布局图案106b至少包括切割部件布局图案110b和110c、导电部件布局图案组122或导电部件布局图案132a和132b(下面描述)。
在一些实施例中,标准单元布局图案108a至少包括切割部件布局图案112a、导电部件布局图案组124或导电部件布局图案134a和134b(下面描述)。
在一些实施例中,标准单元布局图案108b至少包括切割部件布局图案112b和112c、导电部件布局图案组126或导电部件布局图案136a和136b(下面描述)。
布局设计100A进一步包括栅格线组102、栅格线组104、导电部件布局图案组120、导电部件布局图案组122、导电部件布局图案组124、导电部件布局图案组126、导电部件布局图案组130、导电部件布局图案组132、导电部件布局图案组134和导电部件布局图案组136。
栅格线组102和栅格线组104中的每一个都在第一方向X上延伸。栅格线组102至少包括栅格线102a、栅格线102b、栅格线102c、栅格线102d、栅格线102e或栅格线102f。栅格线组102中的每个栅格线在第二方向Y上与栅格线组102中的相邻栅格线分隔开间距P1。
在一些实施例中,该栅格线组102中的每个栅格线102a、102b、102c、102d、102e、102f限定了其中定位导电部件布局图案组120的相应导电部件布局图案120a、120b、120c、120d、120e、120f或导电部件布局图案组124的相应导电部件布局图案124a、124b、124c、124d、124e、124f的区域。在一些实施例中,栅格线102a与标准单元布局106a和108a的单元边界101b对准。
栅格线组104至少包括栅格线104a、栅格线104b、栅格线104c、栅格线104d、栅格线104e或栅格线104f。栅格线组104中的每个栅格线在第二方向Y上与栅格线组104中的相邻栅格线分隔开间距P1。栅格线组102在第二方向Y上与栅格线组104分隔开。在一些实施例中,栅格线102f在第二方向Y上与栅格线104a分隔开间距P1。在一些实施例中,栅格线104f与标准单元布局106b和108b的单元边界101c对准。
在一些实施例中,栅格线组102也被称为第一布线轨道组。在一些实施例中,栅格线组102或第一布线轨道组对应于金属2(M2)布线轨道。
在一些实施例中,栅格线组104中的每个栅格线104a、104b、104c、104d、104e、104f限定了其中定位导电部件布局图案组122的相应导电部件布局图案122a、122b、122c、122d、122e、122f或导电部件布局图案组126中的相应导电部件布局图案126a、126b、126c、126d、126e、126f的区域。在一些实施例中,栅格线组104也被称为第二布线轨道组。在一些实施例中,栅格线组104或第二布线轨道组对应于M2布线轨道。
导电部件布局图案组120在第一方向X上延伸。该导电部件布局图案组120至少包括导电部件布局图案120a、120b、120c、120d、120e或120f。该导电部件布局图案组120位于第一布局层级上。在一些实施例中,第一布局层级是金属二(M2)布局层级。
该导电部件布局图案组120可用于制造集成电路100C的相应导电结构组120’(图1C)。导电部件布局图案120a、120b、120c、120d、120e、120f可用于制造相应的导电结构120a’、120b’、120c’、120d’、120e’、120f’(图1C)。
导电部件布局图案组120与导电部件布局图案组130(下面描述)重叠。在一些实施例中,该导电部件布局图案组120与布局设计100A的其它布局层级(例如,有源、MD、M0、M1等)的其它下面的布局图案(未示出)重叠。在一些实施例中,该导电部件布局图案组120的每个布局图案120a、120b、120c、120d、120e、120f在第二方向Y上具有宽度W1。
在一些实施例中,导电部件布局图案组120的每个布局图案120a、120b、120c、120d、120e、120f与栅格线组102的相应栅格线102a、102b、102c、102d、102e、102f重叠。在一些实施例中,导电部件布局图案组120的每个布局图案120a、120b、120c、120d、120e、120f的中心在第一方向X上与栅格线组102的相应栅格线102a、102b、102c、102d、102e,102f对准。
在一些实施例中,该导电部件布局图案组120的布局图案120b、120c、120d、120e和120f对应于标准单元布局106a中的5个M2布线轨道。导电部件布局图案120a位于标准单元布局图案106a的单元边界101b之上。
导电部件布局图案组120中的图案的其它配置或数量在本公开的范围内。
该导电部件布局图案组122在第一方向X上延伸。该导电部件布局图案组122至少包括导电部件布局图案122a、122b、122c、122d、122e或122f。导电部件布局图案组122位于第一布局层级上。
该导电部件布局图案组122可用于制造集成电路100C的相应导电结构组122’(图1C)。导电部件布局图案122a、122b、122c、122d、122e、122f可用于制造相应的导电结构122a’、122b’、122c’、122d’、122e’、122f’(图1C)。
该导电部件布局图案组122与导电部件布局图案组132(下面描述)重叠。在一些实施例中,该导电部件布局图案组122与布局设计100A的其它布局层级(例如,有源、MD、M0、M1等)的其它下面的布局图案(未示出)重叠。在一些实施例中,该导电部件布局图案组122的每个布局图案122a、122b、122c、122d、122e、122f在第二方向Y上具有宽度W1。
在一些实施例中,该导电部件布局图案组122的每个布局图案122a、122b、122c、122d、122e、122f与该栅格线组104的相应栅格线104a、104b、104c、104d、104e、104f重叠。在一些实施例中,该导电部件布局图案组122的每个布局图案122a、122b、122c、122d、122e、122f的中心在第一方向X上与栅格线组104的相应栅格线104a、104b、104c、104d、104e,104f对准。
在一些实施例中,该导电部件布局图案组122的布局图案122b、122c、122d和122e对应于标准单元布局106b中的4个M2布线轨道。在一些实施例中,导电部件布局图案122f和120a位于相应的标准单元布局图案106b和106a的相应单元边界101c和101b之上,并且在相应的标准单元布局图案106b和106a内被称为“共享宽度”。
在一些实施例中,导电部件布局图案120f和122a沿第二方向Y从邻接的标准单元布局图案106a和106b的单元边界101a偏移,并且导电部件布局图案120f和122a被称为各个标准单元布局图案106a和106b内的“共享空间”。
在一些实施例中,该导电部件布局图案组120和122中的每个是相应的标准单元布局图案106a和106b内的规则布局图案。在一些实施例中,规则布局图案是相对于第一方向X对称的布局图案。
该导电部件布局图案组122中的图案的其它配置或数量在本公开的范围内。
该导电部件布局图案组124在第一方向X上延伸。该导电部件布局图案组124至少包括导电部件布局图案124a、124b、124c、124d、124e或124f。该导电部件布局图案组124位于第一布局层级上。
该导电部件布局图案组124可用于制造集成电路100C的相应组的导电结构124’(图1C)。导电部件布局图案124a、124b、124c、124d、124e、124f可用于制造相应的导电结构124a’、124b’、124c’、124d’、124e’、124f’(图1C)。
该导电部件布局图案组124与导电部件布局图案组134(下面描述)重叠。在一些实施例中,该导电部件布局图案组124与布局设计100A的其它布局级别(例如,有源、MD、M0、M1等)的其它下面的布局图案(未示出)重叠。在一些实施例中,该导电部件布局图案组124的每个布局图案124a、124b、124c、124d、124e、124f在第二方向Y上具有宽度W1。
在一些实施例中,该导电部件布局图案组124的每个布局图案124a、124b、124c、124d、124e、124f与该栅格线组102的相应栅格线102a、102b、102c、102d、102e、102f重叠。在一些实施例中,该导电部件布局图案组124的每个布局图案124a、124b、124c、124d、124e、124f的中心在第一方向X上与栅格线组102的相应栅格线102a、102b、102c、102d、102e,102f对准。
在一些实施例中,该导电部件布局图案组124的布局图案124b、124c、124d、124e和124f对应于标准单元布局108a中的5个M2布线轨道。导电部件布局图案124a位于标准单元布局图案108a的单元边界101b之上。
导电部件布局图案组124中的图案的其它配置或数量在本公开的范围内。
该导电部件布局图案组126在第一方向X上延伸。该导电部件布局图案组126至少包括导电部件布局图案126a、126b、126c、126d、126e或126f。该导电部件布局图案组126位于第一布局层级上。
导电部件布局图案组126可用于制造集成电路100C的相应的导电结构组126’(图1C)。导电部件布局图案126a、126b、126c、126d、126e、126f可用于制造相应的导电结构126a’、126b’、126c’、126d’、126e’、126f’(图1C)。
该导电部件布局图案组126与导电部件布局图案组136(下面描述)重叠。在一些实施例中,该导电部件布局图案组126与布局设计100A的其它布局层级(例如,有源、MD、M0、M1等)的其它下面的布局图案(未示出)重叠。在一些实施例中,该导电部件布局图案组126的每个布局图案126a、126b、126c、126d、126e、126f在第二方向Y上具有宽度W1。
在一些实施例中,该导电部件布局图案组126中的每个布局图案126a、126b、126c、126d、126e、126f与该栅格线组104中的相应栅格线104a、104b、104c、104d、104e、104f重叠。在一些实施例中,该导电部件布局图案组126的每个布局图案126a、126b、126c、126d、126e、126f的中心在第一方向X上与栅格线组104中的相应栅格线104a、104b、104c、104d、104e、104f对准。
在一些实施例中,该导电部件布局图案组126的布局图案126b、126c、126d和126e对应于标准单元布局108b中的4个M2布线轨道。
在一些实施例中,导电部件布局图案126f和124a位于相应的标准单元布局图案108b和108a的相应单元边界101c和101b之上,并且在相应标准单元布局图案108b和108b内被称为“共享宽度”。
在一些实施例中,导电部件布局图案126f和124a在第二方向Y上从邻接标准单元布局图案108a和108b的单元边界101a偏移,并且导电部件布局图案126f和124a被称为相应标准单元布局图案108a和108b内的“共享空间”。在一些实施例中,该导电部件布局图案组124和126中的每一个均是相应标准单元布局图案108a和108b内的规则布局图案。
该导电部件布局图案组126中的图案的其它配置或数量在本公开的范围内。
该导电部件布局图案组130在第二方向Y上延伸。该导电部件布局图案组130至少包括导电部件布局图案130a或130b。该导电部件布局图案组130位于第二布局层级上。在一些实施例中,第二布局层级是金属一(M1)布局层级。在一些实施例中,第二布局层级低于第一布局层级。
该导电部件布局图案组130可用于制造集成电路100C的相应导电结构组130’(图1C)。导电部件布局图案130a、130b可用于制造相应的导电结构130a’、130b’(图1C)。
该导电部件布局图案组130与该导电部件布局图案组120重叠。在一些实施例中,布局图案130a和130b与至少导电部件布局图案120b、120c、120d、120e或120f重叠。
在一些实施例中,布局图案130a或130b与至少栅格线102b、102c、102d、102e或102f重叠。在一些实施例中,该导电部件布局图案组130与布局设计100A的其它布局层级(例如,有源、MD、M0等)的其它下面的布局图案(未示出)重叠。在一些实施例中,该导电部件布局图案组130中的每个导电部件布局图案130a、130b在第一方向X上与相邻的布局图案分隔开。
导电部件布局图案组130中的图案的其它配置或数量在本公开的范围内。
该导电部件布局图案组132在第二方向Y上延伸。该导电部件布局图案组132至少包括导电部件布局图案132a或132b。该导电部件布局图案组132位于第二布局层级上。
该导电部件布局图案组132可用于制造集成电路100C的相应导电结构组132’(图1C)。导电部件布局图案132a、132b可用于制造相应导电结构132a’、132b’(图1C)。
该导电部件布局图案组132与该导电部件布局图案组122重叠。在一些实施例中,布局图案132a和132b与至少导电部件布局图案122a、122b、122c、122d或122e重叠。
在一些实施例中,布局图案132a或132b与至少栅格线104a、104b、104c、104d、104e或104f重叠。在一些实施例中,该导电部件布局图案组132与布局设计100A的其它布局层级(例如,有源、MD、M0等)的其它下面的布局图案(未示出)重叠。在一些实施例中,导电部件布局图案组132中的每个导电部件布局图案132a、132b在第一方向X上与相邻的布局图案分隔开。
该导电部件布局图案组132中的图案的其它配置或数量在本公开的范围内。
该导电部件布局图案组134在第二方向Y上延伸。该导电部件布局图案组134至少包括导电部件布局图案134a或134b。该导电部件布局图案组134位于第二布局层级上。
该导电部件布局图案组134可用于制造集成电路100C的相应导电结构组134’(图1C)。导电部件布局图案134a、134b可用于制造相应导电结构134a’、134b’(图1C)。
该导电部件布局图案组134与该导电部件布局图案组124重叠。在一些实施例中,布局图案134a和134b与至少导电部件布局图案124b、124c、124d、124e或124f重叠。
在一些实施例中,布局图案134a或134b与至少栅格线102b、102c、102d、102e或102f重叠。在一些实施例中,该导电部件布局图案组134与布局设计100A的其它布局层级(例如,有源、MD、M0等)的其它下面的布局图案(未示出)重叠。在一些实施例中,导电部件布局图案组134中的每个导电部件布局图案134a、134b在第一方向X上与相邻的布局图案分隔开。
该导电部件布局图案组134中的图案的其它配置或数量在本公开的范围内。
该导电部件布局图案组136在第二方向Y上延伸。该导电部件布局图案组136至少包括导电部件布局图案136a或136b。该导电部件布局图案组136位于第二布局层级上。
该导电部件布局图案组136可用于制造集成电路100C的相应导电结构组136’(图1C)。导电部件布局图案136a、136b可用于制造相应导电结构136a’、136b’(图1C)。
导电部件布局图案组136与导电部件布局图案组126重叠。在一些实施例中,布局图案136a和136b与至少导电部件布局图案126a、126b、126c、126d或126e重叠。
在一些实施例中,布局图案136a或136b与至少栅格线104a、104b、104c、104d、104e或104f重叠。在一些实施例中,该组导电部件布局图案136与布局设计100A的其它布局级别(例如,有源,MD,M0等)的其它底层布局图案(未示出)重叠。在一些实施例中,导电部件布局图案组136中的每个导电部件布局图案136a、136b在第一方向X上与相邻的布局图案分隔开。
该导电部件布局图案组136中的图案的其它配置或数量在本公开的范围内。
布局设计100A还包括切割部件布局图案组110和切割部件布局图案组112。
该切割部件布局图案组110在第一方向X上延伸。该切割部件布局图案组110至少包括切割部件布局图案110a、110b或110c。在一些实施例中,切割部件布局图案组110中的每个切割部件布局图案110a、110b、110c在第二方向Y上与相邻的切割部件布局图案分隔开。该切割部件布局图案组110位于第二布局层级上。
在一些实施例中,切割部件布局图案组110与导电部件布局图案组130或132的布局图案的至少一部分重叠。在一些实施例中,切割部件布局图案组110与布局设计100A的其它布局层级(例如,有源、MD、M0等)的其它下面的布局图案(未示出)重叠。
在一些实施例中,切割部件布局图案110a、110b、110c标识在方法700(图7)的操作706中去除的导电结构130a’或132a’的相应部分110a’、110b’、110c’的相应位置。在一些实施例中,切割部件布局图案组110中的切割部件布局图案110a、110b、110c中的至少一个在第二方向Y上具有宽度W2。在一些实施例中,宽度W2对应于至少导电结构130a’或132a’的至少部分110a’、110b’或110c’的切割宽度(未标记)。在一些实施例中,宽度W2等于宽度W1。在一些实施例中,宽度W2不同于宽度W1。
切割部件布局图案110a与切割部件布局图案110b在第二方向Y上分隔开间距PA1。切割部件布局图案110b与切割部件布局图案110c在第二方向Y上分隔开间距PA2。在一些实施例中,间距PA1等于间距PA2。在一些实施例中,间距PA1不同于间距PA2。
在一些实施例中,导电部件布局图案130a定位在切割部件布局图案110a和110b之间。在一些实施例中,导电部件布局图案132a定位在切割部件布局图案110b和110c之间。
在一些实施例中,在第一方向X上延伸的切割部件布局图案组110的相应切割部件布局图案110a、110b、110c的侧与相应栅格线102a、104a、104f对准。
在一些实施例中,在第一方向X上延伸的切割部件布局图案组110中的切割部件布局图案110b的另一侧与标准单元布局图案106a和106b的单元边界101a对准。
在一些实施例中,相应切割部件布局图案110a、110b和110c的中心在第二方向Y上与相应栅格线102a、104a和104f偏移距离D1。在一些实施例中,距离D1等于宽度W2的一半。
在一些实施例中,相应切割部件布局图案110a、110b和110c的中心在第二方向Y上从邻接的标准单元布局图案106a和106b以及切割部件布局的相应单元边界101b、101a和101c偏移,并且切割部件布局图案110a、110b和110c被称为标准单元布局图案106a和106b内的“共享空间”。在一些实施例中,切割部件布局图案组110在两个标准单元布局图案(例如,标准单元布局图案108a和108b)中是规则的。
切割部件布局图案组110中的图案的其它配置或数量在本公开的范围内。
该切割部件布局图案组112在第一方向X上延伸。该切割部件布局图案组112至少包括切割部件布局图案112a、112b或112c。在一些实施例中,切割部件布局图案组112中的每个切割部件布局图案112a、112b、112c在第二方向Y上与相邻的切割部件布局图案分隔开。该切割部件布局图案组112位于第二布局层级上。
在一些实施例中,该切割部件布局图案组110和112具有相应的颜色A或B。颜色A或B指示具有相同颜色的该切割部件布局图案组110将形成在多个掩模组的同一掩模上,并且具有不同颜色B的切割部件布局图案组112将形成在多个掩模组的不同掩模上。图1A、图2A、图3A、图4A、图5A和图6A中示出了两种颜色A和B。在一些实施例中,布局设计100A、200A、300A、400A、500A和600A中具有多于或少于两种的颜色。
在一些实施例中,该切割部件布局图案组112与该导电部件布局图案组134或136的布局图案的至少一部分重叠。在一些实施例中,该切割部件布局图案组112与布局设计100A的其它布局层级(例如,有源、MD、M0等)的下面的布局图案(未示出)重叠。
在一些实施例中,切割部件布局图案112a、112b、112c标识在方法700(图7)的操作706中去除的导电结构134a’或136a’的相应部分112a’、112b’、112c’的相应位置。在一些实施例中,切割部件布局图案组112中的切割部件布局图案112a、112b、112c中的至少一个在第二方向Y上具有宽度W2。在一些实施例中,宽度W2对应于至少导电结构134a’或136a’的至少部分112a’、112b’或112c’的切割宽度(未标记)。
切割部件布局图案112a与切割部件布局图案112b在第二方向Y上分隔开间距PA1。切割部件布局图案112b与切割部件布局图案112c在第二方向Y上分隔开间距PA2。
在一些实施例中,导电部件布局图案134a定位在切割部件布局图案112a和112b之间。在一些实施例中,导电部件布局图案136a定位在切割部件布局图案112b和112c之间。
在一些实施例中,在第一方向X上延伸的切割部件布局图案组112的相应切割部件布局图案112a、112b、112c的侧与相应栅格线102a、104a、104f对准。
在一些实施例中,在第一方向X上延伸的切割部件布局图案组112的切割部件布局图案112b的另一侧与标准单元布局图案108a和108b的单元边界101a对准。
在一些实施例中,相应的切割部件布局图案112a、112b和112c的中心在第二方向Y上与相应的栅格线102a、104a和104f偏移距离D1。
在一些实施例中,相应的切割部件布局图案112a、112b和112c的中心在第二方向Y上与邻接的标准单元布局图案108a和108b的相应单元边界101b、101a和101c偏移距离D1,并且切割部件布局图案112a、112b和112c被称为标准单元布局图案108a和108b内的“共享空间”。在一些实施例中,切割部件布局图案组112在两个标准单元布局图案(例如,标准单元布局图案108a和108b)中是规则的。
切割部件布局图案组112中的图案的其它配置或数量在本公开的范围内。
在一些实施例中,通过将在第一方向X上延伸的切割部件布局图案组110的相应切割部件布局图案110a、110b、110c的侧定位为与相应的栅格线102a、104a、104f对准,附加导电部件布局图案120f可用作标准单元布局图案106a中的附加布线轨道布局图案,从而使得比其它方法更有效地利用附加布线资源。
在一些实施例中,通过将在第一方向X上延伸的切割部件布局图案组112的相应切割部件布局图案112a、112b、112c的侧定位为与相应的栅格线102a、104a、104f对准,附加导电部件布局图案124f可用作标准单元布局图案108a中的附加布线轨道布局图案,从而使得比其它方法更有效地利用附加布线资源。
图1C是根据一些实施例的集成电路100C的俯视图的图。
与图1A至图1B、图2A、图3A、图4A,图5A和图6A(如下所示)中的一个或多个相同或类似的组件给出相同的参考标号,并省略其详细说明。
集成电路100C由布局设计100A制造。包括对准、长度和宽度以及配置的图1C的集成电路100C的结构关系类似于图1A的布局设计100A或图1B的部分100B的相应结构关系和相应配置,并且为简洁起见,将不在图1C、图2B、图3B、图4B、图5B和图6B中描述类似的详细描述。
集成电路100C包括标准单元106a’、106b’、108a’和108b’。在一些实施例中,一个或多个标准单元106a’、106b’、108a’或108b’是逻辑门单元。在一些实施例中,标准单元106a’、106b’、108a’或108b’中的一个或多个是存储单元。在一些实施例中,一个或多个标准单元106a’、106b’、108a’或108b’包括一个或多个有源或无源元件。
在一些实施例中,标准单元106a’至少包括导电结构组120’或130’。在一些实施例中,标准单元106b’至少包括导电结构组122’或132’。在一些实施例中,标准单元108a’至少包括导电结构组124’或134’。在一些实施例中,标准单元108b’至少包括导电结构组126’或136’。
标准单元106a’、108a’在第一方向X上沿着单元格边界101a’邻接相应的标准单元106b’、108b’。标准单元106a’、106b在第二方向Y上沿着单元边界101d’邻接相应的标准单元108a’、108b’。在一些实施例中,每个标准单元106a’、106b’、108a’和108b’在第二方向Y上具有相同的相应高度(未标记)。单元边界101a’、101b’、101c’和101d’类似于对应于单元边界101a、101b、101c和101d,并且因此省略类似的详细描述。
集成电路100C还包括栅格线组102’和栅格线组104’。栅格线组102’和104’类似于栅格线组102和104,并且因此省略类似的详细描述。栅格线组102’的构件类似于该栅格线组102的相应构件,并且因此省略类似的详细描述。栅格线组104’的构件类似于该组栅格线组104的相应构件,并且因此省略类似的详细描述。
栅格线组102’至少包括栅格线102a’、102b’、102c’、102d’、102e’或102f’。在一些实施例中,栅格线组102’中的每个栅格线102a’、102b’、102c’、102d’、102e’、102f’限定了定位导电结构组120’的相应导电结构120a’、120b’、120c’、120d’、120e’或导电结构组124’中的相应导电结构124a’、124b’、124c’、124d’、124e’、124f’的区域。
栅格线组104’至少包括栅格线104a’、104b’、104c’、104d’、104e’或104f’。在一些实施例中,栅格线组104’中的每个栅格线104a’、104b’、104c’、104d’、104e’、104f’限定了定位导电结构组122’的相应导电结构122a’、122b’、122c’、122d’、122e’或导电结构组126’的相应导电结构126a’、126b’、126c’、126d’、126e’、126f’的区域。
在一些实施例中,集成电路100C不包括栅格线组102’、栅格线组104’、单元边界101a’、单元边界101b’、单元边界101c’或单元边界101d’中的一个或多个。在一些实施例中,导电结构组120’、122’、124’、126’、130’、132’、134’或136’中的一个或多个不是标准单元106a’、106b’、108a’和108b’的一部分,但显示在由标准单元106a’、106b’、108a’和108b’中的一个或多个限定的区域中。
导电结构组120’至少包括导电结构120a’、120b’、120c’、120d’、120e’或120f’。该导电结构组122’至少包括导电结构122a’、122b’、122c’、122d’、122e’或122f’。该导电结构组124’至少包括导电结构124a’、124b’、124c’、124d’、124e’或124f’。该导电结构组126’至少包括导电结构126a’、126b’、126c’、126d’、126e’或126f’。在一些实施例中,至少导电结构组120’、122’、124’或126’位于集成电路100C的第一层上。在一些实施例中,第一层是M2层。
在一些实施例中,至少导电结构组120’的导电结构120b’、120c’、120d’、120e’或120f’或导电结构组124’的导电结构124b’、124c’、124d’、124e’或124f’是功能性导电结构。
在一些实施例中,功能性导电结构对应于可在集成电路100C、200B、300B、400B、500B或600B中用于路由信号、电源电压或电源电流的导电结构。
在一些实施例中,该导电结构组120’和124’具有奇数个功能性导电结构和相应的布线轨道。在一些实施例中,该导电结构组120’和124’具有5个功能性导电结构和相应的布线轨道。
在一些实施例中,该导电结构组122’中的至少导电结构122b’、122c’、122d’或122e’是功能性导电结构。在一些实施例中,该导电结构组126’中的至少导电结构126b’、126c’、126d’或126e’是功能性导电结构。
在一些实施例中,该导电结构组122’和126’具有偶数个功能性导电结构和相应的布线轨道。在一些实施例中,该导电结构组122’和126’具有4个功能性导电结构和相应的布线轨道。
在一些实施例中,导电结构120a’、122a’、122f’、124a’、126a’或126f’是非功能性或伪结构。在一些实施例中,非功能性导电结构或伪结构对应于在集成电路100C、200B、300B、400B、500B或600B中不可用于路由信号、电源电压或电源电流的导电结构,因为非功能性导电结构在第一方向X或第二方向Y上的至少尺寸没有足够的表面积作为来自下层(例如,有源、MD、M0等)或上层(例如,M2等)的通孔的定位点。
导电结构组130’至少包括导电结构130a’或130b’。该导电结构组132’至少包括导电结构132a’或132b’。该导电结构组134’至少包括导电结构134a’或134b’。该导电结构组136’至少包括导电结构136a’或136b’。在一些实施例中,至少导电结构组130’、132’、134’或136’位于集成电路100C的第二层上。在一些实施例中,第二层是M1层。
导电结构130a’通过去除部分110b’与导电结构132a’分隔开。导电结构134a’通过去除部分112b’与导电结构136a’分隔开。
在一些实施例中,该导电结构组120’、122’、124’、126’、130’、132’、134’或136’中的至少一个结构包括一个或多个金属材料层,金属材料诸如Al、Cu、W、Ti、Ta、TiN、TaN、NiSi、CoSi、其它合适的导电材料或它们的组合。
该导电结构组120’、122’、124’、126’、130’、132’、134’或136’的其它配置、布置、层的数量或材料在本公开的预期范围内。
在一些实施例中,通过将在第一方向X上延伸的切割部件布局图案组110的切割部件布局图案110b的侧定位为与相应的栅格线104a对准,切割部件布局图案110b与导电部件布局图案120f充分分隔开,不会违反定位点设计规则,并且导电部件布局图案120f可用于制造相应的导电结构120f’。在一些实施例中,通过使导电结构组120’中具有导电结构120f’,在导电结构组120’和122’中产生不同数量的功能性导电结构。在一些实施例中,通过在导电结构组120’和122’中具有不同数量的功能性导电结构,集成电路100C在标准单元106a’和106b’中具有附加的功能性导电结构120f’和相应的布线轨道,从而使得比其它方法更有效地利用附加布线资源。
在一些实施例中,通过将在第一方向X上延伸的切割部件布局图案组112中的切割部件布局图案112b的侧定位为与相应的栅格线104a对准,切割部件布局图案112b与导电部件布局图案124f充分分隔开,不会违反定位点设计规则,并且导电部件布局图案124f可用于制造相应的导电结构124f’。在一些实施例中,通过在导电结构组124’中具有导电结构124f’,在导电结构组124’和126’中产生不同数量的功能性导电结构。在一些实施例中,通过在导电结构组124’和126’中具有不同数量的功能性导电结构,集成电路100C在标准单元108a’和108b’中具有附加的功能性导电结构124f’和相应的布线轨道,从而使得比其它方法更有效地利用附加布线资源。
图2A是根据一些实施例的集成电路的布局设计200A的图。
布局设计200A是布局设计100A(图1A)的变型,并且因此省略了类似的详细描述。例如,布局设计200A示出了实例,其中,切割部件布局图案组212代替图1A的切割部件布局图案组112,使得导电部件布局图案组224和226相对于单元边界101a是该导电部件布局图案组124和126的镜像。
布局设计200A可用于制造类似于图2B的集成电路200B的集成电路。
布局设计200A包括标准单元布局图案106a、106b、208a和208b。与图1A的布局设计100A相比,标准单元布局图案208a和208b代替相应的标准单元布局图案108a和108b,并且因此省略了类似的详细描述。
布局设计200A还包括栅格线组102和104、导电部件布局图案组120和122、导电部件布局图案组130和132、切割部件布局图案组110、导电部件布局图案组224和226、导电部件布局图案组234和236以及切割部件布局图案组212。
与图1A的布局设计100A相比,导电部件布局图案组224和226代替相应的导电部件布局图案组124和126,导电部件布局图案组234和236代替相应的导电部件布局图案组134和136,并且切割部件布局图案组212代替相应的切割部件布局图案组112,并且因此省略了类似的详细描述。
切割部件布局图案组212至少包括切割部件布局图案212a、212b或212c。切割部件布局图案212a、212b、212c代替图1A的相应切割部件布局图案112a、112b、112c,并且省略了类似的详细描述。与图1A的切割部件布局图案112a、112b、112c相比,切割部件布局图案212a、212b、212c在第二方向Y上移位栅格线间距的一半(例如,P1/2)。
在一些实施例中,通过将切割部件布局图案组的位置移位栅格线间距的一半(例如,P1/2),使得导电部件布局图案224f和226a与图1A的相应导电部件布局图案124f和126a相比在第一方向X上具有不同的长度。
在一些实施例中,切割部件布局图案212a、212b、212c标识在方法700(图7)的操作706中去除的导电结构234a’或236a’的相应部分212a’、212b’、212c’的相应位置。在一些实施例中,切割部件布局图案组212的切割部件布局图案212a、212b、212c中的至少一个在第二方向Y上具有宽度W2。在一些实施例中,宽度W2对应于至少导电结构234a’或236a’的至少部分212a’、212b’或212c’的切割宽度(未标记)。
切割部件布局图案212a与切割部件布局图案212b在第二方向Y上分隔开间距PA2。切割部件布局图案212b与切割部件布局图案212c在第二方向Y上分隔开间距PA1。
在一些实施例中,导电部件布局图案234a定位在切割部件布局图案212a和212b之间。在一些实施例中,导电部件布局图案236a定位在切割部件布局图案212b和212c之间。
在一些实施例中,在第一方向X上延伸的切割部件布局图案组212的相应切割部件布局图案212a、212b、212c的侧212a1、212b2、212c1与相应的栅格线102a、102f、104f对准。
在一些实施例中,在第一方向X上延伸的切割部件布局图案组212的切割部件布局图案212b的另一侧212b1与标准单元布局图案208a和208b的单元边界101a对准。
在一些实施例中,相应的切割部件布局图案212a、212b和212c的中心在第二方向Y上与相应的栅格线102a、102f和104f偏移距离D1。
在一些实施例中,相应切割部件布局图案212a、212b和212c的中心在第二方向Y上与邻接的标准单元布局图案208a和208b的相应单元边界101b、101a和101c偏移距离D1,并且切割部件布局图案212a、212b和212c被称为标准单元布局图案208a和208b内的“共享空间”。在一些实施例中,切割部件布局图案组212在两个标准单元布局图案(例如,标准单元布局图案208a和208b)中是规则的。
切割部件布局图案组212中的图案的其它配置或数量在本公开的范围内。
导电部件布局图案组224至少包括导电部件图案124a、124b、124c、124d、124e或224f。该导电部件布局图案组224可用于制造集成电路200B的相应导电结构组224’(图2B)。在一些实施例中,该导电部件布局图案组224中的导电部件布局图案224f可用于制造集成电路200B的导电结构组224’(图2B)中的相应导电结构224f’。
导电部件布局图案224f代替图1A的导电部件布局图案124f,并且省略了类似的详细描述。与图1A的导电部件布局图案124f相比,由于切割部件布局图案212b的位置,导电部件布局图案224f在第一方向X上的长度较短。
在一些实施例中,该导电部件布局图案组224的布局图案124b、124c、124d和124e对应于标准单元布局208a中的4个M2布线轨道。
导电部件布局图案组226至少包括导电部件图案226a、126b、126c、126d、126e或126f。该导电部件布局图案组226可用于制造集成电路200B的相应导电结构组226’(图2B)。在一些实施例中,该导电部件布局图案组226中的导电部件布局图案226a可用于制造集成电路200B的导电结构组226’(图2B)中的相应导电结构226a’。
导电部件布局图案226a代替图1A的导电部件布局图案126a,并且因此省略了类似的详细描述。与图1A的导电部件布局图案126a相比,由于切割部件布局图案212b的位置,导电部件布局图案226a在第一方向X上的长度更长。
在一些实施例中,该导电部件布局图案组226的布局图案226a、126b、126c、126d和126e对应于标准单元布局208b中的5个M2布线轨道。
导电部件布局图案组234至少包括导电部件图案234a或134b。该导电部件布局图案组234可用于制造集成电路200B的相应导电结构组234’(图2B)。在一些实施例中,该导电部件布局图案组234中的导电部件布局图案234a可用于制造集成电路200B的导电结构组234’(图2B)中的相应导电结构234a’。
导电部件布局图案234a代替图1A的导电部件布局图案134a,并且因此省略了类似的详细描述。与图1A的导电部件布局图案134a相比,由于切割部件布局图案212a和212b的位置,导电部件布局图案234a在第二方向Y上移位。
导电部件布局图案组236至少包括导电部件图案236a或136b。该组导电部件布局图案236可用于制造集成电路200B的相应导电结构组236’(图2B)。在一些实施例中,该导电部件布局图案组236中的导电部件布局图案236a可用于制造集成电路200B的导电结构组236’(图2B)中的相应导电结构236a’。
导电部件布局图案236a代替图1A的导电部件布局图案136a,并且因此省略了类似的详细描述。与图1A的导电部件布局图案136a相比,由于切割部件布局图案212b和212c的位置,导电部件布局图案236a在第二方向Y上移位。
导电部件布局图案组224、226、234和236中的图案的其它配置或数量在本公开的范围内。
在一些实施例中,通过将在第一方向X上延伸的切割部件布局图案组212的相应切割部件布局图案212a、212b、212c的侧定位为与相应的栅格线102a、102f、104f对准,附加导电部件布局图案226a可用作标准单元布局图案208b中的附加布线轨道布局图案,从而使得比其它方法更有效地利用布局设计200A中的附加布线资源。
图2B是根据一些实施例的集成电路200B的俯视图的图。
集成电路200B由布局设计200A制造。相应图2B、图3B、图4B、图5B、图6B的集成电路200B、300B、400B、500B、600B的包括对准、长度和宽度的结构关系以及配置类似于相应图2A、图3A、图4A、图5A、图6A的相应布局设计200A、300A、400A、500A、600A的结构关系和配置,并且为简洁起见,图1C、图2B、图3B、图4B、图5B和图6B中将不再类似的详细描述。
集成电路200B是集成电路100C(图1C)的变型,并且因此省略类似的详细描述。例如,集成电路200B示出了其中导电结构组224’和226’是图1C的导电结构组124’和126’相对于单元边界101a’的镜像的实例。
集成电路200B包括标准单元106a’、106b’、208a’和208b’。与图1C的集成电路100C相比,标准单元208a’和208b’代替相应的标准单元108a’和108b’,并且因此省略了类似的详细描述。
集成电路200B还包括栅格线组102’和104’、导电结构组120和122、导电结构组130和132、导电结构组224’和226’以及导电结构组234’和236’。
与图1C的集成电路100C相比,导电结构组224’和226’代替相应的导电结构组124’和126’,并且导电结构组234’和236’代替相应的导电结构组134’和136’,并且因此省略了类似的详细描述。
导电结构组224’至少包括导电结构124a’、124b’、124c’、124d’、124e’或224f’。导电结构224f’代替图1C的导电结构124f’,并且因此省略了类似的详细描述。与图1C的导电结构124f’相比,由于导电结构234a’或236a’的去除部分212b’使得导电结构226f’为非功能性或伪结构,因此导电结构224f’在第一方向X上的长度较短。在一些实施例中,该导电结构组224’中的至少导电结构124b’、124c’、124d’或124e’是功能性导电结构。在一些实施例中,该导电结构组224’具有偶数个功能性导电结构和相应的布线轨道。在一些实施例中,该导电结构组224’具有4个功能性导电结构和相应的布线轨道。
导电结构组226’至少包括导电结构226a’、126b’、126c’、126d’、126e’或226f’。导电结构226a’代替图1C的导电结构126a’,并且因此省略类似的详细描述。与图1C的导电结构126a’相比,由于导电结构234a’或236a’的去除部分212b’在第二方向Y上移位栅格线间距的一半(例如,P1/2),导电结构226a’在第一方向X上的长度较长。在一些实施例中,通过增加导电结构226a’的长度使导电结构226a’成为功能性结构。在一些实施例中,该导电结构组226’中的至少导电结构226a’、126b’、126c’、126d’或126e’是功能性导电结构。在一些实施例中,该导电结构组226’具有奇数个功能性导电结构和相应的布线轨道。在一些实施例中,该导电结构组226’具有5个功能性导电结构和相应的布线轨道。
导电结构组234’至少包括导电结构234a’或134b’。导电结构234a’代替图1C的导电结构134a’,并且因此省略类似的详细描述。与图1C的导电结构134a’相比,由于去除部分212a’、212b’和212c’的新位置,导电结构234a’在第二方向Y上移位。
导电结构组236’至少包括导电结构236a’或136b’。导电结构236a’代替图1C的导电结构136a’,并且因此省略类似的详细描述。与图1C的导电结构136a’相比,由于去除部分212a’、212b’和212c’的新位置,导电结构236a’在第二方向Y上移位。
在一些实施例中,通过将在第一方向X上延伸的切割部件布局图案组212的切割部件布局图案212b的侧212b2定位为与相应的栅格线102f对准,切割部件布局图案212b与导电部件布局图案226a充分分隔开,不会违反定位点设计规则,并且导电部件布局图案226a可用于制造相应的导电结构226a’。在一些实施例中,通过在导电结构组226’中具有导电结构226a’,在导电结构组224’和226’中产生不同数量的功能性导电结构。在一些实施例中,通过在导电结构组224’和226’中具有不同数量的功能性导电结构,集成电路200B在标准单元108a’和108b’中具有附加的功能性导电结构224a’和相应的布线轨道,从而使得比其它方法更有效地利用附加布线资源。
图3A是根据一些实施例的集成电路的布局设计300A的图。
布局设计300A是布局设计200A(图2A)的变型,并且因此省略了类似的详细描述。例如,布局设计300A示出了其中切割部件布局图案组310和312代替图2A的相应切割部件布局图案组110和212,使切割部件布局图案,组310或312内的切割部件布局图案分隔开不同的间距(例如,间距PB1和PC1)的实例。
布局设计300A可用于制造图3B的集成电路300B。
布局设计300A包括标准单元布局图案306a、306b、308a和308b。与图2A的布局设计200A相比,标准单元布局图案306a、306b、308a和308b代替相应的标准单元布局图案108a、108b、208a和208b,并且因此省略了类似的详细描述。
布局设计300A还包括栅格线组102和104、导电部件布局图案组120、122、224和226、导电部件布局图案组330、332、334和336,以及切割部件布局图案组310和312。
与图2A的布局设计200A相比,导电部件布局图案组330、332、334和336代替相应的导电部件布局图案组130、132、234和236,并且切割部件布局图案组310和312代替相应的切割部件布局图案组110和212,并且因此省略了类似的详细描述。
切割部件布局图案组310至少包括切割部件布局图案310a、110b或310c。切割部件布局图案310a、310c代替图1A或图2A的相应切割部件布局图案110a、110c,并且因此省略了类似的详细描述。与图2A的切割部件布局图案110a、110c相比,相应的切割部件布局图案310a、310c在第二方向Y上移位距离D1。在一些实施例中,通过将切割部件布局图案组310的切割部件布局图案310a、310c的位置移位距离D1来改变间距PB1和PC1。
在一些实施例中,切割部件布局图案310a、110b、310c标识在方法700(图7)的操作706中去除的导电结构330a’或332a’的相应部分310a’、110b’、310c’的相应位置。在一些实施例中,切割部件布局图案组310中的切割部件布局图案310a、110b、310c中的至少一个在第二方向Y上具有宽度W2。在一些实施例中,宽度W2对应于至少导电结构330a’或332a’的至少部分310a’、110b’或310c’的切割宽度(未标记)。
切割部件布局图案310a在第二方向Y上与切割部件布局图案310b分隔开间距PB1。切割部件布局图案110b在第二方向Y上与切割部件布局图案310c分隔开间距PC1。在一些实施例中,间距PB1不同于间距PC1。
在一些实施例中,导电部件布局图案330a定位在切割部件布局图案310a和110b之间。在一些实施例中,导电部件布局图案332a定位在切割部件布局图案110b和310c之间。
在一些实施例中,在第一方向X上延伸的切割部件布局图案组310的切割部件布局图案310a的侧310a1、310a2与导电部件布局图案组120的导电部件布局图案120a的相应侧120a1、120a2对准。
在一些实施例中,在第一方向X上延伸的切割部件布局图案组310的切割部件布局图案310c的侧310c1、310c2与导电部件布局图案组122的导电部件布局图案122f的相应侧122f1、122f2对准。
在一些实施例中,相应切割部件布局图案310a和310c的中心在第一方向X上与相应栅格线102a和104f或相应单元边界101b和101c对准。在一些实施例中,切割部件布局图案组310在两个标准单元布局图案(例如,标准单元布局图案306a和306b)中是规则的。
切割部件布局图案组310中的图案的其它配置或数量在本公开的范围内。
切割部件布局图案组312至少包括切割部件布局图案312a、212b或312c。切割部件布局图案312a、312c代替图2A的相应切割部件布局图案212a、212c,并且因此省略类似的详细描述。与图2A的切割部件布局图案212a、212c相比,相应的切割部件布局图案312a、312c在第二方向Y上移位距离D1。在一些实施例中,通过将切割部件布局图案组312的切割部件布局图案312a、312c的位置移位距离D1来改变间距PB1和PC1。
在一些实施例中,切割部件布局图案312a、212b、312c标识在方法700(图7)的操作706中去除的导电结构334a’或336a’的相应部分312a’、212b’、312c’的相应位置。在一些实施例中,切割部件布局图案组312中的切割部件布局图案312a、212b、312c中的至少一个在第二方向Y上具有宽度W2。在一些实施例中,宽度W2对应于至少导电结构334a’或336a’的至少部分312a’、212b’或312c’的切割宽度(未标记)。
切割部件布局图案312a与切割部件布局图案312b在第二方向Y上间隔开间距PC1。切割部件布局图案212b与切割部件布局图案312c在第二方向Y上间隔开间距PB1。
在一些实施例中,导电部件布局图案334a定位在切割部件布局图案312a和212b之间。在一些实施例中,导电部件布局图案336a定位在切割部件布局图案212b和312c之间。
在一些实施例中,在第一方向X上延伸的切割部件布局图案组312的切割部件布局图案312a的侧312a1、312a2与导电部件布局图案组224的导电部件布局图案124a的相应侧124a1、124a2对准。
在一些实施例中,在第一方向X上延伸的切割部件布局图案组312的切割部件布局图案312c的侧312c1、312c2与导电部件布局图案组226的导电部件布局图案126f的相应侧126f1、126f2对准。
在一些实施例中,相应切割部件布局图案312a和312c的中心在第一方向X上与相应栅格线102a和104f或相应单元边界101b和101c对准。在一些实施例中,切割部件布局图案组312在两个标准单元布局图案(例如,标准单元布局图案308a和308b)中是规则的。
切割部件布局图案组312中的图案的其它配置或数量在本公开的范围内。
导电部件布局图案组330至少包括导电部件图案330a或130b。该导电部件布局图案组330可用于制造集成电路300B的相应导电结构组330’(图3B)。在一些实施例中,该导电部件布局图案组330中的导电部件布局图案330a可用于制造集成电路300B的导电结构组330’(图3B)中的相应导电结构330a’。
导电部件布局图案330a代替图1A的导电部件布局图案130a,并且因此省略了类似的详细描述。与图1A的导电部件布局图案130a相比,由于切割部件布局图案310a和110b的位置,导电部件布局图案330a在第二方向Y上移位。
导电部件布局图案组332至少包括导电部件图案332a或132b。该导电部件布局图案组332可用于制造集成电路300B的相应导电结构组332’(图3B)。在一些实施例中,该导电部件布局图案组332中的导电部件布局图案332a可用于制造集成电路300B的导电结构组332’(图3B)中的相应导电结构332a’。
导电部件布局图案332a代替图1A的导电部件布局图案132a,并且因此省略了类似的详细描述。与图1A的导电部件布局图案132a相比,由于切割部件布局图案110b和310c的位置,导电部件布局图案332a在第二方向Y上移位。
导电部件布局图案组334至少包括导电部件图案334a或134b。该导电部件布局图案组334可用于制造集成电路300B的相应导电结构组334’(图3B)。在一些实施例中,该导电部件布局图案组334中的导电部件布局图案334a可用于制造集成电路300B的导电结构组334’(图3B)中的相应导电结构334a’。
导电部件布局图案334a代替图2A的导电部件布局图案234a,并且因此省略类似的详细描述。与图2A的导电部件布局图案234a相比,由于切割部件布局图案312a和212b的位置,导电部件布局图案334a在第二方向Y上移位。
导电部件布局图案组336至少包括导电部件图案336a或136b。该导电部件布局图案组336可用于制造集成电路300B的相应导电结构组336’(图3B)。在一些实施例中,该导电部件布局图案组336中的导电部件布局图案336a可用于制造集成电路300B的导电结构组336’(图3B)中的相应导电结构336a’。
导电部件布局图案336a代替图2A的导电部件布局图案236a,并且因此省略类似的详细描述。与图2A的导电部件布局图案236a相比,由于切割部件布局图案212b和312c的位置,导电部件布局图案336a在第二方向Y上移位。
该导电部件布局图案组330、332、334和336中的图案的其它配置或数量在本公开的范围内。
在一些实施例中,通过将在第一方向X上延伸的切割部件布局图案组312的切割部件布局图案110b的侧110b1定位为与栅格线102f对准,附加导电部件布局图案120f可以用作标准单元布局图案308a中的其它布线轨道布局图案,从而使得比其它方法更有效地利用布局设计300A中的附加布线资源。
在一些实施例中,通过将在第一方向X上延伸的切割部件布局图案组312的切割部件布局图案212b的侧212b2定位为与栅格线102f对准,附加导电部件布局图案226a可以用作标准单元布局图案308b中的其它布线轨道布局图案,从而使得比其它方法更有效地利用布局设计300A中的附加布线资源。
图3B是根据一些实施例的集成电路300B的俯视图的图。
集成电路300B是集成电路200B(图2B)的变型,并且因此省略类似的详细描述。例如,集成电路300B示出了其中相应的导电结构330a’、334a’的长度与相应的导电结构332a’、336a’的长度在不同的间距(例如,间距PB1和PC1)上不同。
集成电路300B包括标准单元306a’、306b’、308a’和308b’。与图2B的集成电路200B相比,标准单元306a’、306b’、308a’和308b’代替相应的标准单元106a’、106b’、208a’和208b’,并且因此省略了类似的详细描述。
集成电路300B还包括栅格线组102’和104’、导电结构组120’、122’、224’和226’,以及导电结构组330’、332’、334’和336’。
与图2B的集成电路200B相比,该导电结构组330’、332’、334’和336’代替相应导电结构组130’、132’、234’和236’,并且因此省略了类似的详细描述。
导电结构组330’至少包括导电结构330a’或130b’。导电结构330a’代替图2B的导电结构130a’,并且因此省略类似的详细描述。与图2B的导电结构130a’相比,由于去除部分310a’、110b’和310c’的新位置,导电结构330a’在第二方向Y上移位。
导电结构组332’至少包括导电结构332a’或132b’。导电结构332a’代替了图2B的导电结构132a’,并且因此省略类似的详细描述。与图2B的导电结构132a’相比,由于去除部分310a’、110b’和310c’的新位置,导电结构332a’在第二方向Y上移位。
导电结构组334’至少包括导电结构334a’或134b’。导电结构334a’代替图2B的导电结构234a’,并且因此省略类似的详细描述。与图2B的导电结构234a’相比,由于去除部分312a’、212b’和312c’的新位置,导电结构334a’在第二方向Y上移位。
导电结构组336’至少包括导电结构336a’或136b’。导电结构336a’代替图2B的导电结构236a’,并且因此省略类似的详细描述。与图2B的导电结构236a’相比,由于去除部分312a’、212b’和312c’的新位置,导电结构336a’在第二方向Y上移位。
在一些实施例中,通过在导电结构组120’和122’中具有不同数量的功能性导电结构,集成电路300B在标准单元306a’和306b中具有附加的功能性导电结构120f’和相应的布线轨道,从而使得比其它方法更有效地利用附加布线资源。
在一些实施例中,通过在导电结构组224’和226’中具有不同数量的功能性导电结构,集成电路300B在标准单元308a’和308b中具有附加的功能性导电结构224a’和相应的布线轨道,从而使得比其它方法更有效地利用附加布线资源。
图4A是根据一些实施例的集成电路的布局设计400A的图。
布局设计400A是布局设计300A(图3A)的变型,并且因此省略了类似的详细描述。例如,布局设计400A示出了其中导电部件布局图案组420、422、424、426代替图3A的相应导电部件布局图案组120、122、224、226,从而使该导电部件布局图案组420、422、424、426在第二方向Y上移位了宽度W1的一半(例如,W1/2)。
布局设计400A可用于制造图4B的集成电路400B。
布局设计400A包括标准单元布局图案406a、406b、408a和408b。与图3A的布局设计300A相比,标准单元布局图案406a、406b、408a和408b代替相应的标准单元布局图案308a、308b、308a和308b,并且因此省略了类似的详细描述。
布局设计400A还包括栅格线组102和104、导电部件布局图案组420、422、424和426、导电部件布局图案组430、432、434和436,以及切割部件布局图案组410和412。
与图3A的布局设计300A相比,导电部件布局图案组420、422、424和426代替相应的导电部件布局图案组120、122、224和226,导电部件布局图案组430、432、434和436代替相应的导电部件布局图案组330、332、334和336,并且切割部件布局图案组410和412代替相应的切割部件布局图案组310和312,并且因此省略了类似的详细描述。
导电部件布局图案组420至少包括导电部件图案420a、420b、420c、420d、420e或420f。该导电部件布局图案组420可用于制造集成电路400B的相应导电结构组420’(图4B)。在一些实施例中,该导电部件布局图案组420的导电部件布局图案420a、420b、420c、420d、420e、420f可用于制造集成电路400B的导电结构组420’(图4B)的相应导电结构420a’、420b’、420c’、420d’、420e’、420f’。
导电部件布局图案420a、420b、420c、420d、420e、420f代替图3A的相应导电部件布局图案120a、120b、120c、120d、120e、120f,并且因此省略了类似的详细描述。与图3A的导电部件布局图案120a、120b、120c、120d、120e、120f相比,相应的导电部件布局图案420a、420b、420c、420d、420e、420f在第二方向Y上移位宽度W1的一半(例如,W1/2)。在一些实施例中,通过在第二方向Y上将导电部件布局图案420a、420b、420c、420d、420e、420f移位宽度W1的一半(例如,W1/2),在第一方向X上延伸的相应导电部件布局图案420a、420b、420c、420d、420e、420f的侧420a1、420b1、420c1、420d1、420e1、420f1在第一方向X上与相应的栅格线102a、102b、102c、102d、120e、102f对准。
与图3A的导电部件布局图案120f相比,由于切割部件布局图案410b的位置,相应的导电部件布局图案420f在第一方向X上的长度较短。
在一些实施例中,该导电部件布局图案组420的布局图案420b、420c、420d和420e对应于标准单元布局406a中的4个M2布线轨道。
导电部件布局图案组420中的图案的其它配置或数量在本公开的范围内。
导电部件布局图案组422至少包括导电部件图案422a、422b、422c、422d、422e或422f。该导电部件布局图案组422可用于制造集成电路400B的相应导电结构组422’(图4B)。在一些实施例中,该导电部件布局图案组422的导电部件布局图案422a、422b、422c、422d、422e、422f可用于制造集成电路400B的导电结构组422’(图4B)的相应导电结构422a’、422b’、422c’、422d’、422e’、422f’。
导电部件布局图案422a、422b、422c、422d、422e、422f代替图3A的相应导电部件布局图案122a、122b、122c、122d、122e、122f,并且因此省略了类似的详细描述。与图3A的导电部件布局图案122a、122b、122c、122d、122e、122f相比,相应的导电部件布局图案422a、422b、422c、422d、422e、422f在第二方向Y上移位宽度W1的一半(例如,W1/2)。在一些实施例中,通过将导电部件布局图案422a、422b、422c、422d、422e、422f在第二方向Y上移位宽度W1的一半(例如,W1/2),在第一方向X上延伸的相应导电部件布局图案422a、422b、422c、422d、422e、422f的侧422a1、422b1、422c1、422d1、422e1、422f1在第一方向X上与相应栅格线104a、104b、104c、104d、104e、104f对准。
与图3A的导电部件布局图案122a相比,相应导电部件布局图案422a在第一方向X上的长度更长,因为导电部件布局图案422a和切割部件布局图案410b中的每个的位置在第二方向Y上彼此远离移位。
在一些实施例中,该导电部件布局图案组422的布局图案422a、422b、422c、422d和422e对应于标准单元布局406b中的5个M2布线轨道。在一些实施例中,导电部件布局图案组420和422一起是标准单元布局图案406a和406b内的规则布局图案。
导电部件布局图案组422中的图案的其它配置或数量在本公开的范围内。
导电部件布局图案组424至少包括导电部件图案424a、424b、424c、424d、424e或424f。该导电部件布局图案组424可用于制造集成电路400B的相应导电结构组424’(图4B)。在一些实施例中,该导电部件布局图案组424的导电部件布局图案424a、424b、424c、424d、424e、424f可用于制造集成电路400B的导电结构组424’(图4B)的相应导电结构424a’、424b’、424c’、424d’、424e’、424f’。
导电部件布局图案424a、424b、424c、424d、424e、424f代替图3A的相应导电部件布局图案124a、124b、124c、124d、124e、224f,并且因此省略了类似的详细描述。与图3A的导电部件布局图案124a、124b、124c、124d、124e、224f相比,相应的导电部件布局图案424a、424b、424c、424d、424e、424f在第二方向Y上移位宽度W1的一半(例如,W1/2)。在一些实施例中,通过在第二方向Y上将导电部件布局图案424a、424b、424c、424d、424e、424f移位宽度W1的一半(例如,W1/2),将在第一方向X上延伸的相应导电部件布局图案424a、424b、424c、424d、424e、424f的侧424a1、424b1、424c1、424d1、424e1、424f1在第一方向X上与相应栅格线102a、102b、102c、102d、124e、102f对准。
在一些实施例中,该导电部件布局图案组424的布局图案424b、424c、424d和424e对应于标准单元布局408a中的4个M2布线轨道。
导电部件布局图案组424中的图案的其它配置或数量在本公开的范围内。
导电部件布局图案组426至少包括导电部件图案426A、426B、426C、426D、426E或426F。该导电部件布局图案组426可用于制造集成电路400B的相应导电结构组426’(图4B)。在一些实施例中,该导电部件布局图案组426的导电部件布局图案426a、426b、426c、426d、426e、426f可用于制造集成电路400B的导电结构组426‘(图4B)的相应导电结构426a’、426b’、426c’、426d’、426e’、426f’。
导电部件布局图案426a、426b、426c、426d、426e、426f替换图3A的相应导电部件布局图案226a、126b、126c、126d、126e、126f,并且因此省略了类似的详细描述。与图3A的导电部件布局图案226a、126b、126c、126d、126e、126f相比,相应的导电部件布局图案426a、426b、426c、426d、426e、426f在第二方向Y上移位宽度W1的一半(例如,W1/2)。在一些实施例中,通过在第二方向Y上将导电部件布局图案426a、426b、426c、426d、426e、426f移位宽度W1的一半(例如,W1/2),在第一方向X上延伸的相应导电部件布局图案426a、426b、426c、426d、426e、426f的侧426a1、426b1、426c1、426d1、426e1、426f1在第一方向X上与相应的栅格线104a、104b、104c、104d、104e、104f对准。
在一些实施例中,该导电部件布局图案组426的布局图案426a、426b、426c、426d和426e对应于标准单元布局408b中的5个M2布线轨道。在一些实施例中,导电部件布局图案组424和426一起是标准单元布局图案408a和408b内的规则布局图案。
导电部件布局图案组426中的图案的其它配置或数量在本公开的范围内。
切割部件布局图案组410至少包括切割部件布局图案310a、410b或310c。
切割部件布局图案组412至少包括切割部件布局图案312a、412b或312c。
切割部件布局图案410b、412b代替图3A的相应切割部件布局图案110b、212b,并且因此省略了类似的详细描述。
与图3A的切割部件布局图案110b、212b相比,相应的切割部件布局图案410b、412b每个都在第二方向Y上移位切割宽度W2的一半(例如,W2/2)。在一些实施例中,通过将切割部件布局图案组410的相应切割部件布局图案410b、412b的位置移位切割宽度W2的一半(例如,W2/2)来将切割部件布局图案组410和412的间距改变为间距PA3和PA4。
在一些实施例中,切割部件布局图案310a、410b、310c标识在方法700(图7)的操作706中去除的导电结构430a’或432a’的相应部分410a’、410b’、410c’的相应位置。
在一些实施例中,切割部件布局图案312a、412b、312c标识在方法700(图7)的操作706中去除的导电结构434a’或436a’的相应部分412a’、412b’、412c’的相应位置。
在一些实施例中,切割部件布局图案310a、410b、310c、312a、412b或312c中的至少一个在第二方向Y上具有宽度W2。在一些实施例中,宽度W2对应于至少导电结构430a’、432a’、434a’或436a’的至少部分310a’、410b’、310c’、312a’、412b’或312c’的切割宽度(未标记)。
切割部件布局图案310a、312a在第二方向Y上与相应的切割部件布局图案410b、412b间隔开间距PA3。切割部件布局图案410b、412b在第二方向Y上与相应的切割部件布局图案310c、312c间隔开间距PA4。在一些实施例中,间距PA3、PA4、PA1或PA2中的至少一个与间距PA3、PA4、PA1或PA2中的至少另一个相同。
在一些实施例中,导电部件布局图案330a、334a定位在相应的切割部件布局图案310a、312a与相应的切割部件布局图案410b、412b之间。在一些实施例中,导电部件布局图案332a、336a定位在相应的切割部件布局图案410b、412b与相应的切割部件布局图案310c、312c之间。
在一些实施例中,相应切割部件布局图案410b和412b的中心在第一方向X上与单元边界101a对准。在一些实施例中,切割部件布局图案组410或412在单个标准单元布局图案(例如,标准单元布局图案406a和406b)中是规则的。在一些实施例中,换句话说,切割部件布局图案组410在相应的标准单元布局图案406a和406b内是规则的,并且切割部件布局图案组412在相应的标准单元布局图案408a和408b内是规则的。
切割部件布局图案组410或412中的图案的其它配置或数量在本公开的范围内。
导电部件布局图案组430至少包括导电部件图案430a或130b。导电部件布局图案组432至少包括导电部件图案432a或132b。导电部件布局图案组434至少包括导电部件图案434a或134b。导电部件布局图案组436至少包括导电部件图案436a或136b。
该导电部件布局图案组430、432、434、436可用于制造集成电路400B的相应导电结构组430’、432’、434’、436’(图3B)。在一些实施例中,导电部件布局图案430a、432a、434a、436a可用于制造集成电路400B的相应导电结构组430’,432’,434’,436’(图3B)的相应导电结构430a’、432a’、434a’、436a’。
导电部件布局图案430a、432a、434a、436a代替图3A的相应导电部件布局图案330a、332a、334a、336a,并且因此省略了类似的详细描述。
与图3A的导电部件布局图案330a相比,由于切割部件布局图案310a和410b的位置,导电部件布局图案430a在第二方向Y上移位。
与图3A的导电部件布局图案332a相比,由于切割部件布局图案410b和310c的位置,导电部件布局图案432a在第二方向Y上移位。
与图3A的导电部件布局图案334a相比,由于切割部件布局图案312a和412b的位置,导电部件布局图案434a在第二方向Y上移位。
与图3A的导电部件布局图案336a相比,由于切割部件布局图案412b和312c的位置,导电部件布局图案436a在第二方向Y上移位。
导电部件布局图案组430、332、334和336中的图案的其它配置或数量在本公开的范围内。
在一些实施例中,通过将在第一方向X上延伸的至少相应的导电部件布局图案422a、422b、422c、422d、422e、422f的至少侧422a1、422b1、422c1、422d1、422e1、422f1定位为在第一方向X上与相应的栅格线104a、104b、104c、104d、104e、104f对准,附加导电部件布局图案422a可用作标准单元布局图案406b中的附加布线轨道布局图案,从而使得比其它方法更有效地利用布局设计400A中的附加布线资源。
在一些实施例中,通过将在第一方向X上延伸的至少相应的导电部件布局图案426a、426b、426c、426d、426e、426f的至少侧426a1、426b1、426c1、426d1、426e1、426f1定位为在第一方向X上与相应的栅格线104a、104b、104c、104d、104e、104f对准,附加导电部件布局图案426a可用作标准单元布局图案408b中的附加布线轨道布局图案,从而使得比其它方法更有效地利用布局设计400A中的附加布线资源。
图4B是根据一些实施例的集成电路400B的俯视图的图。
集成电路400B是集成电路300B(图3B)的变型,并且因此省略类似的详细描述。例如,集成电路400B示出了其中导电结构组420’、422’、424’、426’替代图3A的相应导电结构组120’、122’、224’、226’,从而使该导电结构组420’、422’、424’、426’在第二方向Y上移位宽度W1的一半(例如,W1/2)。
集成电路400B包括标准单元406a’、406b’、408a’和408b’。与图3B的集成电路300B相比,标准单元406a’、406b’、408a’和408b’代替相应的标准单元306a’、306b’、308a’和308b’,并且因此省略了类似的详细描述。
集成电路400B还包括栅格线组102’和104’、导电结构组420’、422’、424’和426’以及导电结构组430’、432’、434’和436’。
与图3B的集成电路300B相比,导电结构组420’、422’、424’和426’代替相应的导电结构组120’、122’、224’和226’,导电结构组430’、432’、434’和436’代替相应的导电结构组330’、332’、334’和336’,并且因此省略了类似的详细描述。
导电结构组420’至少包括导电结构420a’、420b’、420c’、420d’、420e’或420f’。导电结构420a’、420b’、420c’、420d’、420e’、420f’代替图3B的相应导电结构120a’、120b’、120c’、120d’、120e’、120f’,并且因此省略了类似的详细描述。
与图3B的导电结构120a’、120b’、120c’、120d’、120e’、120f’相比,导电结构420a’、420b’、420c’、420d’、420e’、420f’在第二方向Y上移位宽度W1的一半(例如,W1/2)。在一些实施例中,通过在第二方向Y上将导电结构420a’、420b’、420c’、420d’、420e’、420f’移位宽度W1的一半(例如,W1/2),使得导电结构420f’由于与导电结构430a’或432a’的去除部分410b’的接近度而在第一方向X上的长度较短,从而使得导电结构420f’为无功能性或伪结构。
在一些实施例中,导电结构组420’中的至少导电结构420b’、420c’、420d’或420e’是功能性导电结构。在一些实施例中,该导电结构组420’具有偶数个功能性导电结构和相应的布线轨道。在一些实施例中,该导电结构组420’具有4个功能性导电结构和相应的布线轨道。
导电结构组422’至少包括导电结构422a’、422b’、422c’、422d’、422e’或422f’。导电结构422a’、422b’、422c’、422d’、422e’、422f’代替图3B的相应导电结构122a’、122b’、122c’、122d’、122e’、124f’并且因此省略了类似的详细描述。
与图3B的导电结构122a’、122b’、122c’、122d’、122e’、124f’相比,导电结构422a’、422b’、422c’、422d’、422e’、422f’在第二方向Y上移位宽度W1的一半(例如,W1/2)。在一些实施例中,通过在第二方向Y上将导电结构422a’、422b’、422c’、422d’、422e’、422f’移位宽度W1的一半(例如,W1/2),可以基于导电结构422a’、422b’、422c’、422d’、422e’、422f’与导电结构430a’或432a’的去除部分410a’、410b’、410c’的接近度来调整功能性或功能性导电结构的数量。
与图3B的导电结构122a’相比,导电结构422a’在第一方向X上的长度较长,因为导电结构430a’或432a’的去除部分410b’在第二方向Y上移位宽度W1的一半(例如,W1/2)。在一些实施例中,通过增加导电结构422a’的长度,使导电结构422a’成为功能性结构。在一些实施例中,该导电结构组422’中的至少导电结构422a’、422b’、422c’、422d’或422e’是功能性导电结构。在一些实施例中,该导电结构组422’具有奇数个功能性导电结构和相应的布线轨道。在一些实施例中,该导电结构组422’具有5个功能性导电结构和相应的布线轨道。
导电结构组424’至少包括导电结构424a’、424b’、424c’、424d’、424e’或424f’。导电结构424a’、424b’、424c’、424d’、424e’、424f’代替图3B的相应导电结构124a’、124b’、124c’、124d’、124e’、224f’并且因此省略了类似的详细描述。
与图3B的导电结构124a’、124b’、124c’、124d’、124e’、224f’相比,导电结构424a’、424b’、424c’、424d’、424e’、424f’在第二方向Y上移位宽度W1的一半(例如,W1/2)。在一些实施例中,通过在第二方向Y上将导电结构424a’、424b’、424c’、424d’、424e’、424f移位宽度W1的一半(例如,W1/2),可以基于导电结构424a’、424b’、424c’、424d’、424e’、424f’与导电结构434a’或436a’的去除部分410a’、410b’、410c’的接近度来调整功能性或非功能性导电结构的数量。导电结构424f’在第一方向X上的长度比导电结构424b’、424c’、424d’、424e’短,并且因此导电结构424f’是非功能性的或伪结构。
在一些实施例中,导电结构组424’中的至少导电结构424b’、424c’、424d’或424e’是功能性导电结构。在一些实施例中,该导电结构组424’具有偶数个功能性导电结构和相应的布线轨道。在一些实施例中,该导电结构组424’具有4个功能性导电结构和相应的布线轨道。
导电结构组426’至少包括导电结构426a’、426b’、426c’、426d’、426e’或426f’。导电结构426a’、426b’、426c’、426d’、426e’、426f’代替了图3B的相应导电结构226a’、126b’、126c’、126d’、126e’、126f’,并且因此省略了类似的详细描述。
与图3B的导电结构226a’、126b’、126c’、126d’、126e’、126f’相比,导电结构426a’、426b’、426c’、426d’、426e’、426f’在第二方向Y上移位宽度W1的一半(例如,W1/2)。在一些实施例中,通过在第二方向Y上将导电结构426a’、426b’、426c’、426d’、426e’、426f’移位宽度W1的一半(例如,W1/2),可以基于导电结构426a’,426b’,426c’,426d’,426e’,426f’与导电结构434a’或436a’的去除部分410a’,410b’,410c’的接近度来调整功能性或非功能性导电结构的数量。
在一些实施例中,该导电结构组426’中的至少导电结构426a’、426b’、426c’、426d’或426e’是功能性导电结构。在一些实施例中,该导电结构组426’具有奇数个功能性导电结构和相应的布线轨道。在一些实施例中,该导电结构组426’具有5个功能性导电结构和相应的布线轨道。
导电结构组430’至少包括导电结构430a’或130b’。导电结构组432’至少包括导电结构432a’或132b’。导电结构430a’、432a’代替图3B的相应的导电结构330a’、332a’,并且因此省略了类似的详细描述。与图3B的导电结构330a’、332a’相比,由于去除部分310a’、410b’和310c’的新位置,相应的导电结构430a’、432a’在第二方向Y上在相应的位置移位。
导电结构组434’至少包括导电结构434a’或134b’。导电结构组436’至少包括导电结构436a’或136b’。导电结构434a’、436a’代替图3B的相应导电结构334a’、336a’,并且因此省略了类似的详细描述。与图3B的导电结构334a’、336a’相比,由于去除部分312a’、412b’和312c’的新位置,相应的导电结构434a’、436a’在第二方向Y上在相应的位置移位。
在一些实施例中,通过将导电部件布局图案组420、422、424、426的位置沿第二方向Y移位宽度W1的一半(例如,W1/2),在第一方向X上延伸的导电部件布局图案组420、422、424、426中的导电部件布局图案的相应侧与该栅格线组102和104中的相应栅格线对准,从而使得至少导电部件布局图案422a、426a与相应的切割部件布局图案410b、412b充分分隔开以不违反定位点设计规则,并且导电部件布局图案422a’和426a’可用于制造相应导电结构422a’和426a’,该相应导电结构422a’和426a’为相应导电结构组422a’和426a’中的附加功能性导电结构。
在一些实施例中,通过将导电结构组420’、422’、424’、426’的位置在第二方向Y上移位宽度W1的一半(例如,W1/2),产生导电结构组420’和422’中的不同数量的功能性导电结构,或导电结构组424’和426’中的不同数量的功能性导电结构。在一些实施例中,通过在导电结构组420’和422’中具有不同数量的功能性导电结构或在导电结构组424’和426’中具有不同数量的功能性导电结构,集成电路400B具有标准单元406a’和406b’中的附加功能性导电结构422a’和相应的布线轨道,以及标准单元408a’和408b’中的附加功能性导电结构426a’和相应的布线轨道,从而使得比其它方法更有效地利用附加布线资源。
图5A是根据一些实施例的集成电路的布局设计500A的图。
布局设计500A是布局设计400A(图4A)的变型,并且因此省略了类似的详细描述。例如,布局设计500A示出了其中切割部件布局图案组510替代图4A的相应切割部件布局图案组410,使得切割部件布局图案组510在第二方向Y上移位宽度W1。
布局设计500A可用于制造图5B的集成电路500B。
布局设计500A包括标准单元布局图案506a、506b、408a和408b。与图4A的布局设计400A相比,标准单元布局图案506a和506b代替相应的标准单元布局图案406a和406b,并且因此省略了类似的详细描述。
布局设计500A还包括栅格线组102和104、导电部件布局图案组520、522、424和426、导电部件布局图案组530、532、434和436,以及切割部件布局图案组510和412。
与图4A的布局设计400A相比,导电部件布局图案组520和522代替相应的导电部件布局图案组420和422,导电部件布局图案组530和532代替相应的导电部件布局图案组430和432,并且切割部件布局图案组510代替相应的切割部件布局图案组410,并且因此省略了类似的详细描述。
切割部件布局图案组510至少包括切割部件布局图案510a、510b或510c。切割部件布局图案510a、510b、510c代替图4A的相应切割部件布局图案310a、410b、310c,并且因此省略了类似的详细描述。与图4A的切割部件布局图案310a、410b、310c相比,切割部件布局图案510a、510b、510c在第二方向Y上移位宽度W1。在一些实施例中,通过将切割部件布局图案组的位置移位宽度W1,使得导电部件布局图案520a和522e在第一方向X上与图4A的相应导电部件布局图案420a和422e具有不同的相应长度。
在一些实施例中,切割部件布局图案510a、510b、510c标识在方法700(图7)的操作706中去除的导电结构530a’或530a’的相应部分510a’、510b’、510c’的相应位置。在一些实施例中,切割部件布局图案组510的切割部件布局图案510a、510b、510c中的至少一个在第二方向Y上具有宽度W2。在一些实施例中,宽度W2对应于至少导电结构530a’或532a’的至少部分510a’、510b’或510c’的切割宽度(未标记)。
切割部件布局图案510a与切割部件布局图案510b在第二方向Y上分隔开间距PA4。切割部件布局图案510b与切割部件布局图案510c在第二方向Y上间隔开间距PA3。
在一些实施例中,导电部件布局图案530a定位在切割部件布局图案510a和510b之间。在一些实施例中,导电部件布局图案532a定位在切割部件布局图案510b和510c之间。
在一些实施例中,切割部件布局图案510b的中心在第二方向Y上从单元边界101a移位间距的一半(例如,P1/2)。在一些实施例中,切割部件布局图案510b的中心在第一方向X上与栅格线102f对准。
在一些实施例中,相应的切割部件布局图案510a、510c的中心在第二方向Y上从相应的单元边界101b、101c移位宽度W1。在一些实施例中,切割部件布局图案510c的中心在第二方向Y上从栅格线104e移位宽度W1。在一些实施例中,切割部件布局图案组510在单个标准单元布局图案(例如,标准单元布局图案506a和506b)中是规则的。在一些实施例中,换句话说,切割部件布局图案组410在相应的标准单元布局图案406a和406b内是规则的,并且切割部件布局图案组412在相应的标准单元布局图案408a和408b内是规则的。
切割部件布局图案组510中的图案的其它配置或数量在本公开的范围内。
导电部件布局图案组520至少包括导电部件图案520a、420b、420c、420d、420e或420f。该导电部件布局图案组520可用于制造集成电路500B的相应导电结构组520’(图5B)。在一些实施例中,导电部件布局图案组520中的导电部件布局图案520a可用于制造集成电路500B的导电结构组520’(图5B)中的相应导电结构520a’。
导电部件布局图案520a代替图4A的导电部件布局图案420a,并且因此省略了类似的详细描述。与图4A的导电部件布局图案420a相比,导电部件布局图案520a在第一方向X上的长度更长,因为切割部件布局图案510a的位置在第二方向Y上远离导电部件布局图案520a移位。
在一些实施例中,该导电部件布局图案组520的布局图案520a、420b、420c、420d和420e对应于标准单元布局506a中的5个M2布线轨道。
导电部件布局图案组520中的图案的其它配置或数量在本公开的范围内。
导电部件布局图案组522至少包括导电部件图案422a、422b、422c、422d、522e或422f。该导电部件布局图案组522可用于制造集成电路500B的相应导电结构组522’(图5B)。在一些实施例中,该导电部件布局图案组522中的导电部件布局图案522e可用于制造集成电路500B的导电结构组522’(图5B)中的相应导电结构522e’。
导电部件布局图案522e代替图4A的导电部件布局图案422e,并且因此省略类似的详细描述。与图4A的导电部件布局图案422e相比,导电部件布局图案522e在第一方向X上的长度较短,因为切割部件布局图案510c的位置在第二方向Y上朝向导电部件布局图案522e移位。
在一些实施例中,该导电部件布局图案组522的布局图案422a、422b、422c和422d对应于标准单元布局506b中的4个M2布线轨道。
导电部件布局图案组522中的图案的其它配置或数量在本公开的范围内。
导电部件布局图案组530至少包括导电部件图案530a或130b。导电部件布局图案组532至少包括导电部件图案532a或132b。
该导电部件布局图案组530、532可用于制造集成电路500B的相应导电结构组530’、532’(图5B)。在一些实施例中,导电部件布局图案530a、532a可用于制造集成电路500B的相应导电结构组530’、532’(图5B)中的相应导电结构530a’、532a’。
导电部件布局图案530a、532a代替图4A的相应导电部件布局图案430a、432a,并且因此省略类似的详细描述。
与图4A的导电部件布局图案430a相比,由于切割部件布局图案510a和510b的位置,导电部件布局图案530a在第二方向Y上移位。
与图4A的导电部件布局图案432a相比,由于切割部件布局图案510b和510c的位置,导电部件布局图案532a在第二方向Y上移位。
导电部件布局图案组530和532中的图案的其它配置或数量在本公开的范围内。
在一些实施例中,通过在第二方向Y上将切割部件布局图案510a的位置远离导电部件布局图案520a移位,附加导电部件布局图案520a可用作标准单元布局图案506a中的附加布线轨道布局图案,从而使得比其它方法更有效地利用布局设计500A中的附加布线资源。
图5B是根据一些实施例的集成电路500B的俯视图的图。
集成电路500B是集成电路400B(图4B)的变型,并且因此省略类似的详细描述。例如,集成电路500B示出了其中导电结构520a’和522e’代替图4A的相应导电结构420a’和422e’,并且导电结构520a’和522e’在第一方向X上具有与图4A的相应导电结构420a’和422e’不同的相应长度的实例。
集成电路500B包括标准单元506a’、506b’、408a’和408b’。与图4B的集成电路400B相比,标准单元506a’和506b’代替相应的标准单元406a’和406b’,并且因此省略了类似的详细描述。
与图4B的集成电路400B相比,导电结构组520’和522’代替相应的导电结构组420’和422’,导电结构组530’和532’代替相应的导电结构组430’和432’,并且因此省略了类似的详细描述。
导电结构组520’至少包括导电结构520a’、420b’、420c’、420d’、420e’或420f’。导电结构520a’代替图4B的导电结构420a’,并且因此省略类似的详细描述。
与图4B的导电结构420a’相比,导电结构520a’在第一方向X上的长度较长,因为导电结构530a的去除部分510a’在第二方向Y上移位宽度W1。在一些实施例中,通过增加导电结构520a’的长度,使得导电结构520a’成为功能性导电结构。在一些实施例中,该导电结构组520’中的至少导电结构520a’、420b’、420c’、420d’或420e’是功能性导电结构。在一些实施例中,该导电结构组520’具有奇数个功能性导电结构和相应的布线轨道。在一些实施例中,该导电结构组520’具有5个功能性导电结构和相应的布线轨道。
导电结构组522’至少包括导电结构422a’、422b’、422c’、422d’、522e’或422f’。导电结构522e’代替图4B的导电结构422e’,并且省略了类似的详细描述。
与图4B的导电结构422e’相比,导电结构522e’在第一方向X上的长度较短,这是因为导电结构532a’的去除部分510c’在第二方向Y上朝向导电结构522e’移位宽度W1。在一些实施例中,通过在第二方向Y上移位导电结构530a或532a的去除部分510a’、510b’、510c’的位置,可以基于导电结构422a’、422b’、422c’、422d’、522e’、422f与导电结构530a或532a的去除部分510a’、510b’、510c’的接近度来调整功能性或非功能性导电结构的数量。
导电结构522e’在第一方向X上的长度比导电结构422e’短,并且因此是非功能性的或伪结构。在一些实施例中,该导电结构组522’中的至少导电结构422a’、422b’、422c’或422d’是功能性导电结构。在一些实施例中,该导电结构组522’具有偶数个功能性导电结构和相应的布线轨道。在一些实施例中,该导电结构组522’具有4个功能性导电结构和相应的布线轨道。
导电结构组530’至少包括导电结构530a’或130b’。导电结构组532’至少包括导电结构532a’或132b’。导电结构530a’、532a’代替图4B的相应导电结构430a’、432a’,并且因此省略了类似的详细描述。与图4B的导电结构430a’、432a’相比,由于去除部分510a’、510b’和510c’的新位置,相应导电结构530a’、532a’在第二方向Y上在相应的位置移位。
在一些实施例中,导电部件布局图案522a’可用于制造相应导电结构522a’,该导电结构522a’是在相应导电结构组522’中的附加功能性导电结构,从而在导电结构组520’和522’中引起不同数量的功能性导电结构。在一些实施例中,通过在导电结构组520’和522’中具有不同数量的功能性导电结构,集成电路500B在标准单元506a’和506b’中具有附加功能性导电结构522a’和相应的布线轨道,从而使得比其它方法更有效地利用附加布线资源。
图6A是根据一些实施例的集成电路的布局设计600A的图。
布局设计600A是布局设计500A(图5A)的变型,并且因此省略了类似的详细描述。例如,布局设计600A示出了其中切割部件布局图案组610和612替代图5A的相应切割部件布局图案组510和412,使得切割部件布局图案组610或612内的切割部件布局图案分隔开不同的间距(例如,间距PB2和PC2)。
布局设计600A可用于制造图6B的集成电路600B。
布局设计600A包括标准单元布局图案606a、606b、608a和608b。与图5A的布局设计500A相比,标准单元布局图案606a、606b、608a和608b代替相应的标准单元布局图案506a、506b、408a和408b,并且因此省略了类似的详细描述。
与图5A的布局设计500A相比,导电部件布局图案组620和622代替相应的导电部件布局图案组520和522,导电部件布局图案组630、632、634和636代替相应的导电部件布局图案组530、532、534和536,并且切割部件布局图案组610和612代替相应的切割部件布局图案组510和412,并且因此省略了类似的详细描述。
切割部件布局图案组610至少包括切割部件布局图案310a、610b或310c。
切割部件布局图案组612至少包括切割部件布局图案312a、612b或312c。
切割部件布局图案组610b、612b代替图5A的相应切割部件布局图案510b、412b,并且因此省略了类似的详细描述。
与图5A的切割部件布局图案510b、412b相比,相应的切割部件布局图案610b、612b的每个均在第二方向Y上移位间距P1。在一些实施例中,通过将切割部件布局图案组610的相应切割部件布局图案610b、612b的位置移位间距P1来将切割部件布局图案组610和612的间距改变为PB2和PC2。
在一些实施例中,切割部件布局图案310a、610b、310c标识在方法700(图7)的操作706中去除的导电结构630a’或632a’的相应部分610a’、610b’、610c’的相应位置。
在一些实施例中,切割部件布局图案312a、612b、312c标识在方法700(图7)的操作706中去除的导电结构634a’或636a’的相应部分612a’、612b’、612c’的相应位置。
在一些实施例中,切割部件布局图案310a、610b、310c、312a、612b或312c中的至少一个在第二方向Y上具有宽度W2。在一些实施例中,宽度W2对应于至少导电结构630a’、632a’、634a’或636a’的至少部分310a’、610b’、310c’、312a’、612b’或312c’的切割宽度(未标记)。
切割部件布局图案310a、312c在第二方向Y上与相应的切割部件布局图案610b、612b分隔开间距PB2。切割部件布局图案610b、612b与相应的切割部件布局图案310c、312a分隔开间距PC2。在一些实施例中,间距PB1、PB2、PC1或PC2中的至少一个不同于间距PB1、PB2、PC1或PC2中的另一个。
在一些实施例中,导电部件布局图案630a、634a定位在相应的切割部件布局图案310a、312a与相应的切割部件布局图案610b、612b之间。在一些实施例中,导电部件布局图案632a、636a定位在相应的切割部件布局图案610b、612b与相应的切割部件布局图案310c、312c之间。
在一些实施例中,相应的切割部件布局图案610b和612b的中心在第一方向X上与相应的栅格线104a和102f对准。在一些实施例中,切割部件布局图案组610在相应的标准单元布局图案606a和606b内是规则的,并且切割部件布局图组案612在相应的标准单元布局图案608a和608b内是规则的。
切割部件布局图案组610或612中的图案的其它配置或数量在本公开的范围内。
导电部件布局图案组620至少包括导电部件图案420a、420b、420c、420d、420e或620f。该导电部件布局图案组620可用于制造集成电路500B的相应导电结构组620’(图6B)。在一些实施例中,该导电部件布局图案组620中的导电部件布局图案620f可用于制造集成电路600B的导电结构组620’(图6B)中的相应导电结构620f’。
导电部件布局图案620f代替图5A的导电部件布局图案420f,并且因此省略了类似的详细描述。与图5A的导电部件布局图案420f相比,导电部件布局图案620f在第一方向X上的长度较长,因为切割部件布局图案610b的位置在第二方向Y上远离导电部件布局图案620f移位。
在一些实施例中,该导电部件布局图案组620的布局图案420b、420c、420d、420e和6206对应于标准单元布局506a中的5个M2布线轨道。
导电部件布局图案组620中的图案的其它配置或数量在本公开的范围内。
导电部件布局图案组622至少包括导电部件图案622a、422b、422c、422d、422e或422f。该导电部件布局图案组622可用于制造集成电路600B的相应导电结构组622’(图6B)。在一些实施例中,该导电部件布局图案组622中的导电部件布局图案622e可用于制造集成电路600B的导电结构组622’(图6B)中的相应导电结构622e’。
导电部件布局图案622a代替图5A的导电部件布局图案422a,并且因此省略了类似的详细描述。与图5A的导电部件布局图案422a相比,导电部件布局图案622a在第一方向X上的长度较短,因为切割部件布局图案610b的位置在第二方向Y上朝向导电部件布局图案622a移位。
在一些实施例中,该导电部件布局图案组622的布局图案422b、422c、422d和422e对应于标准单元布局606b中的4个M2布线轨道。
导电部件布局图案组622中的图案的其它配置或数量在本公开的范围内。
导电部件布局图案组630至少包括导电部件图案630a或130b。导电部件布局图案组632至少包括导电部件图案632a或132b。导电部件布局图案组634至少包括导电部件图案634a或134b。导电部件布局图案组636至少包括导电部件图案636a或136b。
该导电部件布局图案组630、632、634、636可用于制造集成电路600B的相应导电结构组630’、632’、634’、636’(图6B)。在一些实施例中,导电部件布局图案630a、632a、634a、636a可用于制造集成电路600B’的相应导电结构组630’、632’、634’、636(图6B)的相应导电结构630a’、632a’、634a’、636a’。
导电部件布局图案630a、632a、634a、636a代替图5A的相应导电部件布局图案530a、532a、434a、436a,并且因此省略了类似的详细描述。
与图5A的导电部件布局图案530a相比,导电部件布局图案630a由于切割部件布局图案310a和610b的位置而在第二方向Y上移位。
与图5A的导电部件布局图案532a相比,导电部件布局图案632a由于切割部件布局图案610b和310c的位置而在第二方向Y上移位。
与图5A的导电部件布局图案434a相比,导电部件布局图案634a由于切割部件布局图案312a和612b的位置而在第二方向Y上移位。
与图5A的导电部件布局图案436a相比,导电部件布局图案636a由于切割部件布局图案612b和312c的位置而在第二方向Y上移位。
导电部件布局图案组630、632、634和636中的图案的其它配置或数量在本公开的范围内。
在一些实施例中,通过在第二方向Y上将切割部件布局图案610b的位置远离导电部件布局图案620f移位,附加导电部件布局图案620f可用作标准单元布局图案606a中的附加布线轨道布局图案,从而使得比其它方法更有效地利用布局设计600A中的附加布线资源。
图6B是根据一些实施例的集成电路600B的俯视图的图。
集成电路600B是集成电路500B(图5B)的变型,并且因此省略类似的详细描述。例如,集成电路600B示出了其中导电结构620f’和622a’代替图5A的相应导电结构420f’和422a’,并且导电结构620f’和622a’在第一方向X上具有与图5A的相应导电结构420f’和422a’不同的相应长度的实例。在一些实施例中,集成电路600B还示出了其中相应导电结构630a’、634a’的长度与相应导电结构632a’、636a’的长度在不同的间距(例如,间距PB2和PC2)不同的实例。
集成电路600B包括标准单元606a’、606b’、608a’和608b’。与图5B的集成电路500B相比,标准单元606a’、606b’、608a’和608b’代替相应的标准单元506a’、506b’、408a’和408b’,并且因此省略了类似的详细描述。
与图5B的集成电路500B相比,导电结构组620’和622’代替相应的导电结构组520’和522’,导电结构组630’、632’、634’和636’代替相应导电结构组530’、532’、434’和436’,并且因此省略类似的详细描述。
导电结构组620’至少包括导电结构420a’、420b’、420c’、420d’、420e’或620f’。导电结构620f’代替图5B的导电结构420f’,并且因此省略了类似的详细描述。
与图5B的导电结构420f’相比,导电结构620f’在第一方向X上的长度较长,因为导电结构630a或632a的去除部分610b’在第二方向Y上远离导电结构620f’移位间距P1。在一些实施例中,通过增加导电结构620f’的长度,使得导电结构620f’成为功能性导电结构。在一些实施例中,该导电结构组620’中的至少导电结构420b’、420c’、420d’、420e’或620f’是功能性导电结构。在一些实施例中,该导电结构组620’具有奇数个功能性导电结构和相应的布线轨道。在一些实施例中,该导电结构组620’具有5个功能性导电结构和相应的布线轨道。
导电结构组622’至少包括导电结构622a’、422b’、422c’、422d’、422e’或422f’。导电结构622a’代替图5B的导电结构422a’,并且因此省略了类似的详细描述。
与图5B的导电结构422a’相比,导电结构622a’在第一方向X上的长度较短,这是因为导电结构630a’或632a’的去除部分610b’在第二方向Y上朝向导电结构622a’移位间距P1。在一些实施例中,通过在第二方向Y上移位导电结构630a’或632a’的去除部分310a’、610b’、310c’的位置,可以基于导电结构622a’、422b’、422c’、422d’、422e’、422f’与导电结构630a或632a的去除部分310a’、610b’、310c’的接近度来调整功能性或非功能性导电结构的数量。
导电结构622a’在第一方向X上的长度比导电结构422a’短,并且因此是非功能性或伪结构。在一些实施例中,该导电结构组622’中的至少导电结构422b’、422c’、422d’或422e’是功能性导电结构。在一些实施例中,该导电结构组622’具有偶数个功能性导电结构和相应的布线轨道。在一些实施例中,该导电结构组622’具有4个功能性导电结构和相应的布线轨道。
在一些实施例中,导电部件布局图案620f’可用于制造相应导电结构520f’,该导电结构520f’是相应导电结构组620’中的附加功能性导电结构,从而在导电结构组620’和622’中产生不同数量的功能性导电结构。在一些实施例中,通过在导电结构组620’和622’中具有不同数量的功能性导电结构,集成电路600B在标准单元606a’和606b’中具有附加功能性导电结构622f’和相应的布线轨道,从而使得比其它方法更有效地利用附加布线资源。
方法
图7是根据一些实施例的形成或制造集成电路的方法700的流程图。应当理解,可以在图7所示的方法700之前、期间和/或之后执行额外的操作,并且这里仅简要描述了一些其它操作。在一些实施例中,方法700可用于形成集成电路,诸如至少集成电路100C(图1C)、集成电路200B(图2B)、集成电路400B(图4B)、集成电路500B(图5B)或集成电路600B(图6B)。在一些实施例中,方法700可用于形成具有与布局设计100A(图1A)、布局设计200A(图2A)、布局设计300A(图3A)、布局设计400A(图4A)、布局设计500A(图5A)、布局设计600A(图6A)中的一个或多个相似的结构关系的集成电路。
在方法700的操作702中,生成集成电路的布局设计。在一些实施例中,方法700的布局设计包括布局设计100A(图1A)、布局设计200A(图2A)、布局设计300A(图3A)、布局设计400A(图4A)、布局设计500A(图5A)、布局设计600A(图6A)中的一个或多个。在一些实施例中,方法700的集成电路包括集成电路100C(图1C)、集成电路200B(图2B)、集成电路300B(图3B)、集成电路400B(图4B)、集成电路500B(图5B)或集成电路600B(图6B)中的一个或多个。
操作702由配置为执行用于生成布局设计的指令的处理期间(例如,处理器902(图9))实施。在一些实施例中,布局设计是图形数据库系统(GDSII)文件格式。
在方法700的操作704中,基于布局设计来制造集成电路。在一些实施例中,方法700的操作704包括基于布局设计来制造至少一个掩模,以及基于至少一个掩模来制造集成电路。
方法700继续进行操作706,其中,去除导电结构130a’或132a’的一部分110b’,从而形成集成电路100C的导电结构130a’或132a’。
在一些实施例中,导电结构130a’或132a’的去除部分110b’由切割区域(例如,金属切割部件布局图案110b(图1A至图1B))标识。在一些实施例中,方法700的操作706被称为切割金属一工艺。在一些实施例中,操作706产生形成的IC 100A(图1A)。
在一些实施例中,在操作706中去除的导电结构130a’或132a’的部分110b’在布局设计100A中通过金属切割部件布局图案110b’(图1A至图1B)来标识。在一些实施例中,金属切割部件布局图案110b’标识了集成电路100C的导电结构130a’或132a’的去除部分110b’的位置。
在一些实施例中,导电结构130a’或132a’的去除部分110b’包括在第二方向Y上的切割宽度(未标记)和在第一方向X上的切割长度(未标记)。在实施例中,金属切割部件布局图案110b(图1A至图1B)包括在第二方向Y上的图案宽度(未标记)和在第一方向X上的图案长度(未标记)。金属切割部件布局图案110b的图案宽度(未标记)对应于去除部分110b’的切割宽度(未标记)。在一些实施例中,金属切割部件布局图案110b的图案长度(未标记)对应于去除部分110b’的切割长度(未标记)。
在一些实施例中,对集成电路100C中的导电结构实施方法700的操作706,该导电结构在第二方向Y上没有充分地彼此分隔开以确保一致的制造良率。例如,在这些实施例中,如果导电结构130a’与相应的导电结构132a’之间在第二方向Y上的距离小于最小距离(例如,取决于制造工艺),则导电结构130a’和相应的导电结构132a’没有充分地彼此分隔开以确保一致的制造良率,并且因此应用方法700的操作706以确保导电结构130a’和相应导电结构132a’之间充分分隔开。最小距离是为了确保一致的良率而制造的导电结构之间的最小间距。在一些实施例中,如果导电结构130a’与相应导电结构132a’之间在第二方向Y上的距离大于最小距离(例如,取决于制造工艺),则不对导电结构130a’和相应的导电结构132a’实施方法700的操作706。
在一些实施例中,通过去除工艺来实施操作706。在一些实施例中,去除工艺包括适合于去除导电结构130a’或132a’的部分110b’的一个或多个蚀刻工艺。在一些实施例中,操作706的蚀刻工艺包括标识将被去除的导电结构130a’或132a’的部分110b’,以及蚀刻将被去除的导电结构130a’或132a’的部分110b’。在一些实施例中,使用掩模来指定导电结构130a’或132a’的要被切割或去除的部分110b’。在一些实施例中,该掩模是硬掩模。在一些实施例中,该掩模是软掩模。在一些实施例中,蚀刻对应于等离子体蚀刻、反应离子蚀刻、化学蚀刻、干蚀刻、湿蚀刻、其它合适的工艺、它们的任何组合等。在一些实施例中,方法700的操作704或706可用于制造具有在图1A至图1C、图2A至图2B、图3A至图3B、图4A至图4B、图5A至图5B或图6A至图6B中描述的一个或多个优势的一个或多个集成电路,并且因此省略类似的详细描述。
虽然参照导电结构130a’和132a’以及部分110b’描述了操作706,但是应当理解,操作706也可应用于集成电路100C、200B、300B、400B、500B或600B的至少包括导电结构130b’、132b’、134a’、134b’、136a’、136b’、234a’、236a’、430a’、432a’、434a’、436a’、530a’、532a’、630a’、632a’、634a’或636a’、部分110b’、212b’、410b’、412b’、510b’、610b’或612b’等的一个或多个其它部分,或集成电路100C、200B、400B、500B或600B的由切割部件布局图案组110、112、212、310、312、410、412、510、610或612中的一个或多个切割部件布局图案标识的其它部分。
图8是根据一些实施例的生成集成电路的布局设计的方法800的流程图。应当理解,可以在图8所示的方法800之前、期间和/或之后实施额外的操作,并且这里仅简要描述一些其它工艺。
在一些实施例中,方法800可用于生成集成电路的布局设计的一个或多个布局图案。在一些实施例中,方法800可用于生成一个或多个布局设计,诸如布局设计100A(图1A)、布局设计200A(图2A)、布局设计300A(图3A)、布局设计400A(图4A)、布局设计500A(图5A)、布局设计600A(图6A)。在一些实施例中,方法800可用于生成集成电路的布局设计的一个或多个布局图案,诸如至少集成电路100C(图1C)、集成电路200B(图2B)、集成电路300B(图3B)、集成电路400B(图4B)、集成电路500B(图5B)或集成电路600B(图6B)。
在方法800的操作802中,生成或放置了第一组栅格线。在一些实施例中,将第一组栅格线放置在布局设计上。在一些实施例中,方法800的布局设计至少包括布局设计100A(图1A)、布局设计200A(图2A)、布局设计300A(图3A)、布局设计400A(图4A)、布局设计500A(图5A)或布局设计600A(图6A)。在一些实施例中,方法800的第一组栅格线至少包括栅格线组102或104。
在方法800的操作804中,生成或放置第二组栅格线。在一些实施例中,将第二组栅格线放置在布局设计上。在一些实施例中,方法800的第二组栅格线至少包括栅格线组102或104。
在方法800的操作806中,生成或放置第一组导电部件布局图案。在一些实施例中,将方法800的第一组导电部件布局图案放置在第一布局层级上。在一些实施例中,第一布局层级是M2布局层级。在一些实施例中,方法800的第一组导电部件布局图案至少包括一组导电部件布局图案120、122、124、126、224、226、420、422、424、426、520、522、620或622。
在方法800的操作808中,生成或放置第二组导电部件布局图案。在一些实施例中,将方法800的第二组导电部件布局图案放置在第一布局层级上。在一些实施例中,方法800的第二组导电部件布局图案至少包括导电部件布局图案组120、122、124、126、224、226、420、422、424、426、520、522、620或622。
在方法800的操作810中,生成或放置第一组切割部件布局图案。在一些实施例中,将方法800的第一组切割部件布局图案放置在与第一布局层级不同的第二布局层级上。在一些实施例中,第二布局层级是M1布局层级。在一些实施例中,方法800的第一组切割部件布局图案至少包括切割部件布局图案组110、112、212、310、312、410、412、510、610或612。
在方法800的操作812中,生成或放置第二组切割部件布局图案。在一些实施例中,将方法800的第二组切割部件布局图案放置在第二布局层级上。在一些实施例中,方法800的第二组切割部件布局图案至少包括切割部件布局图案组110、112、212、310、312、410、412、510、610或612。
在方法800的操作814中,生成或放置第三组导电部件布局图案。在一些实施例中,将方法800的第三组导电部件布局图案放置在第二布局层级上。在一些实施例中,方法800的第三组导电部件布局图案至少包括导电部件布局图案组130、132、134、136、234、236、330、332、334、336、430、432、434、436、530、532、630、632、634或636。
在方法800的操作814中,生成或放置第四组导电部件布局图案。在一些实施例中,方法800的第四组导电部件布局图案放置在第二布局层级上。在一些实施例中,方法800的第四组导电部件布局图案至少包括导电部件布局图案组130、132、134、136、234、236、330、332、334、336、430、432、434,436、530、532、630、632、634或636。
在一些实施例中,操作806、808、810、812、814、816中的一个或多个还包括生成或放置第一组标准单元布局设计。在一些实施例中,操作806、808、810、812、814、816中的一个或多个还包括生成或放置第二组标准单元布局设计。在一些实施例中,操作806、808、810、812、814、816中的一个或多个还包括生成或放置第三组标准单元布局设计。在一些实施例中,操作806、808、810、812、814、816中的一个或多个还包括生成或放置第四组标准单元布局设计。
在一些实施例中,方法800的至少第一组标准单元布局设计、第二组标准单元布局设计、第三组标准单元布局设计或第四组标准单元布局设计包括一个或多个标准单元布局设计106a、106b、108a、108b、208a、208b、306a、306b、308a、308b、406a、406b、408a、408b、506a、506b、606a、606b、608a或608b中的一个或多个。
在一些实施例中,诸如本发明的布局设计100A、200A、300、400A、500A或600A的布局设计中的至少一个是标准单元。在一些实施例中,不实施方法800的一个或多个操作,诸如操作802、804、806、808、810、812或814。
方法700-800的一个或多个操作由配置为执行用于制造集成电路(诸如集成电路100C、200B、400B、500B或600B)的指令的处理器件实施。在一些实施例中,使用与方法700-800的不同一个或多个操作中所使用的处理器件相同的处理器件来实施方法700-800的一个或多个操作。在一些实施例中,把不同的处理器件用于实施实施方法700-800的一个或多个操作与用于实施方法700-800的不同的一个或多个操作。
所描述的方法包括示例性操作,但是不一定要求以所示顺序实施它们。根据本公开的实施例的精神和范围,可以适当地增加、替换、改变顺序和/或消除操作。组合不同特征和/或不同实施例的实施例在本公开的范围内,并且在回顾本公开后对于本领域普通技术人员将是显而易见的。
图9是根据一些实施例的用于设计和制造IC布局设计的系统900的框图。在一些实施例中,系统900生成或放置本文描述的一个或多个IC布局设计。在一些实施例中,系统900基于本文描述的一个或多个IC布局设计来制造一个或多个IC。系统900包括硬件处理器902和非暂时性计算机可读存储介质904,非暂时性计算机可读存储介质904等编码有,即存储计算机程序代码,即,一组可执行指令906。计算机可读存储介质904被配置为与制造机器进行交互以产生集成电路。处理器902经由总线908电耦合到I/O接口910。网络接口912也经由总线908电连接到处理器902。网络接口912连接到网络914,使得处理器902和计算机可读存储介质904能够经由网络914连接到外部元件。处理器902被配置为执行编码在计算机可读存储介质904中的指令906,以使系统900可用于实施方法700或800中描述的操作的部分或全部。
在一些实施例中,处理器902是中央处理单元(CPU)、多处理器、分布式处理系统、专用集成电路(ASIC)和/或合适的处理单元。
在一些实施例中,计算机可读存储介质904是电子、磁、光学、电磁、红外和/或半导体系统(或装置或设备)。例如,非暂时性计算机可读存储介质904包括半导体或固态存储器、磁带、可移动计算机磁盘、随机存取存储器(RAM)、只读存储器(ROM)、刚性磁盘和/或光盘。在使用光盘的一个或多个实施例中,计算机可读存储介质904包括光盘-只读存储器(CD-ROM)、光盘-读/写器(CD-R/W)和/或数字视频光盘(DVD)。
在一些实施例中,存储介质904存储计算机程序代码906,其被配置为使系统900实施方法700或800。在一些实施例中,存储介质904还存储有助于实施方法700或800的信息以及实施方法700或800期间生成的信息,例如布局设计916、用户界面918和制造单元920,和/或一组可执行指令以实施方法700或800的操作。在一些实施例中,布局设计916包括布局设计100A(图1A)、布局设计200A(图2A)、布局设计300A(图3A)、布局设计400A(图4A)、布局设计500A(图5A)、布局设计600A(图6A)中的一个或多个布局图案。
在一些实施例中,存储介质904存储用于与制造机器交互的指令(例如,计算机程序代码906)。指令(例如,计算机程序代码906)使处理器902能够生成制造机器可读的制造指令,以在制造工艺期间有效地实施方法700或800。
系统900包括I/O接口910。I/O接口910耦合到外部电路。在一些实施例中,I/O接口910包括键盘、小键盘、鼠标、跟踪球、触控板、触摸屏和/或光标方向键中的一个或组合,以用于将信息和命令传送到处理器902或从处理器902接收信息和命令。
系统900还包括耦合到处理器902的网络接口912。网络接口912允许系统900与网络914通信,其中,一个或多个其它计算机系统连接到网络914。网络接口912包括无线网络接口,诸如BLUETOOTH、WIFI、WIMAX、GPRS或WCDMA;或有线网络接口,诸如ETHERNET、USB或IEEE-1394。在一些实施例中,在两个或更多个系统900中实现方法700或800,并且诸如布局设计、用户界面和制造单元的信息通过网络914在不同系统900之间交换。
系统900被配置为通过I/O接口910或网络接口912接收与布局设计有关的信息。该信息通过总线908传输到处理器902,以确定用于生产IC(例如,集成电路100C(图1C)、集成电路200B(图2B)、集成电路400B(图4B)、集成电路500B(图5B)或集成电路600B(图6B))的布局设计。然后将布局设计作为布局设计916存储在计算机可读介质904中。系统900被配置为通过I/O接口910或网络接口912接收与用户界面有关的信息。该信息作为用户界面918存储在计算机可读介质904中。系统900被配置为通过I/O接口910或网络接口912接收与制造单元有关的信息。该信息作为制造单元920存储在计算机可读介质904中。在一些实施例中,制造单元920包括系统900所利用的制造信息。
在一些实施例中,方法700或800被实现为用于由处理器执行的独立软件应用程序。在一些实施例中,方法700或800被实现为作为附加软件应用程序的一部分的软件应用程序。在一些实施例中,方法700或800被实现为软件应用程序的插件。在一些实施例中,方法700或800被实现为EDA工具的一部分的软件应用程序。在一些实施例中,方法700或800被实现为EDA系统使用的部分的软件应用程序。在一些实施例中,EDA工具用于生成集成电路器件的布局设计。在一些实施例中,布局设计被存储在非暂时性计算机可读介质上。在一些实施例中,使用诸如可用的
Figure BSA0000191389350000591
(来自于CADENCE DESIGN SYSTEMS,Inc)或其它合适的布局生成工具的工具生成布局设计。在一些实施例中,基于基于原理图设计创建的网表来生成布局设计。在一些实施例中,方法700或800由制造器件实施,以使用基于由系统900生成的一个或多个布局设计制造的掩模组来制造集成电路。在一些实施例中,系统900是使用基于本公开的一个或多个布局设计制造的掩模组来制造集成电路的制造器件。在一些实施例中,图9的系统900包括生成比其他方法小的IC的布局设计。在一些实施例中,图9的系统900包括生成IC结构的布局设计,其比其他方法占据更少的面积。在一些实施例中,图9的IC或IC结构包括本说明书的IC(例如,集成电路100C(图1C)、集成电路200B(图2B)、集成电路300B(图3B)、集成电路400B(图4B)、集成电路500B(图5B)或集成电路600B(图6B))。
图10是根据本发明的至少一个实施例的集成电路(IC)制造系统1000及其相关的IC制造流程的框图。
在图10中,IC制造系统1000包括在设计、开发和制造周期中彼此交互的实体,诸如设计室1020、掩模室1030和IC制造厂/制造商(“fab”)1040和/或与制造IC器件1060有关的服务。系统1000中的实体通过通信网络连接。在一些实施例中,通信网络是单个网络。在一些实施例中,通信网络是各种不同的网络,诸如内联网和因特网。通信网络包括有线和/或无线通信信道。每个实体与一个或多个其它实体交互,并向一个或多个其它实体提供服务和/或从一个或多个其它实体接收服务。在一些实施例中,设计室1020、掩模室1030和IC制造厂1040中的两个或多个由单个较大的公司拥有。在一些实施例中,设计室1020、掩模室1030和IC制造厂1040中的两个或多个共存于公共设施中并使用公共资源。
设计室(或设计团队)1020生成IC设计布局图1022。IC设计布局1022包括设计为用于IC器件1060的各种几何图案,该几何图案对应于构成要制造的IC器件1060的各种组件的金属、氧化物或半导体层的图案。各个层结合形成各种IC部件。例如,IC设计布局1022的部分包括形成在半导体衬底(诸如硅晶圆)中的各种IC部件,诸如有源区域、栅电极、源极和漏极、层间互连的金属线或通孔、以及用于接合焊盘的开口;以及设置在半导体衬底上的各个材料层。设计室1020实现包括适当的设计程序以形成IC设计布局图1022。设计程序包括逻辑设计、物理设计或布局布线中的一个或多个。IC设计布局图1022呈现在具有几何图案的信息的一个或多个数据文件中。例如,可以以GDSII文件格式或DFII文件格式表示IC设计布局图1022。
掩模室1030包括数据准备1032和掩模制造1034。掩模室1030使用IC设计布局图1022来制造一个或多个掩模,以用于根据IC设计布局图1022制造IC器件1060的各个层。掩模室1030实施掩模数据准备1032,其中IC设计布局图1022被转换为代表性数据文件(“RDF”)。掩模数据准备1032向掩模制造1034提供RDF。掩模制造1034包括掩模写入器。掩模写入器将RDF转换为衬底上的图像,诸如掩模(中间掩模)或半导体晶圆。掩模数据准备1032操纵设计布局以符合掩模写入器的特定特性和/或IC制造厂1040的要求。在图10中,掩模数据准备1032和掩模制造1034被示为单独的元件。在一些实施例中,掩模数据准备1032和掩模制造1034可以统称为掩模数据准备。
在一些实施例中,掩模数据准备1032包括光学邻近校正(OPC),其使用光刻增强技术来补偿诸如可能由衍射、干涉、其它工艺效应等引起的图像误差。OPC调整IC设计布局图1022。在一些实施例中,掩模数据准备1032包括进一步的分辨率增强技术(RET),诸如离轴照射、子分辨率辅助部件、相移掩模、其它合适的技术等或它们的组合。在一些实施例中,还使用反向光刻技术(ILT),其将OPC视为反向成像问题。
在一些实施例中,掩模数据准备1032包括掩模规则检查器(MRC),其中,该掩模规则检查器(MRC)利用包括特定的几何和/或连接限制的掩模创建规则组检查已经经历了OPC中的工艺的IC设计布局,以确保足够的裕度,从而解决半导体制造工艺中的变化性等。在一些实施例中,MRC修改IC设计布局图以补偿掩模制造1034期间的限制,这可以取消OPC实施的部分修改以满足掩模创建规则。
在一些实施例中,掩模数据准备1032包括光刻工艺检查(LPC),其模拟将由IC制造厂1040实施的处理以制造IC器件1060。LPC基于IC设计布局图1022模拟该处理以创建诸如IC器件1060的模拟制造的器件。LPC模拟中的处理参数可以包括与IC制造周期的各个工艺相关的参数、与用于制造IC的工具相关的参数和/或制造工艺的其它方面。LPC考虑了各种因素,诸如空间图像对比度、焦深(“DOF”)、掩模误差增强因子(“MEEF”)、其它合适因素等或它们的组合。在一些实施例中,在通过LPC创建模拟制造的器件之后,如果模拟器件的形状不够接近而无法满足设计规则,则将重复OPC和/或MRC以进一步改进IC设计布局图1022。
应当理解,为了简明,已经简化了掩模数据准备1032的上述描述。在一些实施例中,数据准备1032包括诸如逻辑操作(LOP)的额外的特征以根据制造规则修改IC设计布局图1022。此外,可以以各种不同的顺序执行在数据准备1032期间应用于IC设计布局图1022的工艺。
在掩模数据准备1032之后并且在掩模制造1034期间,基于修改的IC设计布局图1022制造掩模或掩模组。在一些实施例中,电子束(e束)或多个电子束的机制用于基于修改的IC设计布局图在掩模(光掩模或中间掩模)上形成图案。可以采用各种技术来形成掩模。在一些实施例中,使用二元技术形成掩模。在一些实施例中,掩模图案包括不透明区域和透明区域。用于曝光已经涂覆在晶圆上的图像敏感材料层(例如光刻胶)的辐射束(诸如紫外(UV)束)被不透明区域阻挡并透过透明区域。在一个实例中,二元掩模版本的掩模包括透明衬底(例如,石英玻璃)和涂覆在二元掩模的不透明区域中的不透明材料(例如,铬)。在另一实例中,使用相移技术形成掩模。在掩模的相移掩模(PSM)版本中,形成在相移掩模上的图案中的各个部件配置为具有适当的相位差以提高分辨率和成像质量。在各个实例中,相移掩模可以是衰减型PSM或交替型PSM。通过掩模制造1034所生成的掩模用于各个工艺中。例如,这种掩模可以用于离子注入工艺中以在半导体晶圆中形成各种掺杂区域,用于蚀刻工艺中以在半导体晶圆中形成各种蚀刻区域,和/或用于其它合适的工艺中。
IC制造厂1040是IC制造企业,其包括用于制造各种不同IC产品的一个或多个制造设备。在一些实施例中,IC制造厂1040是半导体代工厂。例如,可以存在用于多个IC产品的前段制造(前段制程(FEOL)制造)的制造设备,而第二制造设备可以提供用于IC产品的互连和封装的后段制造(后段制程(BEOL)制造),以及第三制造设备可以为代工企业提供其它服务。
IC制造厂1040使用由掩模室1030制造的掩模(或多个掩模)来制造IC器件1060。因此,IC制造厂1040至少间接地使用IC设计布局图1022来制造IC器件1060。在一些实施例中,使用掩模(或多个掩模)1045由IC制造厂1040制造半导体晶圆1042以形成IC器件1060。半导体晶圆1042包括其上形成有材料层的硅衬底或其它适当的衬底。半导体晶圆还包括各种掺杂区域、介电部件、多层互连件等中的一个或多个(在后续的制造步骤中形成)。
系统1000示出为具有设计室1020、掩模室1030或IC fab 1040作为单独的组件或实体。然而,应当理解,设计室1020、掩模室1030或IC fab 1040中的一个或多个是相同组件或实体的一部分。
例如,在以下专利中发现关于集成电路(IC)制造系统(例如,图10的系统1000)及其相关的IC制造流程的细节:于2016年2月9日授权的第9,256,709号美国专利、于2015年10月1日发表的美国预授权出版号为20150278429的美国专利、于2014年2月6日发表的美国预授权出版号为20140040838的美国专利以及于2007年8月21日授权的第7,260,442号美国专利,其每个专利的全部内容结合于此作为参考。
本领域的普通技术人员将容易地理解,所公开的实施例中的一个或多个实现了以上阐述的一个或多个优势。在阅读前述说明书之后,本领域普通技术人员将能够影响本文广泛公开的各种变化、等同物的替代以及各种其它实施例。因此,旨在授予的保护仅受所附权利要求及其等同物中包含的定义的限制。
本说明书的一个方面涉及形成集成电路(IC)的方法。在一些实施例中,该方法包括:由处理器生成集成电路的第一标准单元布局设计;生成集成电路的第二标准单元布局设计;生成在第一方向上延伸的第一组切割部件布局图案,并至少基于第一标准单元布局设计或第二标准单元布局设计来制造集成电路。在一些实施例中,第二标准单元布局设计在第一方向上邻接第一标准单元布局设计。在一些实施例中,第一组切割部件布局图案的第一切割部件布局图案的侧在第一方向上延伸,并与第一组栅格线或第二组栅格线的第一栅格线对准。在一些实施例中,生成第一标准单元布局设计包括生成在第一方向上延伸的第一组导电部件布局图案,其位于第一金属层级上并且与在第一方向上延伸的第一组栅格线重叠。在一些实施例中,第一组导电部件布局图案对应于制造第一组导电结构。在一些实施例中,生成第二标准单元布局设计包括生成在第一方向上延伸的第二组导电部件布局图案,其位于第一金属层级上并且与在第一方向上延伸的第二组栅格线重叠。在一些实施例中,第二组导电部件布局图案对应于制造第二组导电结构。在一些实施例中,第二组栅格线在不同于第一方向的第二方向上与第一组栅格线分隔开。在一些实施例中,第一组导电部件布局图案的每个导电部件布局图案的中心与第一组栅格线的相应栅格线对准。在一些实施例中,第二组导电部件布局图案的每个导电部件布局图案的中心与第二组栅格线的相应栅格线对准。在一些实施例中,第一组切割部件布局图案包括第一切割部件布局图案、与第一切割部件布局图案在第二方向上分隔开第一间距的第二切割部件布局图案,以及与第一切割部件布局图案在第二方向上分隔开第二间距的第三切割部件布局图案。在一些实施例中,生成第一标准单元布局设计还包括生成在第二方向上延伸的第一导电部件布局图案。在一些实施例中,第一导电部件布局图案位于第一金属层级之下的第二金属层级上。在一些实施例中,第一导电部件布局图案对应于制造第一导电结构。在一些实施例中,第一导电部件布局图案定位在第一切割部件布局图案和第二切割部件布局图案之间。在一些实施例中,生成第二标准单元布局设计还包括生成在第二方向上延伸的第二导电部件布局图案。在一些实施例中,第二导电部件布局图案位于第二金属层级上。在一些实施例中,第二导电部件布局图案对应于制造第二导电结构。在一些实施例中,第二导电部件布局图案定位在第一切割部件布局图案和第三切割部件布局图案之间。在一些实施例中,第一切割部件布局图案对应于标识第一导电结构或第二导电结构的去除部分的位置。在一些实施例中,该方法还包括生成集成电路的第三标准单元布局设计。在一些实施例中,第三标准单元布局设计在第二方向上邻接第一标准单元布局设计。在一些实施例中,生成第三标准单元布局设计包括生成在第一方向上延伸的第三组导电部件布局图案,该第三组导电部件布局图案位于第一金属层级上并且与第一组栅格线重叠。在一些实施例中,第三组导电部件布局图案对应于制造第三组导电结构。在一些实施例中,该方法还包括生成集成电路的第四标准单元布局设计。在一些实施例中,第四标准单元布局设计在第一方向上邻接第三标准单元布局设计,并且在第二方向上邻接第二标准单元布局设计。在一些实施例中,生成第四标准单元布局设计包括生成在第一方向上延伸的第四组导电部件布局图案,该第四组导电部件布局图案位于第一金属层级上并且与第二组栅格线重叠。在一些实施例中,第四组导电部件布局图案对应于制造第四组导电结构。在一些实施例中,生成第四标准单元布局设计包括生成在第一方向上延伸的第二组切割部件布局图案。在一些实施例中,第一组导电结构包括奇数个导电结构,第二组导电结构包括偶数个导电结构,第三组导电结构包括奇数个导电结构,并且第四组导电结构包括偶数个导电结构。在一些实施例中,第一组导电结构包括奇数个导电结构,第二组导电结构包括偶数个导电结构,第三组导电结构包括偶数个导电结构,并且第四组导电结构包括奇数个导电结构。在一些实施例中,第二组切割部件布局图案包括第四切割部件布局图案、与第四切割部件布局图案在第二方向上分隔开第三间距的第五切割部件布局图案,以及与第四切割部件布局图案在第二方向上分隔开第四间距的第六切割部件布局图案。在一些实施例中,第一间距等于第三间距,并且第二间距等于第四间距。在一些实施例中,第一间距、第二间距、第三间距和第四间距彼此相等。
本说明书的另一方面涉及用于设计集成电路的系统。在一些实施例中,该系统包括被配置为存储可执行指令的非暂时性计算机可读介质,以及耦合至该非暂时性计算机可读介质的处理器。在一些实施例中,处理器被配置为执行指令以生成在第一方向上延伸的第一组布线轨道和第二组布线轨道。在一些实施例中,第二组布线轨道在与第一方向不同的第二方向上与第一组布线轨道分隔开。在一些实施例中,处理器还被配置为执行指令以生成在第一方向上延伸并且位于第一金属层级上的第一组导电部件布局图案。在一些实施例中,第一组导电部件布局图案对应于制造具有奇数个导电结构的第一组导电结构。在一些实施例中,第一组导电部件布局图案的每个布局图案的侧在第一方向上与第一组布线轨道的相应布线轨道对准。在一些实施例中,处理器还被配置为执行指令以生成在第一方向上延伸并且位于第一金属层级上的第二组导电部件布局图案。在一些实施例中,第二组导电部件布局图案对应于制造具有偶数个导电结构的第二组导电结构。在一些实施例中,第二组导电部件布局图案的每个布局图案的侧在第一方向上与第二组布线轨道的相应布线轨道对准。在一些实施例中,处理器还被配置为执行指令以生成在第二方向上延伸并且位于与第一金属层级不同的第二金属层级上的第三组导电部件布局图案。在一些实施例中,第三组导电部件布局图案对应于制造第三组导电结构。在一些实施例中,处理器被配置为执行指令以生成在第一方向上延伸并且位于第一金属层级上的第四组导电部件布局图案。在一些实施例中,第四组导电部件布局图案对应于制造第四组导电结构。在一些实施例中,第一组导电部件布局图案的每个布局图案的侧在第一方向上与第四组导电部件布局图案的每个布局图案的相应侧对准。在一些实施例中,处理器被配置为执行指令以生成在第一方向上延伸并位于第一金属层级上的第五组导电部件布局图案。在一些实施例中,第五组导电部件布局图案对应于制造第五组导电结构。在一些实施例中,第二组导电部件布局图案的每个布局图案的侧在第一方向上与第五组导电部件布局图案的每个布局图案的相应侧对准。在一些实施例中,处理器被配置为执行指令以生成在第一方向上延伸的第一组切割部件布局图案,第一组切割部件布局图案中的第一切割部件布局图案标识集成电路的第三组导电结构的第一导电结构的第一去除部分的第一位置。在一些实施例中,处理器被配置为执行指令以生成在第一方向上延伸的第二组切割部件布局图案,以及第二组切割部件布局图案中的第二切割部件布局图案,并且该第二组切割部件布局图案标识集成电路的第三组导电结构的第二导电结构的第二去除部分的第二位置。在一些实施例中,处理器被配置为执行用于生成第一组切割部件布局图案的指令,其中,第一组切割部件布局图案的每个切割部件布局图案的中心在第一方向上与第一组布线轨道的相应布线轨道对准。在一些实施例中,处理器被配置为执行用于生成第二组切割部件布局图案的指令,其中,第二组切割部件布局图案的每个切割部件布局图案的中心在第一方向上与第二组布线轨道的相应布线轨道对准。在一些实施例中,处理器被配置为执行用于生成第一组切割部件布局图案的指令,该指令包括生成包括第一切割部件布局图案、与第一切割部件布局图案在第二方向上分隔开第一间距的第三切割部件布局图案,以及与第一切割部件布局图案在第二方向上分隔开第二间距的第四切割部件布局图案的第一组切割部件布局图案。在一些实施例中,处理器被配置为执行用于生成第二组切割部件布局图案的指令,该指令包括生成包括第二切割部件布局图案、与第二切割部件布局图案在第二方向上分隔开第三间距的第五切割部件布局图案,以及与第二切割部件布局图案在第二方向上分隔开第四间距的第六切割部件布局图案的第二组切割部件布局图案。在一些实施例中,处理器被配置为执行指令,其中,第一间距等于第三间距,并且第二间距等于第四间距。在一些实施例中,处理器被配置为执行指令,其中第一间距、第二间距、第三间距和第四间距彼此相等。
本说明书的另一方面涉及集成电路。在一些实施例中,集成电路包括第一区域、第二区域、第三区域和第四区域。在一些实施例中,集成电路的第一区域包括在第一方向上延伸的第一组导电结构,其位于第一金属层上并且具有奇数个功能性导电结构。在一些实施例中,集成电路的第二区域包括在第一方向上延伸的第二组导电结构,其位于第一金属层上并且具有偶数个功能性导电结构。在一些实施例中,第二组导电结构在不同于第一方向的第二方向上与第一组导电结构分隔开。在一些实施例中,集成电路的第三区域包括在第一方向上延伸、位于第一金属层上并且具有奇数个功能性导电结构的第三组导电结构。在一些实施例中,集成电路的第四区域包括第四组导电结构。在一些实施例中,集成电路的第一区域还包括在第二方向上延伸、位于第一金属层之下的第二金属层上的第一导电结构。在一些实施例中,集成电路的第二区域还包括在第二方向上延伸、位于第二金属层上、并在第二方向上与第一导电结构分隔开的第二导电结构。在一些实施例中,集成电路的第三区域还包括在第二方向上延伸并且位于第二金属层上的第三导电结构。在一些实施例中,集成电路的第四区域还包括在第二方向上延伸、位于第二金属层上,并且在第二方向上与第三导电结构分隔开的第四导电结构。在一些实施例中,集成电路的第一区域在第二方向上具有第一高度。在一些实施例中,集成电路的第二区域在第二方向上具有第二高度。在一些实施例中,集成电路的第三区域在第二方向上具有第三高度。在一些实施例中,集成电路的第四区域在第二方向上具有第四高度。在一些实施例中,第一高度、第二高度、第三高度和第四高度中的每个相等。
上面概述了若干实施例的特征,使得本领域人员可以更好地理解本发明的方面。本领域人员应该理解,它们可以容易地使用本发明作为基础来设计或修改用于实施与本文所介绍实施例相同的目的和/或实现相同优势的其它工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中它们可以做出多种变化、替换以及改变。

Claims (20)

1.一种形成集成电路的方法,所述方法包括:
由处理器生成所述集成电路的第一标准单元布局设计,其中,生成所述集成电路的所述第一标准单元布局设计包括:
生成第一组导电部件布局图案,所述第一组导电部件布局图案在第一方向上延伸、位于第一金属层级上并且与在所述第一方向上延伸的第一组栅格线重叠;
生成所述集成电路的第二标准单元布局设计,所述第二标准单元布局设计在第二方向上邻接所述第一标准单元布局设计,其中,生成所述第二标准单元布局设计包括:
生成第二组导电部件布局图案,所述第二组导电部件布局图案在所述第一方向上延伸、位于所述第一金属层级上并且与在所述第一方向上延伸的第二组栅格线重叠,并且所述第二组栅格线在与所述第一方向不同的所述第二方向上与所述第一组栅格线分隔开;
生成所述集成电路的第三标准单元布图设计,所述第三标准单元布图设计在所述第一方向上与所述第一标准单元布图设计邻接,其中,生成所述第三标准单元布图设计包括:
生成第三组导电部件布局图案,所述第三组导电部件布局图案在所述第一方向上延伸、位于所述第一金属层级上并与所述第一组栅格线重叠;
生成在所述第一方向上延伸并且在第二方向上与所述第一组导电部件布局图案和所述第二组导电部件布局图案分隔开的第一组切割部件布局图案,在所述第一方向上延伸的所述第一组切割部件布局图案中的第一切割部件布局图案的侧与所述第一组栅格线或所述第二组栅格线中的第一栅格线对准,其中,第一组切割部件布局图案包括在所述第二方向上彼此分隔开的第一切割部件布局图案、第二切割部件布局图案和第三切割部件布局图案,所述第一组导电部件布局图案在所述第一切割部件布局图案和所述第二切割部件布局图案之间,所述第二组导电部件布局图案在所述第二切割部件布局图案和所述第三切割部件布局图案之间;以及
基于所述第一标准单元布局设计或所述第二标准单元布局设计来制造所述集成电路。
2.根据权利要求1所述的方法,其中
所述第一组导电部件布局图案的每个导电部件布局图案的中心与所述第一组栅格线的相应栅格线对准;以及
所述第二组导电部件布局图案的每个导电部件布局图案的中心与所述第二组栅格线的相应栅格线对准。
3.根据权利要求1所述的方法,其中
所述第二切割部件布局图案在所述第二方向上与所述第一切割部件布局图案分隔开第一间距;以及
所述第三切割部件布局图案在所述第二方向上与所述第二切割部件布局图案分隔开第二间距。
4.根据权利要求3所述的方法,其中
生成所述第一标准单元布局设计还包括:
生成在所述第二方向上延伸的第一导电部件布局图案,所述第一导电部件布局图案位于所述第一金属层级之下的第二金属层级上,所述第一导电部件布局图案对应于制造第一导电结构,所述第一导电部件布局图案定位在所述第一切割部件布局图案和所述第二切割部件布局图案之间;以及
生成所述第二标准单元布局设计还包括:
生成在所述第二方向上延伸的第二导电部件布局图案,所述第二导电部件布局图案位于第二金属层级上,所述第二导电部件布局图案对应于制造第二导电结构,所述第二导电部件布局图案定位在所述第一切割部件布局图案和第三切割部件布局图案之间,以及所述第一切割部件布局图案对应于标识所述第一导电结构或所述第二导电结构的去除部分的位置。
5.根据权利要求3所述的方法,还包括:
生成所述集成电路的第四标准单元布局设计,所述第四标准单元布局设计在所述第二方向上邻接所述第三标准单元布局设计,并在所述第一方向上邻接所述第二标准单元布局设计,其中,生成所述第四标准单元布局设计包括:
生成第四组导电部件布局图案,所述第四组导电部件布局图案在所述第一方向上延伸、位于所述第一金属层级上并与所述第二组栅格线重叠;以及
生成在所述第一方向上延伸的第二组切割部件布局图案。
6.根据权利要求5所述的方法,其中
所述第一组导电部件布局图案对应于制造第一组导电结构,所述第一组导电结构包括奇数个导电结构;
所述第二组导电部件布局图案对应于制造第二组导电结构,所述第二组导电结构包括偶数个导电结构;
所述第三组导电部件布局图案对应于制造第三组导电结构,所述第三组导电结构包括奇数个导电结构;以及
所述第四组导电部件布局图案对应于制造第四组导电结构,所述第四组导电结构包括偶数个导电结构。
7.根据权利要求5所述的方法,其中
所述第一组导电部件布局图案对应于制造第一组导电结构,所述第一组导电结构包括奇数个导电结构;
所述第二组导电部件布局图案对应于制造第二组导电结构,所述第二组导电结构包括偶数个导电结构;
所述第三组导电部件布局图案对应于制造第三组导电结构,所述第三组导电结构包括偶数个导电结构;和
所述第四组导电部件布局图案对应于制造第四组导电结构,所述第四组导电结构包括奇数个导电结构。
8.根据权利要求5所述的方法,其中,所述第二组切割部件布局图案包括:
第四切割部件布局图案;
第五切割部件布局图案,与所述第四切割部件布局图案在所述第二方向上分隔开第三间距;以及
第六切割部件布局图案,与所述第五切割部件布局图案在所述第二方向上分隔开第四间距。
9.根据权利要求8所述的方法,其中,
所述第一间距等于所述第三间距,以及
所述第二间距等于所述第四间距。
10.根据权利要求8所述的方法,其中,所述第一间距、所述第二间距、所述第三间距和所述第四间距彼此相等。
11.一种用于设计集成电路的系统,所述系统包括:
非暂时性计算机可读介质,被配置为存储可执行指令;以及
处理器,耦合至所述非暂时性计算机可读介质,其中,所述处理器被配置为执行以下指令:
生成在第一方向上延伸的第一组布线轨道和第二组布线轨道,所述第二组布线轨道在不同于所述第一方向的第二方向上与所述第一组布线轨道分隔开;
生成在所述第一方向上延伸并位于第一金属层级上的第一组导电部件布局图案,所述第一组导电部件布局图案的每个布局图案的侧在所述第一方向上与第一组布线轨道中的布线轨道对准,所述第一组导电部件布局图案对应于制造具有奇数个导电结构的第一组导电结构;
生成在所述第一方向上延伸并位于所述第一金属层级上的第二组导电部件布局图案,所述第二组导电部件布局图案的每个布局图案的侧在所述第一方向上与所述第二组布线轨道中的相应布线轨道对准,所述第二组导电部件布局图案对应于制造具有偶数个导电结构的第二组导电结构;以及
生成在所述第二方向上延伸的第三组导电部件布局图案,所述第三组导电部件布局图案位于与所述第一金属层级不同的第二金属层级上;
生成在所述第一方向上延伸并且在第二方向上与所述第一组导电部件布局图案和所述第二组导电部件布局图案分隔开的第一组切割部件布局图案,所述第一组切割部件布局图案包括在所述第二方向上彼此分隔开的第一切割部件布局图案、第二切割部件布局图案和第三切割部件布局图案,所述第一组导电部件布局图案在所述第一切割部件布局图案和所述第二切割部件布局图案之间,所述第二组导电部件布局图案在所述第二切割部件布局图案和所述第三切割部件布局图案之间。
12.根据权利要求11所述的系统,其中,所述处理器还被配置为执行以下指令:
生成在所述第一方向上延伸并位于所述第一金属层级上的第四组导电部件布局图案,所述第一组导电部件布局图案的每个布局图案的侧在所述第一方向上与所述第四组导电部件布局图案的每个布局图案的相应侧对准;以及
生成在所述第一方向上延伸并位于所述第一金属层级上的第五组导电部件布局图案,所述第二组导电部件布局图案的每个布局图案的侧在所述第一方向上与所述第五组导电部件布局图案的每个布局图案的相应侧对准。
13.根据权利要求12所述的系统,其中
所述处理器被配置为执行以下指令:
所述第三组导电部件布局图案对应于制造第三组导电结构,
所述第四组导电部件布局图案对应于制造第四组导电结构,以及
所述第五组导电部件布局图案对应于制造第五组导电结构;
并且所述处理器还被配置为执行以下指令:
所述第一组切割部件布局图案中的所述第一切割部件布局图案标识所述集成电路的所述第三组导电结构的第一导电结构的第一去除部分的第一位置;以及
生成在所述第一方向上延伸的第二组切割部件布局图案,所述第二组切割部件布局图案中的第四切割部件布局图案标识所述集成电路的所述第三组导电结构的第二导电结构的第二去除部分的第二位置。
14.根据权利要求13所述的系统,其中,所述处理器被配置为执行以下指令:
将所述第一组切割部件布局图案的每个切割部件布局图案的中心在第一方向上与所述第一组布线轨道中的相应布线轨道对准;以及
生成所述第二组切割部件布局图案,所述第二组切割部件布局图案的每个切割部件布局图案的中心在所述第一方向上与所述第二组布线轨道的相应布线轨道对准。
15.根据权利要求13所述的系统,其中,所述处理器被配置为执行以下指令:
生成所述第一组切割部件布局图案包括:
所述第二切割部件布局图案在第二方向上与所述第一切割部件布局图案分隔开第一间距;以及
所述第三切割部件布局图案在第二方向上与所述第二切割部件布局图案分隔开第二间距;以及
生成所述第二组切割部件布局图案,其中,所述第二组切割部件布局图案包括:
所述第四切割部件布局图案;
第五切割部件布局图案,在所述第二方向上与所述第四切割部件布局图案分隔开第三间距;以及
第六切割部件布局图案,在所述第二方向上与所述第五切割部件布局图案分隔开第四间距。
16.根据权利要求15所述的系统,其中,所述处理器被配置为执行指令,其中
所述第一间距等于所述第三间距,以及
所述第二间距等于所述第四间距。
17.根据权利要求15所述的系统,其中,所述处理器被配置为执行指令,其中
所述第一间距、所述第二间距、所述第三间距和第四间距中的每个彼此相等。
18.一种集成电路,包括:
所述集成电路的第一区域,包括:
第一组导电结构,在第一方向上延伸、位于第一金属层上并且具有奇数个功能性导电结构;和
第一导电结构,在不同于所述第一方向的第二方向上延伸、位于所述第一金属层之下的第二金属层上;
在所述第二方向上邻接所述第一区域的所述集成电路的第二区域,包括:
第二组导电结构,在所述第一方向上延伸、位于所述第一金属层上并且具有偶数个功能性导电结构,所述第二组导电结构在不同于所述第一方向的第二方向上通过第一切割部件布局图案与所述第一组导电结构分隔开;和
第二导电结构,在所述第二方向上延伸、位于所述第二金属层上、并在所述第二方向上与所述第一导电结构分隔开;
在所述第一方向上邻接所述第一区域的所述集成电路的第三区域,包括:
第三组导电结构,在所述第一方向上延伸、位于所述第一金属层上并且具有奇数个功能性导电结构;以及
在所述第一方向上邻接所述第二区域并且在所述第二方向上邻接所述第三区域的所述集成电路的第四区域,包括:
第四组导电结构,在所述第一方向上延伸、位于所述第一金属层上并且具有偶数个功能性导电结构,所述第四组导电结构在所述第二方向上通过第二切割部件布局图案与所述第三组导电结构分隔开。
19.根据权利要求18所述的集成电路,其中
所述集成电路的所述第三区域还包括:
第三导电结构,在所述第二方向上延伸并且位于所述第二金属层上;以及
所述集成电路的所述第四区域还包括:
第四导电结构,在所述第二方向上延伸、位于所述第二金属层上,并且在所述第二方向上与所述第三导电结构分隔开。
20.根据权利要求18所述的集成电路,其中
所述集成电路的所述第一区域在所述第二方向上具有第一高度,
所述集成电路的所述第二区域在所述第二方向上具有第二高度;
所述集成电路的所述第三区域在所述第二方向上具有第三高度,
所述集成电路的所述第四区域在所述第二方向上具有第四高度,
其中,所述第一高度、所述第二高度、所述第三高度和所述第四高度中的每个相等。
CN201910931843.6A 2018-09-28 2019-09-29 集成电路及其形成方法和用于设计集成电路的系统 Active CN110993599B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862738776P 2018-09-28 2018-09-28
US62/738,776 2018-09-28
US16/579,138 2019-09-23
US16/579,138 US11188703B2 (en) 2018-09-28 2019-09-23 Integrated circuit, system, and method of forming the same

Publications (2)

Publication Number Publication Date
CN110993599A CN110993599A (zh) 2020-04-10
CN110993599B true CN110993599B (zh) 2023-05-02

Family

ID=69946844

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910931843.6A Active CN110993599B (zh) 2018-09-28 2019-09-29 集成电路及其形成方法和用于设计集成电路的系统

Country Status (4)

Country Link
US (1) US11188703B2 (zh)
KR (1) KR102320068B1 (zh)
CN (1) CN110993599B (zh)
TW (1) TWI727441B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10997348B2 (en) * 2018-09-28 2021-05-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal cut region location method and system
US11550985B2 (en) 2020-04-09 2023-01-10 Tokyo Electron Limited Method for automated standard cell design
US11714945B2 (en) 2020-04-09 2023-08-01 Tokyo Electron Limited Method for automated standard cell design
JP1695972S (zh) * 2020-08-10 2021-09-27
JP1695971S (zh) * 2020-08-10 2021-09-27
KR20220022498A (ko) 2020-08-18 2022-02-28 삼성전자주식회사 반도체 장치
KR20220058783A (ko) 2020-10-30 2022-05-10 삼성전자주식회사 반도체 장치
CN113177385B (zh) * 2021-04-20 2024-02-02 深圳市一博科技股份有限公司 一种自动生成MeshLine的PCB设计方法
US12001772B2 (en) * 2021-09-24 2024-06-04 International Business Machines Corporation Ultra-short-height standard cell architecture

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102013106383A1 (de) * 2012-06-27 2014-01-02 Samsung Electronics Co. Ltd. Integrierte Halbleiterschaltung, Verfahren zum Entwerfen derselben und Verfahren zum Herstellen derselben
CN107391782A (zh) * 2016-04-29 2017-11-24 台湾积体电路制造股份有限公司 集成电路及形成集成电路的系统和方法

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6885043B2 (en) 2002-01-18 2005-04-26 Lightspeed Semiconductor Corporation ASIC routing architecture
US6892370B2 (en) 2003-04-02 2005-05-10 Silicon Design Systems Ltd. Computerized standard cell library for designing integrated circuits (ICs) with high metal layer intra cell signal wiring, and ICs including same
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US7956421B2 (en) * 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8667443B2 (en) * 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
KR101395060B1 (ko) * 2007-09-18 2014-05-15 삼성전자주식회사 라인 패턴들을 포함하는 반도체 소자
JP2010117851A (ja) * 2008-11-12 2010-05-27 Nec Electronics Corp レイアウト検証装置、レイアウト装置、レイアウト検証方法、レイアウト検証プログラム、及び配線形成方法
US9324866B2 (en) * 2012-01-23 2016-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for transistor with line end extension
US8769446B2 (en) * 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8365108B2 (en) * 2011-01-06 2013-01-29 International Business Machines Corporation Generating cut mask for double-patterning process
US8513978B2 (en) * 2011-03-30 2013-08-20 Synopsys, Inc. Power routing in standard cell designs
US8621406B2 (en) * 2011-04-29 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
JP5708330B2 (ja) * 2011-07-15 2015-04-30 富士通セミコンダクター株式会社 配線パターンデータの生成方法
US8788984B2 (en) * 2011-10-07 2014-07-22 Baysand Inc. Gate array architecture with multiple programmable regions
US20150048425A1 (en) * 2011-10-07 2015-02-19 Baysand Inc. Gate array architecture with multiple programmable regions
KR101937851B1 (ko) * 2012-06-27 2019-04-10 삼성전자 주식회사 반도체 집적 회로, 그 설계 방법 및 제조방법
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US9213793B1 (en) * 2012-08-31 2015-12-15 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for implementing electronic designs using flexible routing tracks
US8788998B2 (en) * 2012-12-21 2014-07-22 Broadcom Corporation Non-integer height standard cell library
US8975712B2 (en) * 2013-05-14 2015-03-10 Globalfoundries Inc. Densely packed standard cells for integrated circuit products, and methods of making same
US9147028B2 (en) * 2013-05-24 2015-09-29 Globalfoundries Inc. Forming modified cell architecture for finFET technology and resulting device
US8918746B1 (en) * 2013-09-04 2014-12-23 Globalfoundries Inc. Cut mask aware contact enclosure rule for grating and cut patterning solution
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US9465906B2 (en) 2014-04-01 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integrated circuit manufacturing
US9582629B2 (en) * 2014-04-04 2017-02-28 Globalfoundries Inc. Methods of generating circuit layouts using self-alligned double patterning (SADP) techniques
US9330221B2 (en) * 2014-05-23 2016-05-03 Globalfoundries Inc. Mask-aware routing and resulting device
US9767243B2 (en) 2014-05-27 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. System and method of layout design for integrated circuits
US9477804B2 (en) * 2015-01-20 2016-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit design method
US9690896B2 (en) * 2015-04-09 2017-06-27 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device and semiconductor device manufactured by the same
US9727685B2 (en) * 2015-05-14 2017-08-08 Globalfoundries Inc. Method, apparatus, and system for improved standard cell design and routing for improving standard cell routability
US9798852B2 (en) * 2015-06-29 2017-10-24 Globalfoundries Inc. Methods of design rule checking of circuit designs
US9529954B1 (en) * 2016-03-11 2016-12-27 Pdf Solutions, Inc. Standard cell library with DFM-optimized M0 cuts
US20170300608A1 (en) * 2016-04-19 2017-10-19 Globalfoundries Inc. Method, system and program product for sadp-friendly interconnect structure track generation
US20170358565A1 (en) * 2016-06-09 2017-12-14 Globalfoundries Inc. Standard cell layout and method of arranging a plurality of standard cells
US10163883B2 (en) * 2016-06-15 2018-12-25 Taiwan Semiconductor Manufacturing Company Ltd. Layout method for integrated circuit and layout of the integrated circuit
US10402529B2 (en) * 2016-11-18 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and layout of an integrated circuit
US10854499B2 (en) * 2016-11-29 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system for and method of forming an integrated circuit
US10339250B2 (en) * 2016-11-29 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating engineering change order (ECO) layout of base cell and computer-readable medium comprising executable instructions for carrying out said method
US11251124B2 (en) * 2016-11-29 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Power grid structures and method of forming the same
US10331838B2 (en) * 2016-12-12 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with fill cells
CN106601732B (zh) * 2016-12-21 2022-07-12 台湾积体电路制造股份有限公司 元件格布局结构与形成元件格的方法
US10192021B1 (en) * 2017-02-21 2019-01-29 Cadence Design Systems, Inc. Generating and inserting metal and metal etch shapes in a layout to correct design rule errors
US10229239B2 (en) * 2017-04-03 2019-03-12 International Business Machines Corporation Capacity model for global routing
US10489548B2 (en) * 2017-05-26 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method for manufacturing the same
US10803227B2 (en) * 2017-08-29 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit layouts with line-end extensions
KR102458359B1 (ko) * 2018-01-31 2022-10-25 삼성전자주식회사 적응적 메탈 필을 이용한 레이아웃 설계 방법 및 이에 기반하여 제조되는 반도체 장치

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102013106383A1 (de) * 2012-06-27 2014-01-02 Samsung Electronics Co. Ltd. Integrierte Halbleiterschaltung, Verfahren zum Entwerfen derselben und Verfahren zum Herstellen derselben
CN107391782A (zh) * 2016-04-29 2017-11-24 台湾积体电路制造股份有限公司 集成电路及形成集成电路的系统和方法

Also Published As

Publication number Publication date
TWI727441B (zh) 2021-05-11
KR102320068B1 (ko) 2021-11-03
US11188703B2 (en) 2021-11-30
CN110993599A (zh) 2020-04-10
US20200104451A1 (en) 2020-04-02
TW202027228A (zh) 2020-07-16
KR20200037116A (ko) 2020-04-08

Similar Documents

Publication Publication Date Title
CN110993599B (zh) 集成电路及其形成方法和用于设计集成电路的系统
US11756999B2 (en) Double height cell regions, semiconductor device having the same, and method of generating a layout diagram corresponding to the same
US10503863B2 (en) Integrated circuit and method of manufacturing same
KR102150518B1 (ko) 집적 회로 및 그 제조 방법
US11854974B2 (en) Advanced node interconnect routing methodology
US11984441B2 (en) Integrated circuit with backside power rail and backside interconnect
US11704464B2 (en) Integrated circuit including misaligned isolation portions
US20240090190A1 (en) Semiconductor device including unilaterally extending gates and method of forming same
US20230253328A1 (en) Method of making a semiconductor device with v2v rail
TW202032262A (zh) 製造半導體元件之方法及用於製造半導體元件之系統
US20230259686A1 (en) Semiconductor device and method and system of arranging patterns of the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant