TW202013455A - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TW202013455A
TW202013455A TW108134743A TW108134743A TW202013455A TW 202013455 A TW202013455 A TW 202013455A TW 108134743 A TW108134743 A TW 108134743A TW 108134743 A TW108134743 A TW 108134743A TW 202013455 A TW202013455 A TW 202013455A
Authority
TW
Taiwan
Prior art keywords
layer
film layer
fin
gate
epitaxial
Prior art date
Application number
TW108134743A
Other languages
English (en)
Other versions
TWI821415B (zh
Inventor
林資敬
林建智
朱峯慶
舒麗麗
李啟弘
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202013455A publication Critical patent/TW202013455A/zh
Application granted granted Critical
Publication of TWI821415B publication Critical patent/TWI821415B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一種半導體裝置的形成方法包括形成鰭片突出於基板之上,形成閘極結構於鰭片之上,形成凹槽於鄰近閘極結構的鰭片中;以及形成源極/汲極區域於凹槽中,源極/汲極區域包括第一膜層、第二膜層、及第三膜層。形成源極/汲極區域包括:在第一製程條件下進行第一磊晶製程以在凹槽中形成第一膜層,第一膜層沿著凹槽所露出的鰭片的表面延伸,在第二製程條件下進行第二磊晶製程以在第一膜層上形成第二膜層;以及在第三製程條件下進行第三磊晶製程以在第二膜層上形成第三膜層,第三膜層填充凹槽。第一製程條件、第二製程條件、及第三製程條件不同。

Description

半導體裝置的形成方法
本發明實施例係有關於一種半導體裝置的形成方法,且特別有關於一種包括鰭狀場效電晶體(Fin Field-Effect Transistor,FinFET)的半導體裝置的形成方法。
由於各種電子組件(例如電晶體、二極體、電阻、電容等)的積體密度持續改善,半導體工業經歷了快速成長。在大部分情況下,積體密度的改善來自重複減少最小部件尺寸,其允許在給定的面積中整合更多的組件。
鰭狀場效電晶體(Fin Field-Effect Transistor,FinFET)元件在積體電路中變得經常被使用。鰭狀場效電晶體元件具有三維結構,其包括從基板突出的半導體鰭片。閘極結構環繞半導體鰭片,其用以控制鰭狀場效電晶體元件的導電通道中電荷載子流動。例如,在三閘極(tri-gate)鰭狀場效電晶體元件中,閘極結構環繞半導體鰭片的三側面,因而在半導體鰭片的三側面上形成導電通道。
本發明實施例包括一種半導體裝置的形成方法,包括:形成鰭片突出於基板之上,形成閘極結構於鰭片之上,形成凹槽於鄰近閘極結構的鰭片中;以及形成源極/汲極區域於凹槽中,源極/汲極區域包括第一膜層、第二膜層、及第三膜層。形成源極/汲極區域包括:在第一製程條件下進行第一磊晶製程以在凹槽中形成第一膜層,第一膜層沿著凹槽所露出的鰭片的表面延伸,在第二製程條件下進行第二磊晶製程以在第一膜層上形成第二膜層;以及在第三製程條件下進行第三磊晶製程以在第二膜層上形成第三膜層,第三膜層填充凹槽。第一製程條件、第二製程條件、及第三製程條件不同。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。例如,若是本發明實施例敘述了一第一特徵部件形成於一第二特徵部件之上或上方,即表示其可能包含上述第一特徵部件與上述第二特徵部件是直接接觸的實施例,亦可能包含了有附加特徵部件形成於上述第一特徵部件與上述第二特徵部件之間,而使上述第一特徵部件與第二特徵部件可能未直接接觸的實施例。
此外,其中可能用到與空間相對用詞,例如「在…下方」、「下方」、「較低的」、「上方」、「較高的」及類似的用詞,這些空間相對用詞係為了便於描述圖示中一個(些)元件或特徵部件與另一個(些)元件或特徵部件之間的關係,這些空間相對用詞包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),則其中所使用的空間相對形容詞也將依轉向後的方位來解釋。
本發明實施例在形成鰭狀場效電晶體元件的背景下,特別是形成鰭狀場效電晶體元件源極/汲極區域的背景下討論。雖然本發明實施例以鰭狀場效電晶體元件作為例子討論,本發明實施例的方法亦可用於其他類型的元件,例如平面元件。
第1圖繪示出範例鰭狀場效電晶體30的透視圖。鰭狀場效電晶體30包括基板50及突出於基板50之上的鰭片64。形成隔離區域62於鰭片64的相對側上,鰭片64突出於隔離區域62之上。閘極介電質66位於沿鰭片64的側壁及頂表面之上,且閘極68位於閘極介電質66之上。源極/汲極區域80在鰭片64之中,且位於閘極介電質66及閘極68的相對側。第1圖更繪示出在後續圖式中所使用的參考剖面。剖面B-B沿鰭狀場效電晶體30的閘極68之縱軸。剖面A-A垂直於剖面B-B,並沿著鰭片64的縱軸,且在例如源極/汲極區域80之間的電流方向上。剖面C-C平行於剖面B-B,並跨過源極/汲極區域80。為清楚起見,後續圖式參見這些剖面。
第2-9、10A-10C、11A、11B、12、13、14A、及14B圖係根據一些實施例繪示出在各個製造階段時鰭狀場效電晶體元件100的剖面圖。鰭狀場效電晶體元件100類似第1圖中的鰭狀場效電晶體30,但具有多鰭片及多閘極結構。第2-5圖繪示出鰭狀場效電晶體元件100沿剖面B-B的剖面圖。第6-9及10A圖繪示出鰭狀場效電晶體元件100沿剖面A-A的剖面圖。第10B及10C圖繪示出鰭狀場效電晶體元件100沿剖面C-C的剖面圖。第11A、12、13、及14A圖繪示出鰭狀場效電晶體元件100沿剖面A-A的剖面圖,且第11B及14B圖繪示出鰭狀場效電晶體元件100沿剖面B-B的剖面圖。
第2圖繪示出基板50的剖面圖。基板50可為半導體基板例如塊狀半導體、絕緣體覆矽(semiconductor-on-insulator ,SOI)基板、或其相似基板,其可為(例如以p型或n型摻質)摻雜的或未摻雜的。基板50可為晶圓,例如矽晶圓。一般而言,絕緣體覆矽基板包括半導體材料層形成於絕緣層之上。絕緣層可為例如埋藏氧化(buried oxide,BOX)層、氧化矽層、或其相似物。絕緣層設置於基板之上,通常為矽或玻璃基板。可使用其他基板,例如多層或梯度基板。在一些實施例中,基板50的半導體材料可包括矽;鍺;化合物半導體包括碳化矽(silicon carbide)、砷化鎵(gallium arsenic)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)、及/或銻化銦(indium antimonide);合金半導體包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP、或上述之組合。
參見第3圖,以例如微影及蝕刻技術圖案化第2圖中所繪示的基板50。例如,形成罩幕層例如墊氧化物層52及上覆的墊氮化物層56於基板50之上。墊氧化物層52可為例如使用熱氧化製程所形成的包含氧化矽的薄膜。墊氧化物層52可作為基板50和上覆的墊氮化物層56之間的附著層。在一些實施例中,墊氮化物層56以氮化矽(silicon nitride)、氮氧化矽(silicon oxynitride)、碳化矽(silicon carbide)、碳氮化矽(silicon carbonitride)、其相似物、或上述之組合形成,並可使用例如低壓化學氣相沉積(low-pressure chemical vapor deposition,LPCVD)或電漿增強化學氣相沉積(plasma-enhanced CVD,PECVD)形成。
可使用微影技術圖案化罩幕層。通常來說,微影技術使用沉積、照射(曝光)、並顯影光阻材料(未繪示)以移除一部分的光阻材料。餘留的光阻材料在後續製程步驟例如蝕刻時保護下方的材料,例如本範例中的罩幕層。在此範例中,使用光阻材料以圖案化墊氧化物層52及墊氮化物層56,以形成如第3圖中所繪示的圖案化的罩幕58。
接著使用圖案化的罩幕58圖案化基板50的露出部分,以形成溝槽61,從而如第3圖所繪示,定義鄰近溝槽61之間的半導體鰭片64(例如64A及64B)。在一些實施例中,使用例如反應離子蝕刻(reactive ion etch,RIE)、中性束蝕刻(neutral beam etch,NBE)、其相似方法、或上述之組合在基板50中蝕刻凹槽,形成半導體鰭片64。蝕刻可為非等向性的。在一些實施例中,溝槽61可為彼此平行的條狀物(從上方看),且彼此緊密間隔。在一些實施例中,溝槽61可為連續的並環繞半導體鰭片64。在下文中,半導體鰭片64亦可稱為鰭片64。
可以任意合適的方法圖案化鰭片64。例如,可使用一或多道微影製程,包括雙重圖案化或多重圖案化製程以圖案化鰭片64。一般而言,雙重圖案化或多重圖案化製程結合了微影及自對準製程,允許創造的圖案具有例如比使用單一直接微影製程可得的截距還小的截距。舉例而言,在一實施例中,使用微影製程在基板上形成並圖案化犧牲層。使用自對準製程在圖案化的犧牲層旁形成間隔物。接著移除犧牲層,且餘留的間隔物或心軸可接著用以圖案化鰭片。
第4圖繪示出在相鄰半導體鰭片64之間形成絕緣材料以形成隔離區域62。絕緣材料可為氧化物例如氧化矽、氮化物、其相似物、或上述之組合,並可以高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDP-CVD)、可流動化學氣相沉積(flowable CVD,FCVD)(例如在遠距電漿系統中沉積基於化學氣相沉積的材料並於後固化,使其轉換為另一種材料,例如氧化物)、其相似方法、或上述之組合形成。可使用其他絕緣材料及/或其他形成製程。在所繪示的實施例中,絕緣材料為可流動化學氣相沉積製程所形成的氧化矽。一旦形成絕緣材料,可進行退火製程。平坦化製程例如化學機械研磨(chemical mechanical polish,CMP)可移除任何多餘的絕緣材料,並形成共平面的隔離區域62之頂表面及半導體鰭片64之頂表面(未繪示)。亦可以平坦化製程移除圖案化的罩幕58(見第3圖)。
在一些實施例中,隔離區域62在隔離區域62及基板50/半導體鰭片64之間的界面處包括襯層,例如氧化物襯層(未繪示)。在一些實施例中,形成氧化物襯層以減少基板50及隔離區域62之間界面的結晶缺陷。同樣地,亦可使用氧化物襯層以減少半導體鰭片64及隔離區域62之間界面的結晶缺陷。氧化物襯層(例如氧化矽)可為透過熱氧化基板50表面層所形成的熱氧化物,但亦可使用其他合適的方法以形成氧化物襯層。
接著,凹蝕隔離區域62以形成淺溝槽隔離(shallow trench isolation,STI)區域62。凹蝕隔離區域62使得半導體鰭片64的上部突出於相鄰淺溝槽隔離區域62之間。淺溝槽隔離區域62的頂表面可具有平坦表面(如圖所示)、凸表面、凹表面(例如碟形)、或上述之組合。透過合適的蝕刻,淺溝槽隔離區域62的頂表面可形成為平坦的、突出的、及/或凹陷的。可使用可接受的蝕刻製程凹蝕隔離區域62,例如對隔離區域62的材料具選擇性的蝕刻製程。例如,可進行乾蝕刻或使用稀釋氫氟酸(dilute hydrofluoric ,dHF)的濕蝕刻以凹蝕隔離區域62。
第2至4圖繪示出形成鰭片64的實施例,但可以各種不同製程形成鰭片。例如,可以合適的材料替換基板50的頂部,例如適合所要形成的半導體元件預定類型(例如N型或P型)的磊晶材料。在此之後,圖案化在頂部具有磊晶材料的基板50以形成包括磊晶材料的半導體鰭片64。
另一個例子是,可於基板的頂表面上形成介電層;可透過介電層蝕刻溝槽;可在溝槽中磊晶成長同質磊晶結構;以及可凹蝕介電層,使得同質磊晶結構突出於介電層以形成鰭片。
在另一個實施例中,可於基板的頂表面上形成介電層;可透過介電層蝕刻溝槽;可在溝槽中使用與基板不同的材料磊晶成長同質磊晶結構;以及可凹蝕介電層,使得同質磊晶結構突出於介電層以形成鰭片。
在一些成長磊晶材料或磊晶結構(例如異質磊晶結構或同質磊晶結構)的實施例中,可在成長時原位摻雜成長的材料或結構,雖然可一併使用原位以及離子摻雜,這可避免先前或後續的佈植。更進一步而言,在N型金屬氧化物半導體(NMOS)區域中磊晶成長與在P型金屬氧化物半導體(PMOS)區域中不同的材料可能是有益處的。在不同實施例中,鰭片64可包括矽鍺(Six Ge1-x ,x可介於0與1之間)、碳化矽、純的或是大抵是純的鍺、III-V族化合物半導體、II-VI族化合物半導體、或其相似物。例如,用於形成III-V族化合物半導體的可用材料包括但不限於InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP、及其相似物。
第5圖繪示出於半導體鰭片64之上形成虛置閘極結構75。可於虛置閘極結構75之上形成罩幕70。為形成虛置閘極結構75,形成介電層於半導體鰭片64之上。介電層可為例如氧化矽(silicon oxide)、氮化矽(silicon nitride)、上述之多層、或其相似物,且可沉積或熱成長介電層。
形成閘極層於介電層之上,且形成罩幕層於閘極層之上。可沉積閘極層於介電層之上並接著以例如化學機械研磨平坦化。可沉積罩幕層於閘極層之上。雖然亦可使用其他材料,閘極層可以例如多晶矽形成。罩幕層可以例如氮化矽或其相似物形成。
在形成膜層(例如介電層、閘極層、以及罩幕層)之後,可使用可接受的微影及蝕刻技術圖案化罩幕層以形成罩幕70。接著,可以可接受的蝕刻技術轉移罩幕70的圖案至閘極層及介電層,以分別形成閘極68和閘極介電質66。閘極68和閘極介電質66覆蓋半導體鰭片64的各通道區域。閘極68亦可具有大抵垂直於各半導體鰭片64之長度方向的長度方向。
在第5圖的範例中,顯示形成閘極介電質66於鰭片64之上(例如鰭片64的頂表面及側壁之上)以及淺溝槽隔離區域62之上。在其他實施例中,可以例如熱氧化鰭片64的材料形成閘極介電質66,且因此可能形成於鰭片64之上,而非淺溝槽隔離區域62之上。這些和其他變化完全有意地包括在本發明實施例的範圍之內。
第6-10及11A圖繪示出鰭狀場效電晶體元件100沿剖面A-A (沿鰭片64的縱軸)進一步製程的剖面圖。應注意的是,在第6-10及11A圖中,形成三個虛置閘極結構75(例如75A、75B、及75C)於鰭片64之上。本領域通常知識者將理解可在鰭片64上形成多於或少於三個虛置閘極結構,這些和其他變化完全有意地包括在本發明實施例的範圍之內。
如第6圖所繪示,形成輕摻雜汲極(lightly doped drain,LDD)區域65於鰭片64之中。可以電漿摻雜製程形成輕摻雜汲極區域65。電漿摻雜製程可包括形成及圖案化罩幕例如光阻以覆蓋要保護免受電漿摻雜製程的鰭狀場效電晶體區域。電漿摻雜製程可佈植N型或P型雜質於鰭片64之中以形成輕摻雜汲極區域65。例如,可佈植P型雜質例如硼於鰭片64中以形成P型元件的輕摻雜汲極區域65。另一個例子是,可佈植N型雜質例如磷於鰭片64中以形成N型元件的輕摻雜汲極區域65。在一些實施例中,輕摻雜汲極區域65抵接鰭狀場效電晶體元件100的通道區域。部分輕摻雜汲極區域65可於閘極68之下延伸且進入鰭狀場效電晶體元件100的通道區域。第6圖繪示出輕摻雜汲極區域65的非限制性範例。輕摻雜汲極區域65的其他型態、形狀、及形成方法亦是可能的,完全有意地包括在本發明實施例的範圍之內。例如,可在形成閘極間隔物87之後形成輕摻雜汲極區域65。在一些實施例中,省略了輕摻雜汲極區域65 。為簡單起見,後續的圖式中並未繪示輕摻雜汲極區域65,而理解可在鰭片64中形成輕摻雜汲極區域65。
仍參見第6圖,在形成輕摻雜汲極區域65之後,在閘極結構上形成閘極間隔物87。閘極間隔物87可包括第一閘極間隔物和第二閘極間隔物(未單獨繪示)。例如,第一閘極間隔物可為閘極密封間隔物,形成於閘極68的相對側壁上以及閘極介電質66的相對側壁上。形成第二閘極間隔物於第一閘極間隔物上。第一閘極間隔物可以氮化物例如氮化矽、氮氧化矽、碳化矽、碳氮化矽、其相似物、或上述之組合形成,且可使用例如熱氧化、化學氣相沉積、或其他合適的沉積製程形成。第二閘極間隔物可以氮化矽、碳氮化矽、上述之組合、或其相似物,使用合適的沉積方法形成。閘極間隔物87可例如具有介於約20 nm至約35nm的厚度。
在一示例性實施例中,形成閘極間隔物首先順應性地沉積第一閘極間隔物層於鰭狀場效電晶體元件100之上,接著順應性地沉積第二閘極間隔物層於所沉積的第一閘極間隔物之上。接著,進行非等向性蝕刻製程例如乾蝕刻製程以移除第二閘極間隔物層位於鰭狀場效電晶體元件100上表面(例如罩幕70的上表面)上的第一部分,此時保留第二閘極間隔物層位於沿閘極結構側壁上的第二部分。在非等向性蝕刻製程之後,餘留的第二閘極間隔物層之第二部分形成第二閘極間隔物。非等向性蝕刻製程亦移除了第一閘極間隔物層位於第二閘極間隔物側壁之外的部分,且第一閘極間隔物層餘留的部分形成第一閘極間隔物。
如第6圖中所繪示的閘極間隔物87之形狀和形成方法為非限制性範例,其他形狀和形成方法亦是可能的,這些和其他變化完全有意地包括在本發明實施例的範圍之內。
接著,如第7圖所繪示,形成凹槽86於鄰近虛置閘極結構75的鰭片64之中,例如鄰近的虛置閘極結構75之間及/或虛置閘極結構75旁邊。在一些實施例中,使用虛置閘極結構75作為蝕刻罩幕,以例如非等向性蝕刻製程形成凹槽86,但亦可使用其他合適的蝕刻製程。凹槽86露出了鰭片64的側壁64S及下表面64L,且因此,側壁64S及下表面64L亦可稱為由凹槽86所露出的鰭片64表面。在鰭片64之上表面64U與鰭片64之下表面64L之間所測得的凹槽86的深度D可介於約35 nm至約60nm之間。
接著,如第8圖所繪示,形成源極/汲極區域80(見第10A圖)的膜層80A於凹槽86之中。膜層80A包括適合所要形成的元件類型(例如N型或P型)的磊晶半導體材料。在所繪示的實施例中,鰭狀場效電晶體元件100為N型鰭狀場效電晶體元件,且膜層包括矽磷(silicon phosphorous,SiP)、矽砷(silicon arsenic,SiAs)、或其相似物。在此討論中,例如磷(phosphorous,P)及砷(arsenic,As) 的雜質稱為在源極/汲極區域80的磊晶材料中的摻質。
如第8圖所繪示,膜層80A物理性接觸並沿鰭片64的側壁64S及下表面64L延伸,且從鰭片64的上表面64U連續延伸至凹槽86底部的下表面64L。在所示的實施例中,膜層80A的厚度是不均勻的。特別是,膜層80A的底部(例如沿鰭片64的下表面64L的部分)比膜層80A的側壁部分(例如沿鰭片64的側壁64S的部分)厚。例如,膜層80A的底部之厚度T1介於約1nm至約8nm之間。膜層80A的側壁部分之厚度T2介於約1nm至約5nm之間。在所繪示的實施例中,膜層80A中摻質(例如P或As)的濃度介於約5e20/cm3 至約2e21/cm3
在一些實施例中,使用合適的方法例如金屬有機化學氣相沉積(metal-organic CVD,MOCVD)、分子束磊晶(molecular beam epitaxy,MBE)、及液相磊晶(liquid phase epitaxy,LPE)、氣相磊晶(vapor phase epitaxy,VPE)、選擇性磊晶成長(selective epitaxial growth,SEG)、其相似方法、或上述之組合在凹槽86中磊晶成長材料形成膜層80A。調整磊晶製程的製程條件,以達到目標的摻質濃度,及/或控制所形成的磊晶材料(例如膜層80A)的輪廓。
在一些實施例中,在溫度介於約450ºC至約800ºC,且壓力介於約5Torr至約600Torr之下進行磊晶製程。使用包括含矽氣體及摻質氣體的製程氣體進行磊晶製程。含矽氣體可為矽烷(silane,SiH4 )、二氯矽烷(dichlorosilane,DCS)、二矽烷(disilane,DS)、三氯矽烷(trichlorosilane,TCS)、上述之組合、或其相似物。摻質氣體可為膦(phosphine,PH3 )、胂(arsine,AsH3 )、液態胂、上述之組合、或其相似物。在一些實施例中,除了含矽氣體及摻質氣體之外,製程氣體更包括蝕刻氣體例如氯化氫(hydrogen chloride,HCl),以達成選擇性磊晶成長,使得在磊晶製程完成之後,形成膜層80A的磊晶材料於半導體材料之上(例如鰭片64的側壁64S及下表面64L之上),而不形成於例如介電材料如閘極間隔物87之上。
在一些實施例中,在形成膜層80A的磊晶製程時,含矽氣體的流速介於約10每分鐘標準立方公分(standard cubic centimeters per minute,sccm)至約900sccm,摻質氣體的流速介於約50sccm至約400sccm,且蝕刻氣體的流速介於約40sccm至約1000sccm。調整不同製程氣體(例如含矽氣體、摻質氣體、及蝕刻氣體)的混合比例(例如流速的比率)以達成膜層80A的目標摻質濃度。例如,在形成膜層80A的磊晶製程中,含矽氣體、摻質氣體、及蝕刻氣體的混合比例為約7:2:1,其中7:2:1的混合比例指的是含矽氣體的流速為蝕刻氣體的七倍,且摻質氣體的流速為蝕刻氣體的兩倍。
接著,如第9圖所繪示,形成源極/汲極區域80(見第10A圖)的膜層80B於凹槽86中膜層80A之上。膜層80B部分填充凹槽86,因此在形成膜層80B之後,凹槽86變淺。膜層80B包括與膜層80A相同的磊晶材料(例如SiP或SiAs),但摻質濃度不同(例如較高)。此外,如下文所述,膜層80B的輪廓(例如尺寸及/或形狀)可與膜層80A不同。
如第9圖所繪示,膜層80B物理性接觸並沿膜層80A延伸,且從鰭片64的上表面64U連續延伸至(現在較淺的)凹槽86之底部。在所示的實施例中,膜層80B的厚度是不均勻的。特別是,膜層80B的底部比側壁部分厚。例如,膜層80B的底部(例如在凹槽86的底部部分)之厚度T3介於約15nm至約48nm之間。膜層80B的側壁部分(例如沿側壁64S的部分)之厚度T4介於約3nm至約30nm之間。在所繪示的實施例中,膜層80B中摻質(例如P或As)的濃度介於約2e21/cm3 至約3.5e21/cm3 。換句話說,膜層80B的摻質濃度高於膜層80A的摻質濃度。
在一些實施例中,以磊晶成長材料於膜層80A之上形成膜層80B,例如使用與膜層80A相同或相似的磊晶製程,但調整磊晶製程的製程條件以達到目標的摻質濃度,及/或控制所形成的磊晶材料(例如膜層80B)的輪廓。例如,形成膜層80B的磊晶製程可與形成膜層80A的磊晶製程使用相同的製程氣體,在同一製程腔室中進行,但調整了製程條件例如製程腔室的溫度、製程腔室的壓力、製程氣體的流速、及/或製程氣體的混合比例。在一些實施例中,首先進行磊晶製程以形成膜層80A(使用為膜層80A所選擇的製程條件),一旦達成膜層80A的目標尺寸(例如厚度),調整為膜層80B的磊晶製程的製程條件,並繼續磊晶製程以形成膜層80B。相似地,一旦達成膜層80B的目標尺寸,調整為(後續形成的)源極/汲極區域80之膜層80C(見第10A圖)的磊晶製程的製程條件,並繼續磊晶製程以形成膜層80C。因此,藉由在磊晶製程的不同階段調整製程條件,可使用相同的磊晶製程在同一製程腔室中依次形成膜層80A、80B、及80C。換句話說,形成膜層80A的磊晶製程、形成80B的磊晶製程、以及形成80C的磊晶製程可為單一(連續)磊晶製程的三個不同階段,每一階段具有不同的製程條件。
在一些實施例中,形成膜層80B的磊晶製程於溫度介於約400ºC至約800ºC之下,以及壓力介於約5Torr至約600Torr之下進行。使用含矽氣體及摻質氣體進行磊晶製程。含矽氣體可為矽烷(silane,SiH4 )、二氯矽烷(dichlorosilane,DCS)、二矽烷(disilane,DS)、三氯矽烷(trichlorosilane,TCS)、上述之組合、或其相似物。摻質氣體可為膦(phosphine,PH3 )、胂(arsine,AsH3 )、液態胂、上述之組合、或其相似物。在一些實施例中,在磊晶製程中除了使用含矽氣體及摻質氣體之外,使用蝕刻氣體例如氯化氫(hydrogen chloride,HCl)以達成選擇性磊晶成長。在所示的實施例中,含矽氣體、摻質氣體、及蝕刻氣體與在磊晶製程中形成膜層80A的各製程氣體相同。
在一些實施例中,在磊晶製程以形成膜層80B時,含矽氣體的流速介於約10sccm至約900sccm,摻質氣體的流速介於約50sccm至約400sccm,且蝕刻氣體的流速介於約40sccm至約1000sccm。調整不同製程氣體的混合比例以達成膜層80B的目標摻質濃度。在一實施例中,在形成膜層80B的磊晶製程中,含矽氣體、摻質氣體、及蝕刻氣體的混合比例為約11:3:1。
接著,如第10A圖所繪示,形成源極/汲極區域80的膜層80C於膜層80B之上。膜層80C填充凹槽86,延伸於鰭片64的上表面64U之上。膜層80C包括與膜層80A及80B相同的磊晶材料(例如SiP或SiAs),但摻質濃度不同(例如較高)。此外,如下文所述,膜層80C的輪廓(例如尺寸及/或形狀)可與膜層80A及80B的不同。在所示的實施例中,膜層80A、80B、及80C形成鰭狀場效電晶體元件100的源極/汲極區域80。
如第10A圖所繪示,膜層80C具有以距離T6延伸於鰭片64的上表面64U之下的下部。在一實施例中,在膜層80C最下表面與鰭片64的上表面64U之間的距離T6介於約5nm至約10nm。此外,膜層80C具有以距離T5延伸於鰭片64的上表面64U之上的上部。膜層80C的上部可物理性接觸鄰近膜層80C的閘極間隔物87。在一實施例中,在膜層80C的上表面(例如最上表面)與鰭片64的上表面64U之間所測得的距離T5介於約3nm至約5nm。在一些實施例中,在膜層80C的上表面與膜層80C的最下表面之間所測得的距離T7介於約5nm至約15nm。在第10A圖的範例中,膜層80C的上部具有矩形剖面,而膜層80C的下部剖面具有錐形的輪廓,在下部的相對側壁之間的距離W隨下部朝基板50延伸而變小。膜層80C的其他輪廓亦是可能的,完全有意地包括在本發明實施例的範圍之內。在所繪示的實施例中,膜層80C中摻質(例如P或As)的濃度介於約3.5e21/cm3 至約5e21/cm3 。換句話說,膜層80C的摻質濃度高於膜層80B的摻質濃度,以及膜層80B的摻質濃度高於膜層80A的摻質濃度。
在一些實施例中,以磊晶成長材料於膜層80B之上形成膜層80C,例如使用與膜層80A及80B相同或相似的磊晶製程,但如上所述,調整磊晶製程的製程條件以達成目標的摻質濃度、及/或控制所形成的磊晶材料(例如膜層80C)的輪廓。
在一些實施例中,形成膜層80C的磊晶製程於溫度介於約400ºC至約800ºC之下,以及壓力介於約5Torr至約650Torr之下進行。使用含矽氣體及摻質氣體進行磊晶製程。含矽氣體可為矽烷(silane,SiH4 )、二氯矽烷(dichlorosilane,DCS)、二矽烷(disilane,DS)、三氯矽烷(trichlorosilane,TCS)、上述之組合、或其相似物。摻質氣體可為膦(phosphine,PH3 )、胂(arsine,AsH3 )、液態胂、上述之組合、或其相似物。在一些實施例中,在磊晶製程中除了使用含矽氣體及摻質氣體之外,使用蝕刻氣體例如氯化氫(hydrogen chloride,HCl)以達成選擇性磊晶成長。在所示的實施例中,含矽氣體、摻質氣體、及蝕刻氣體與在磊晶製程中形成膜層80A的各製程氣體相同。
在一些實施例中,在磊晶製程以形成膜層80C時,含矽氣體的流速介於約10sccm至約900sccm,摻質氣體的流速介於約50sccm至約400sccm,且蝕刻氣體的流速介於約40sccm至約1000sccm。調整不同製程氣體的混合比例以達成膜層80C的目標摻質濃度。在一實施例中,在形成膜層80C的磊晶製程中,含矽氣體、摻質氣體、及蝕刻氣體的混合比例為約15:6:1。
如第10B圖所繪示,磊晶源極/汲極區域80(例如80A、80B、及80C,未分別繪示)可能具有從鰭片64的相應表面突起的表面(例如在鰭片64未凹蝕的部分上方突起),且可能具有刻面。鄰近鰭片64的源極/汲極區域80可能融合以形成連續的磊晶源極/汲極區域80。第10B圖亦繪示出源極/汲極區域80相對側壁上的間隔物87’, 間隔物87’可具有與閘極間隔物87(見第10A圖)相同或相似的結構,且可以與閘極間隔物87在相同的製程步驟中形成。在一些實施例中,鄰近鰭片64的源極/汲極區域80未融合在一起,且保持分離的源極/汲極區域80(見第10C圖)。
在形成磊晶源極/汲極區域80之後,進行可選的佈植製程以佈植摻質於源極/汲極區域80中。在所繪示的實施例中,由於在使用上述製程步驟形成源極/汲極區域80之後源極/汲極區域80之膜層(例如80A、80B、80C)的摻質濃度介於各目標摻質濃度範圍之內,省略了佈植製程。接著,進行退火製程以活化源極/汲極區域80中的摻質。
如第8、9、及10A圖所繪示的本發明實施例之多層源極/汲極區域80及多步驟形成方法提供許多優點。膜層80C具有介於約3.5e21/cm3 至約5e21/cm3 高濃度的摻質(例如P或As),其可為數量級(例如十倍、一百倍、或更多)大於先前的電晶體元件之源極/汲極區域中的摻質濃度。在之前沒有本發明實施例時,具有如此高摻質濃度(例如介於約3.5e21/cm3 至約5e21/cm3 )的源極/汲極區域可能具有較低的活化率,且源極/汲極區域80的選擇性磊晶成長可能難以達到如此高的摻質濃度。因此,沒有本發明實施例,源極/汲極區域中的高摻質濃度(例如介於約3.5e21/cm3 至約5e21/cm3 )可能無法達成或是不實際的。然而,由於源極/汲極區域80的結構,以及用以形成源極/汲極區域80的形成方法,本發明實施例的源極/汲極區域80具有高活化率以及極佳的選擇性磊晶成長性質。本發明實施例的源極/汲極區域80增加的載子移動率顯示出(例如反應出)高活化率。例如,在退火製程前,包括SiP的源極/汲極區域80的載子移動率可介於約50 cm2 /(V S)至約70 cm2 /(V S),且在退火製程後,源極/汲極區域80的載子移動率可介於約55 cm2 /(V S)至約80 cm2 /(V S)。因此,本發明實施例允許源極/汲極區域80具有高摻質濃度、高活化率、及形成選擇性磊晶性質,其可改善鰭狀場效電晶體元件100的性能。
此外,由於膜層80C的下部延伸於鰭片64的上表面64U之下(見第10A圖),在活化源極/汲極區域80中摻質的退火製程時,有些摻質(例如P或As)被驅動進入通道區域64C(見第10A圖),因而有利地減少了鰭狀場效電晶體元件100的通道電阻Rch 。例如,Rch 降低了介於約0.13KΩ至0.15KΩ之間。回想一下,每一膜層80A及80B具有不均勻的厚度,其側壁部分比底部更薄。較薄的側壁可有利地促進摻質從膜層80C熱驅入進入通道區域64C。應注意當熱驅入摻質進入通道區域時,可降低通道電阻Rch ,但若未妥善處理,熱驅入可能不利地影響鰭狀場效電晶體元件100之漏電流。這可能是為什麼先前的電晶體元件在源極/汲極區域中不能使用如此高摻雜濃度的另一個原因,以及為什麼先前的電晶體元件可能沒有高摻雜濃度的源極/汲極區域部分延伸至鰭片64的上表面64U下方如此之深(例如5nm至10nm)。本發明實施例的源極/汲極區域80及其結構及方法最小化或減少了由於熱驅入摻質進入通道區域的性能損失,使得性能損失可忽略不計。例如,已經證實由熱趨入引起的汲極引發能障降低(drain-induced barrier lowering,DIBL)僅為2mV。因此,本發明實施例的鰭狀場效電晶體元件100降低了通道電阻Rch ,而性能損失可忽略不計。
此外,膜層80C的上部延伸至鰭片64的上表面64U之上,增加了磊晶源極/汲極區域80的體積。膜層80C增加的體積以及隨後形成的源極/汲極接點(見第14A圖中的102B)與膜層80C之間的較低能障(由於膜層80C的高摻質濃度),有利地減少了所形成的鰭狀場效電晶體元件100之接點電阻Rp
接著,如第11A圖所繪示,形成接點蝕刻停止層(contact etch stop layer,CESL)89於第10A圖中所繪示的結構之上。接點蝕刻停止層89作為後續蝕刻製程中的蝕刻停止層,且可包括合適的材料例如氧化矽、氮化矽、氮氧化矽、上述之組合、或其相似物,且可以合適的形成方法例如化學氣相沉積、物理氣相沉積、上述之組合、或其相似方法形成。
接著,形成第一層間介電層(interlayer dielectric,ILD)90於接點蝕刻停止層89之上及虛置閘極結構75(例如75A、75B、及75C)之上。在一些實施例中,以介電材料例如氧化矽、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、硼摻雜的磷矽酸鹽玻璃(boron-doped phosphosilicate glass,BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass,USG)、或其相似物形成第一層間介電層90,且可以任何適合的方式沉積,例如化學氣相沉積、電漿增強化學氣相沉積、或可流動化學氣相沉積。可進行平坦化製程例如化學機械研磨製程以移除罩幕70,並移除接點蝕刻停止層89位於閘極68上的部分。在平坦化製程之後,第一層間介電層90的頂表面與閘極68的頂表面齊平。
第11B圖繪示出第11A圖中所繪示的鰭狀場效電晶體元件100但沿剖面B-B之剖面圖。如第11B圖中所繪示,閘極68位於鰭片64A及鰭片64B之上,且從鰭片64A連續延伸至鰭片64B。在閘極68及第一層間介電層90之間形成閘極間隔物87。隨後進行後閘極(gate-last)製程(有時稱為替換閘極製程)的實施例,以主動閘極(有時亦稱為替換閘極或金屬閘極)及主動閘極介電材料替換閘極68及閘極介電質66。在一些實施例中,主動閘極可為金屬閘極。因此,在後閘極製程中,閘極68及閘極介電質66被視為虛置閘極結構。
根據一些實施例,第12、13、及14A圖繪示出在後續製程時鰭狀場效電晶體元件100沿剖面A-A的剖面圖。參見第12圖,虛置閘極結構75A、75B、及75C分別以主動閘極結構97A、97B、及97C替換。根據一些實施例,為形成主動閘極結構97(例如97A、97B、或97C),在蝕刻步驟中移除閘極68及閘極68正下方的閘極介電質66,使得在閘極間隔物87之間形成凹槽(未繪示)。每一凹槽露出相應鰭片64的通道區域。在移除虛置閘極時,當蝕刻虛置閘極68時,可使用虛置閘極介電層66作為蝕刻停止層。在移除虛置閘極68之後,可接著移除虛置閘極介電層66。
接著,在替換閘極97的凹槽中形成閘極介電層94、阻障層96、晶種層98、及閘極電極99。順應性地沉積閘極介電層94於凹槽中,例如鰭片64的頂表面及側壁上,及閘極間隔物87的側壁上,以及第一層間介電層90的頂表面上(未繪示)。根據一些實施例,閘極介電層94包括氧化矽、氮化矽、或上述之多層。在其他實施例中,閘極介電層94包括高介電常數介電材料,且在這些實施例中,閘極介電層94可具有大於約7.0的介電常數,且可包括Hf、Al、Zr、La、Mg、Ba、Ti、Pb、及上述之組合的金屬氧化物或矽化物。閘極介電層94的形成方法可包括分子束沉積(molecular beam deposition,MBD)、原子層沉積(atomic layer deposition,ALD)、電漿增強化學氣相沉積、及其相似方法。
接著,順應性地形成阻障層96於閘極介電層94之上。阻障層96可包括導電材料例如氮化鈦(titanium nitride),但亦可交替使用其他材料例如氮化鉭(tantalum nitride)、鈦(titanium)、鉭(tantalum)、或其相似物。阻障層96可使用化學氣相沉積製程例如電漿增強化學氣相沉積形成。然而,亦可交替使用其他替換製程,例如濺射、金屬有機化學氣相沉積、或原子層沉積。
雖然未繪示於第12圖中,在一些實施例中,可在形成晶種層98之前在凹槽中阻障層96之上形成功函數層例如P型功函數層或N型功函數層。P型元件的閘極結構中可包括的示例性P型功函數金屬包括TiN、TaN、Ru、Mo、Al、WN、ZrSi2 、MoSi2 、TaSi2 、NiSi2 、WN、其他合適的P型功函數金屬、或上述之組合。N型元件的閘極結構中可包括的示例性N型功函數金屬包括Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其他合適的N型功函數金屬、或上述之組合。功函數值與功函數層的材料組成相關,且因此選擇功函數層的材料以調整其功函數值,以便在所形成的元件中達成目標的臨界電壓Vt。可以化學氣相沉積、物理氣相沉積(physical vapor deposition,PVD)、及/或其他合適的製程沉積功函數層。
接著,順應性地形成晶種層98於阻障層96之上。晶種層98可包括銅(copper)、鈦(titanium)、鉭(tantalum)、氮化鈦(titanium nitride)、氮化鉭(tantalum nitride)、其相似物、或上述之組合,且可以原子層沉積、濺鍍、物理氣相沉積、或其相似方法沉積。在一些實施例中,晶種層可為金屬層,其可為單層或包括不同材料所形成的複數子層的複合層。例如,晶種層98包括鈦層及鈦層上的銅層。
接著,沉積閘極電極99於晶種層98之上,並填充凹槽的其餘部分。閘極電極99可以含金屬材料例如Cu、Al、W、其相似物、上述之組合、或上述之多層製成,且可以例如電鍍、化學鍍、或其他合適的方法形成。在形成閘極電極99之後,可進行平坦化製程例如化學機械研磨以移除閘極介電層94、阻障層96、功函數層(如已形成)、晶種層98、及閘極電極99的多餘部分,其多餘部分位於第一層間介電層90的上表面之上。所得的閘極介電層94、阻障層96、功函數層(如已形成)、晶種層98、及閘極電極99的剩餘部分因此形成了所得的鰭狀場效電晶體元件100之替換閘極97。
接著參見第13圖,形成第二層間介電層92於第一層間介電層90之上。形成接點開口93穿過第二層間介電層92以露出替換閘極97(例如97A、97B、及97C)。同樣地,形成接點開口91穿過第一層間介電層90及第二層間介電層92以露出源極/汲極區域80。
在一實施例中,第二層間介電層92為以可流動化學氣相沉積方法形成的可流動膜層。在一些實施例中,第二層間介電層92以介電材料例如磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼摻雜的磷矽酸鹽玻璃、未摻雜矽酸鹽玻璃、或其相似物形成,且可以任何適合的方法沉積,例如化學氣相沉積及電漿增強化學氣相沉積。可使用微影和蝕刻形成接點開口91及93。蝕刻製程蝕刻穿過接點蝕刻停止層89以露出源極/汲極區域80的膜層80C。蝕刻製程可能過度蝕刻,且因此接點開口91可延伸入膜層80C之中,且接點開口91的底部可與鰭片64的上表面64U齊平(例如在同一水平,或具有與基板相同的距離),或者低於(例如更靠近基板) 鰭片64的上表面64U。
由於膜層80C延伸於鰭片64的上表面64U之上,即使以蝕刻製程過度蝕刻以移除接點蝕刻停止層89,膜層80C的剩餘部分仍具有與後續形成的源極/汲極接點(見例如第14A圖中的102B)連接的大體積,其有助於降低鰭狀場效電晶體元件100的接點電阻Rp 。如果膜層80C的上部未延伸於鰭片64的上表面64U之上,在過度蝕刻之後,膜層80C剩餘部分的體積可能過小,鰭狀場效電晶體元件100的接點電阻Rp 可能增加。接點蝕刻停止層89對於過度蝕刻的容許度說明了本發明實施例的另一個優點。
在形成接點開口93之後,形成矽化物區域95於膜層80C之上。在一些實施例中,矽化物區域95首先沉積可與半導體材料(例如矽、鍺)反應以形成矽化物或鍺化物區域的金屬,例如鎳(nickel)、鈷(cobalt)、鈦(titanium)、鉭(tantalum)、鉑(platinum)、鎢(tungsten)、其他貴重金屬、其他耐火金屬、稀土金屬、或其合金在磊晶源極/汲極區域80的膜層80C之露出部分上,接著進行熱退火製程以形成矽化物區域95。接著例如以蝕刻製程移除所沉積的金屬的未反應部分。雖然區域95被稱為矽化物區域,區域95亦可為鍺化物區域、或矽鍺化物區域(例如包括矽化物和鍺化物的區域)。在一實施例中,矽化物區域95包括TiSi,且具有介於約2nm至約10nm的厚度TH。
接著,在第14A圖中,形成接點102(例如102A、102B,亦可稱為接點插塞)於接點開口91及93之中。在所繪示的實施例中,每一接點102包括阻障層101、晶種層103、及導電材料105,且電性耦合至下方的導電部件(例如替換閘極97或矽化物區域95)。電性耦合至替換閘極97的接點102A可稱為閘極接點,且電性耦合至矽化物區域95的接點102B可稱為源極/汲極接點。阻障層101、晶種層103、及導電材料105的材料及形成方法可與前述用以分別形成替換閘極97的阻障層96、晶種層98、及閘極電極99者相同或相似,因此不重述細節。在一實施例中,源極/汲極接點102B的導電材料105為鎢(tungsten,W),且源極/汲極接點102B的高度介於約5nm至約15nm。在第14A圖中,為了說明,所有接點102於相同的剖面中繪示。這當然是一個範例,而不是限制。接點102可處於不同的剖面。
第14B圖繪示出第14A圖的鰭狀場效電晶體元件100,但沿剖面B-B之圖。第14B圖繪示出接點102於每一鰭片64A及64B之上。接點102電性連接至替換閘極97。接點102的數目和位置僅為說明用途,而並非限制。亦可能為其他數目及其他位置,完全有意地包括在本發明實施例的範圍之內。
根據一些實施例,第15圖繪示出鰭狀場效電晶體元件100A的剖面圖。鰭狀場效電晶體元件100A與第14A圖中的鰭狀場效電晶體100相似,但其源極/汲極區域80之膜層80B/80C具有不同形狀及/或尺寸。膜層80B/80C不同的形狀及/或尺寸可歸因於形成膜層80B/80C磊晶製程的製程條件變化。在第15及14A圖中,除非另外說明,相同或相似的標號指的是使用相同或相似的材料以相同或相似的製程所形成的相同或相似的部件,因此細節可不再重述。
在第15圖中,膜層80A具有與第14A圖中的膜層80A相同的形狀和尺寸。例如,膜層80A具有不均勻的厚度,其中膜層80A的底部(例如沿鰭片64的下表面64L的部分)比膜層80A的側壁部分(例如沿鰭片64的側壁64S的部分)為厚。在所示的實施例中,膜層80A中的摻質(例如P或As)濃度介於約5e20/cm3 至約2e21/cm3
第15圖中膜層80B及80C與第14A圖中的膜層80B及80C具有不同的形狀及/或尺寸。例如,第15圖中的膜層80B具有大抵平坦的上表面,且膜層80B露出(例如未覆蓋) 膜層80A的上側壁部分。膜層80B的厚度T8(例如由膜層80B的上表面及下表面之間所測得)可介於約15nm至約48nm。在所示的實施例中,膜層80B中的摻質(例如P或As)濃度介於約2e21/cm3 至約3.5e21/cm3
第15圖中的膜層80C填充凹槽86(見第9圖)的剩餘部分。膜層80C的上表面延伸至鰭片64的上表面64U之上。膜層80C的厚度T9可介於約5nm至約35nm之間。在所示的實施例中,膜層80C中的摻質(例如P或As)濃度介於約3.5e21/cm3 至約5e21/cm3 。換句話說,膜層80C的摻質濃度大於膜層80B,且膜層80B的摻質濃度大於膜層80A。第14A及15圖中所繪示的膜層80A/80B/80C之輪廓僅為範例,亦可能是其他輪廓,完全有意地包括在本發明實施例的範圍之內。
在第15圖中,蝕刻製程形成接點開口91(見第13圖)的蝕刻製程可為過度蝕刻,且接點開口91可進入膜層80C中。結果,矽化物區域95的上表面95U可與鰭片64的上表面64U齊平。在其他實施例中,矽化物區域95的上表面95U可低於鰭片64 的上表面64U (例如更接近基板50)。
根據一些實施例,第16圖繪示出形成半導體裝置的方法1000之流程圖。應理解的是,第16圖中所繪示的實施例方法僅為許多可能的實施例方法的一例。本領域通常知識者可辨識許多變化、替代、及修改。例如,可增加、移除、取代、重新安排、及重複第16圖中所繪示的不同步驟。
參見第16圖,在步驟1010,形成鰭片突出於基板之上。在步驟1020,形成閘極結構於鰭片之上。在步驟1030,形成凹槽於鄰近閘極結構的鰭片之中。在步驟1040,形成源極/汲極區域於凹槽之中,源極/汲極區域包括第一膜層、第二膜層、及第三膜層,其中形成源極/汲極區域包括:在第一製程條件下進行第一磊晶製程以在凹槽中形成第一膜層,第一膜層沿著凹槽所露出的鰭片表面延伸;在第二製程條件下進行第二磊晶製程以在第一膜層上形成第二膜層;以及在第三製程條件下進行第三磊晶製程以在第二膜層上形成第三膜層,第三膜層填充凹槽,其中第一製程條件、第二製程條件、及第三製程條件不同。
本發明實施例可實現優點。例如,本發明實施例的源極/汲極區域80具有高摻質濃度、高活化率、以及好的選擇性磊晶成長性質。源極/汲極區域80的膜層(例如80A/80B/80C)之多層結構以及不同摻質濃度,以及其他因素,允許鰭狀場效電晶體元件100由於熱驅入摻質進入通道區域中而降低通道電阻,其性能損失可忽略不計。由於例如膜層80C的大體積以及高摻質濃度,亦降低了鰭狀場效電晶體元件100的接點電阻。減少的通道電阻以及接點電阻改善鰭狀場效電晶體元件100的電性效能。
在一實施例中,形成半導體裝置的方法包括形成鰭片突出於基板之上;形成閘極結構於鰭片之上;形成凹槽於鄰近閘極結構的鰭片中;以及形成源極/汲極區域於凹槽中,源極/汲極區域包括第一膜層、第二膜層、及第三膜層,其中形成源極/汲極區域包括:在第一製程條件下進行第一磊晶製程以在凹槽中形成第一膜層,第一膜層沿著凹槽所露出的鰭片的表面延伸;在第二製程條件下進行第二磊晶製程以在第一膜層上形成第二膜層;以及在第三製程條件下進行第三磊晶製程以在第二膜層上形成第三膜層,第三膜層填充凹槽,其中第一製程條件、第二製程條件、及第三製程條件不同。在一實施例中, 第一膜層、第二膜層、及第三膜層由包括半導體材料及摻質的相同磊晶材料形成,其中第一膜層的第一摻質濃度、第二膜層的第二摻質濃度、及第三膜層的第三摻質濃度不同。在一實施例中,磊晶材料為矽磷(silicon phosphorous,SiP)或矽砷(silicon arsenic,SiAs)。在一實施例中,第三摻質濃度高於第二摻質濃度,以及第二摻質濃度高於第一摻質濃度。在一實施例中,第一摻質濃度介於約5e20/cm3 至約2e21/cm3 之間,第二摻質濃度介於約2e21/cm3 至約3.5e21/cm3 之間,且第三摻質濃度介於約3.5e21/cm3 至約5e21/cm3 之間。在一實施例中,形成第一膜層以具有不均勻的厚度,其第一膜層的第一側壁部分較第一膜層的第一底部薄,其中形成第二膜層以具有不均勻的厚度,其第二膜層的第二側壁部分較第二膜層的第二底部薄。在一實施例中,形成第三膜層以具有下部延伸於鰭片的上表面之下,且具有上部延伸於鰭片的上表面之上。在一實施例中,使用相同製程氣體但不同混合比例的製程氣體進行第一磊晶製程、第二磊晶製程、及第三磊晶製程,其中製程氣體包括含矽氣體、摻質氣體、以及蝕刻氣體。在一實施例中,調整每一第一磊晶製程、第二磊晶製程、及第三磊晶製程的製程氣體混合比例,以達成第一膜層、第二膜層、及第三膜層不同的摻質濃度。在一實施例中,在同一製程腔室中進行第一磊晶製程、第二磊晶製程、及第三磊晶製程,且為單一連續磊晶製程的三個階段。在一實施例中,此方法更包括形成介電層於源極/汲極區域之上以及閘極結構周圍;以替換閘極取代閘極結構;形成開口於介電層中以露出源極/汲極區域的第三膜層,其中開口的底部與鰭片的上表面齊平;以及於開口中形成接點,接點電性耦接至源極/汲極區域。
在一實施例中,形成半導體裝置的方法包括形成閘極結構於鰭片之上;形成凹槽於鰭片之中,凹槽鄰近鰭片的通道區域;形成第一磊晶層於凹槽之中,第一磊晶層具有第一摻質濃度;形成第二磊晶層於凹槽中第一磊晶層之上,第二磊晶層具有高於第一摻質濃度的第二摻質濃度;以及形成第三磊晶層於第二磊晶層之上,第三磊晶層具有高於第二摻質濃度的第三摻質濃度。在一實施例中,第一磊晶層、第二磊晶層、以及第三磊晶層以相同磊晶材料矽磷(silicon phosphorous,SiP)或矽砷(silicon arsenic,SiAs)形成。在一實施例中,相同磊晶材料的摻質為磷或砷,以及第三摻質濃度介於約3.5e21/cm3 至約5e21/cm3 之間。在一實施例中,形成第三磊晶層以具有頂部延伸於鰭片的上表面之上,以及下部延伸於鰭片的上表面之下。在一實施例中,第三磊晶層的頂部延伸於鰭片的上表面之上約3nm至約5nm,且下部延伸於鰭片的上表面之下約5nm至約10nm。
在一實施例中,半導體裝置包括鰭片突出於基板之上;閘極結構位於鰭片之上;以及源極/汲極區域鄰近閘極結構,其中源極/汲極區域包括第一膜層沿著由鰭片中的凹槽露出的鰭片側壁及下表面延伸,第一膜層位於鰭片上表面之下,第一膜層包括第一摻質濃度的磊晶材料;第二膜層位於第一膜層之上,且位於鰭片上表面之下,第二膜層包括高於第一摻質濃度的第二摻質濃度的磊晶材料;以及第三膜層位於第二膜層之上並填充凹槽,第三膜層包括高於第二摻質濃度的第三摻質濃度的磊晶材料。在一實施例中,第一膜層在鰭片側壁所測得的第一厚度小於第一膜層在鰭片下表面所測得的第二厚度,其中第二膜層在鰭片側壁所測得的第三厚度小於第二膜層在鰭片下表面所測得的第四厚度。在一實施例中,第三膜層具有位於鰭片的上表面之上的上部,以及位於鰭片的上表面之下的下部,其中下部相對側壁之間的距離隨下部朝鰭片的下表面延伸而遞減。在一實施例中,磊晶材料為矽磷或矽砷,以及第三摻質濃度介於約3.5e21/cm3 至約5e21/cm3
前述內文概述了許多實施例的特徵部件,使本技術領域中具有通常知識者可以從各個方面更佳地了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明實施例的發明精神與範圍。在不背離本發明實施例的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。另外,雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,且並非所有優點都已於此詳加說明。
30:鰭狀場效電晶體 50:基板 52:墊氧化物層 56:墊氮化物層 58:罩幕 61:溝槽 62:隔離區域 64、64A、64B:半導體鰭片 64S:側壁 64L:下表面 64U:上表面 65:輕摻雜汲極區域 66:閘極介電質 68:閘極 70:罩幕 75、75A、75B、75C:虛置閘極結構 80:源極/汲極區域 80A、80B、80C:膜層 86:凹槽 87:閘極間隔物 87’:間隔物 89:接點蝕刻停止層 90:第一層間介電層 91:接點開口 92:第二層間介電層 93:接點開口 94:閘極介電層 95:矽化物區域 95U:上表面 96:阻障層 97、97A、97B、97C:替換閘極 98:晶種層 99:閘極電極 100、100A:鰭狀場效電晶體 101:阻障層 102、102A、102B:接點 103:晶種層 105:導電材料 1000:方法 1010、1020、1030、1040:步驟 A-A、B-B、C-C:剖面 D:深度 T1、T2、T3、T4、T5、T6、T7、T8、T9:厚度 W:距離 TH:厚度
以下將配合所附圖式詳述本發明實施例。應注意的是,各種特徵部件並未按照比例繪製且僅用以說明例示。事實上,元件的尺寸可能經放大或縮小,以清楚地表現出本發明實施例的技術特徵。 第1圖係根據一些實施例繪示出鰭狀場效電晶體元件的透視圖。 第2-9、10A-10C、11A、11B、12、13、14A、及14B圖係根據一些實施例繪示出在各個製造階段時鰭狀場效電晶體元件的各剖面圖。 第15圖係根據一些實施例繪示出鰭狀場效電晶體元件的剖面圖。 第16圖係根據一些實施例繪示出製造半導體元件的方法流程圖。
50:基板
64:半導體鰭片
80A、80B、80C:膜層
89:接點蝕刻停止層
90:第一層間介電層
92:第二層間介電層
95:矽化物區域
97A、97B、97C:替換閘極
100:鰭狀場效電晶體
101:阻障層
102、102A、102B:接點
103:晶種層
105:導電材料

Claims (1)

  1. 一種半導體裝置的形成方法,包括: 形成一鰭片突出於一基板之上; 形成一閘極結構於該鰭片之上; 形成一凹槽於鄰近該閘極結構的該鰭片中;以及 形成一源極/汲極區域於該凹槽中,該源極/汲極區域包括一第一膜層、一第二膜層、及一第三膜層,其中形成該源極/汲極區域包括: 在第一製程條件下進行一第一磊晶製程以在該凹槽中形成該第一膜層,該第一膜層沿著該凹槽所露出的該鰭片的表面延伸; 在第二製程條件下進行一第二磊晶製程以在該第一膜層上形成該第二膜層;以及 在第三製程條件下進行一第三磊晶製程以在該第二膜層上形成該第三膜層,該第三膜層填充該凹槽,其中該些第一製程條件、該些第二製程條件、及該些第三製程條件不同。
TW108134743A 2018-09-28 2019-09-26 半導體裝置及其形成方法 TWI821415B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862738654P 2018-09-28 2018-09-28
US62/738,654 2018-09-28
US16/424,067 US10867861B2 (en) 2018-09-28 2019-05-28 Fin field-effect transistor device and method of forming the same
US16/424,067 2019-05-28

Publications (2)

Publication Number Publication Date
TW202013455A true TW202013455A (zh) 2020-04-01
TWI821415B TWI821415B (zh) 2023-11-11

Family

ID=69946554

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108134743A TWI821415B (zh) 2018-09-28 2019-09-26 半導體裝置及其形成方法

Country Status (3)

Country Link
US (1) US10867861B2 (zh)
CN (1) CN110970302A (zh)
TW (1) TWI821415B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11489075B2 (en) 2020-06-29 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10991630B2 (en) * 2018-09-27 2021-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11935887B2 (en) * 2019-03-28 2024-03-19 Intel Corporation Source or drain structures with vertical trenches
US11955482B2 (en) * 2020-05-18 2024-04-09 Intel Corporation Source or drain structures with high phosphorous dopant concentration
US11784052B2 (en) * 2020-05-28 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Dipole-engineered high-k gate dielectric and method forming same
DE102020130401A1 (de) 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Dipolig-gefertigtes high-k-gate-dielektrikum und verfahren zu dessen bildung desselben
US11404561B2 (en) * 2020-08-03 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11798943B2 (en) * 2021-02-18 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor source/drain contacts and methods of forming the same
CN113130323B (zh) * 2021-03-29 2024-01-19 上海华力集成电路制造有限公司 嵌入式SiP外延层的制造方法
US11705371B2 (en) * 2021-05-05 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices having merged source/drain features and methods of fabrication thereof
US11949016B2 (en) * 2021-05-13 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and related methods
US12094778B2 (en) * 2021-06-24 2024-09-17 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device and method of forming
US11952656B2 (en) * 2021-08-20 2024-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. PVD system and collimator
US20230065620A1 (en) * 2021-08-26 2023-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US20230238381A1 (en) * 2022-01-27 2023-07-27 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of forming the same

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
KR102259080B1 (ko) * 2014-09-23 2021-06-03 삼성전자주식회사 반도체 소자 및 그 제조방법
US9991384B2 (en) * 2015-01-15 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9418897B1 (en) 2015-06-15 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap around silicide for FinFETs
US10032873B2 (en) * 2015-09-15 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US9647122B2 (en) * 2015-09-15 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10304957B2 (en) * 2016-09-13 2019-05-28 Qualcomm Incorporated FinFET with reduced series total resistance
US9812363B1 (en) 2016-11-29 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
DE102017127354A1 (de) * 2016-12-15 2018-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiter-bauelement und herstellungsverfahren dafür

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11489075B2 (en) 2020-06-29 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
TWI785518B (zh) * 2020-06-29 2022-12-01 台灣積體電路製造股份有限公司 製造半導體裝置的方法和半導體裝置
US11817500B2 (en) 2020-06-29 2023-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Also Published As

Publication number Publication date
CN110970302A (zh) 2020-04-07
US20200105606A1 (en) 2020-04-02
TWI821415B (zh) 2023-11-11
US10867861B2 (en) 2020-12-15

Similar Documents

Publication Publication Date Title
TWI821415B (zh) 半導體裝置及其形成方法
US11133416B2 (en) Methods of forming semiconductor devices having plural epitaxial layers
TWI742435B (zh) 半導體裝置及其形成方法
US12062720B2 (en) Epitaxial source/drain structure and method of forming same
TWI725588B (zh) 半導體裝置的形成方法及半導體裝置
TWI739178B (zh) 半導體裝置及其形成方法
US11049954B2 (en) Fin field-effect transistors and methods of forming the same
US11640977B2 (en) Non-conformal oxide liner and manufacturing methods thereof
TW202032635A (zh) 半導體裝置及其形成方法
US20240145597A1 (en) Fin Field-Effect Transistor Device Having Contact Plugs with Re-Entrant Profile
US20230360974A1 (en) Silicon Phosphide Semiconductor Device
TW202123463A (zh) 半導體元件及其製造方法
CN112086357A (zh) 半导体装置的形成方法
TWI808729B (zh) 半導體裝置的形成方法
TW202301442A (zh) 形成鰭式場效電晶體裝置的方法
TW202117862A (zh) 半導體裝置及其形成方法