TWI785518B - 製造半導體裝置的方法和半導體裝置 - Google Patents

製造半導體裝置的方法和半導體裝置 Download PDF

Info

Publication number
TWI785518B
TWI785518B TW110107604A TW110107604A TWI785518B TW I785518 B TWI785518 B TW I785518B TW 110107604 A TW110107604 A TW 110107604A TW 110107604 A TW110107604 A TW 110107604A TW I785518 B TWI785518 B TW I785518B
Authority
TW
Taiwan
Prior art keywords
layer
epitaxial layer
epitaxial
fin
fin structures
Prior art date
Application number
TW110107604A
Other languages
English (en)
Other versions
TW202201790A (zh
Inventor
爾 沙哈吉B 摩
萬特 錢德拉謝卡爾 普拉卡斯 薩
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202201790A publication Critical patent/TW202201790A/zh
Application granted granted Critical
Publication of TWI785518B publication Critical patent/TWI785518B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02647Lateral overgrowth
    • H01L21/0265Pendeoepitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66492Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a pocket or a lightly doped drain selectively formed at the side of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Die Bonding (AREA)

Abstract

在製造半導體裝置的方法中,在基板上方形成第一和第二鰭片結構,在基板上方形成隔離絕緣層,在第一和第二鰭片結構的通道區域上方形成閘極結構,凹陷化第一和第二鰭片結構的多個源極/汲極區域,以及在凹陷化的第一和第二鰭片結構上方形成磊晶源極/汲極結構。磊晶源極/汲極結構係具有合併點的合併結構,並且合併點的底部距隔離絕緣層的上表面的高度係第一和第二鰭片結構的通道區域距隔離絕緣層的上表面的高度的50%或更大。

Description

製造半導體裝置的方法和半導體裝置
本揭示內容係關於含空隙的磊晶源極/汲極(S/D)結構的半導體裝置和其製造製程。
本揭示內容係關於一種半導體積體電路,並且更特定而言,關於一種具有含空隙的磊晶源極/汲極(S/D)結構的半導體裝置和其製造製程。由於為了追求較高的裝置密度、較高的效能、和較低的成本,半導體工業已經進展到奈米技術製程節點,來自製造和設計問題的挑戰已導致三維設計(諸如鰭式場效電晶體(FinFET))的發展以及具有高k(介電常數)材料的金屬閘極結構的使用。金屬閘極結構經常經由使用閘極替換技術來製造,並且源極和汲極經由使用磊晶成長方法來形成。
本揭示內容的一些實施方式提供了一種製造半導體裝置的方法,方法包含:在基板上方形成第一鰭片結構和第二鰭片結構;在基板上方形成隔離絕緣層,使得第一 和第二鰭片結構的多個下部嵌入隔離絕緣層中,並且第一和第二鰭片結構的多個上部從隔離絕緣層暴露出;在第一和第二鰭片結構的多個通道區域上方形成閘極結構;凹陷化第一和第二鰭片結構的多個源極/汲極區域;以及在凹陷化的第一和第二鰭片結構上方形成磊晶源極/汲極結構;其中磊晶源極/汲極結構係具有合併點的合併結構,並且合併點的底部距隔離絕緣層的上表面的高度係第一和第二鰭片結構的多個通道區域距隔離絕緣層的上表面的高度的50%或更大。
本揭示內容的另一些實施方式提供了一種製造半導體裝置的方法,方法包含:在基板上方形成第一鰭片結構和第二鰭片結構;在基板上方形成隔離絕緣層,使得第一和第二鰭片結構的多個下部嵌入隔離絕緣層中,並且第一和第二鰭片結構的多個上部從隔離絕緣層暴露出;在第一和第二鰭片結構的多個源極/汲極區域的多個相對側面上形成多個鰭片側壁;凹陷化第一和第二鰭片結構的這些源極/汲極區域;在第一溫度下分別地在凹陷化的第一和第二鰭片結構上方形成第一磊晶層;在第二溫度下在第一磊晶層上執行蝕刻操作;在第三溫度下分別地在第一磊晶層上方形成具有與第一磊晶層不同的組成的第二磊晶層;在第四溫度下在第二磊晶層上方形成具有與第二磊晶層不同的組成的第三磊晶層;在第五溫度下在第三磊晶層上執行蝕刻操作;以及在第六溫度下在第三磊晶層上方形成具有與第三磊晶層不同的組成的第四磊晶層。
本揭示內容的又另一些實施方式提供了半導體裝置,包含隔離絕緣層、第一鰭片結構和一第二鰭片結構、閘極結構、以及源極/汲極磊晶層。隔離絕緣層在基板上方設置。第一鰭片結構和一第二鰭片結構在基板上方設置。閘極結構在第一和第二鰭片結構的多個通道區域上方設置。源極/汲極磊晶層在第一和第二鰭片結構的源極/汲極區域上方設置。其中:源極/汲極磊晶層具有合併結構,此合併結構具有合併點,並且此合併點的底部距隔離絕緣層的上表面的高度係第一和第二鰭片結構的這些通道區域距隔離絕緣層的上表面的高度的65%或更多。
10:基板
10M:臺面形狀
15:硬遮罩圖案
15A:墊氧化物層
15B:氮化矽遮罩層
20:鰭片結構
20T:鰭片結構頂部
22:凹陷
30:隔離絕緣層
40:閘極結構
42:介電層
44:犧牲閘極圖案(虛設閘極電極)
46:硬遮罩層
46-1:氮化矽層
46-2:氧化矽層
48:側壁間隔件
49:覆蓋層
50:鰭片遮罩層
60:磊晶源極/汲極結構(源極/汲極結構、磊晶層)
62:第一磊晶層
64:第二磊晶層
64-1:下層(下部磊晶層)
64-2:上層
65:間隙
66:第三磊晶層
68:第四磊晶層
70:矽化物層
82:閘極介電層
84N:功函數調節層
84P:功函數調節層
86:金屬閘極電極
90:層間介電層
95:層間介電層
100:接觸栓塞
H1:高度
H11:高度
H12:高度
H2:高度
H3:厚度
S101、S102、S103、S104、S105、S106、S107、S108、S109、S110、S111、S112、S113、S114、S115、S116、S117、S118、S119:步驟
T0、T1、T2、T3、T4、T5、T6、T7:尺寸(厚度)
Z18:深度方向
W:尺寸(寬度)
W1:寬度
S1:空間
(110):晶面
當結合隨附圖式閱讀時,自以下詳細描述將很好地理解本揭示內容。應注意,根據工業中的標準實務,各個特徵並非按比例繪製,並且僅出於說明目的而使用。事實上,出於論述清晰之目的,可任意增加或減小各個特徵的尺寸。
第1圖示出了根據本揭示內容的一實施方式的用於半導體裝置的製造操作的製程流程圖。
第2圖示出了根據本揭示內容的一實施方式的半導體裝置的製造操作的各個階段中的一個階段的橫截面視圖。
第3圖示出了根據本揭示內容的一實施方式的半導體裝置的製造操作的各個階段中的一個階段的橫截面視圖。
第4圖示出了根據本揭示內容的一實施方式的半導體裝置的製造操作的各個階段中的一個階段的橫截面視圖。
第5圖示出了根據本揭示內容的一實施方式的半導體裝置的製造操作的各個階段中的一個階段的橫截面視圖。
第6A圖、第6B圖、和第6C圖示出了根據本揭示內容的一實施方式的半導體裝置的製造操作的各個階段中的一個階段的多個視圖。
第7圖示出了根據本揭示內容的一實施方式的半導體裝置的製造操作的各個階段中的一個階段的橫截面視圖。
第8A圖和第8B圖示出了根據本揭示內容的一實施方式的半導體裝置的製造操作的各個階段中的一個階段的橫截面視圖。
第9A圖和第9B圖示出了根據本揭示內容的一實施方式的半導體裝置的製造操作的各個階段中的一個階段的橫截面視圖。
第10A圖和第10B圖示出了根據本揭示內容的一實施方式的半導體裝置的製造操作的各個階段中的一個階段的橫截面視圖。
第11A圖和第11B圖示出了根據本揭示內容的一實施方式的半導體裝置的製造操作的各個階段中的一個階段的橫截面視圖。
第12A圖和第12B圖示出了根據本揭示內容的一實施方式的半導體裝置的製造操作的各個階段中的一個階段的橫截面視圖。
第13A圖和第13B圖示出了根據本揭示內容的一實施方式的半導體裝置的製造操作的各個階段中的一個階段的橫截面視圖。
第14圖示出了根據本揭示內容的一實施方式的半導體裝置的製造操作的各個階段中的一個階段的橫截面視圖。
第15圖示出了根據本揭示內容的一實施方式的形成源極/汲極磊晶層的製程流程。
第16A圖、第16B圖和第16C圖示出了根據本揭示內容的一實施方式的半導體裝置的製造操作的各個階段中的一個階段的橫截面視圖。
第17圖示出了根據本揭示內容的一實施方式的半導體裝置的製造操作的各個階段中的一個階段的橫截面視圖。
第18圖示出了根據本揭示內容的一實施方式的源極/汲極結構的元素分析結果。
應理解,以下揭示內容提供了眾多不同的實施方式或實施例,以用於實現本揭示內容的不同特徵。下文描述組件和配置的具體實施方式或實施例,以簡化本揭示內容。當然,此等僅為實施例且並不意欲為限制性。例如,元件的尺寸不限於所揭示的範圍或數值,但可取決於製程條件和/或元件的期望性質。此外,以下描述中在第二特徵上方 或第二特徵上形成第一特徵可包括以直接接觸而形成第一特徵和第二特徵的實施方式,且亦可包括插入第一特徵和第二特徵之間而形成額外的特徵以使得第一特徵和第二特徵可不為直接接觸的實施方式。各個特徵可出於簡便性和清晰目的而以不同比例任意繪製。在附圖中,一些層/特徵可出於簡化目的而省略。
另外,為了便於描述,本文可使用空間相對性用語(諸如「之下」、「下方」、「下部」、「上方」、「上部」、和類似者)來描述諸圖中所示出之一個元件或特徵與另一元件或特徵的關係。除了圖式中所描繪的定向外,空間相對性用語意欲涵蓋使用或操作中元件的不同定向。設備可經其他方式定向(旋轉90度或處於其他定向)且由此可同樣地解讀本文所使用的空間相對性描述詞。此外,用語「由...製成(made of)」可意謂「包含(comprising)」或「由...組成(consisting of)」。另外,在以下的製造製程中,在所描述的多個操作中/之間可存在一或多個額外的操作,並且操作的次序可能改變。在本揭示內容中,詞語「A、B和C的一者」意謂「A、B和/或C」(A;B;C;A及B;A及C;B及C;或A、B及C),並且除非另外描述,不意謂來自A的一個要素、來自B的一個要素、和來自C的一個要素。與關於一個實施方式描述的彼等相同或類似的材料、構造、尺寸、製程和/或操作可用於其他的實施方式中,並且可省略詳細解釋。
所揭示的實施方式係關於一種半導體裝置和其製 造方法,具體而言為場效電晶體(FET)的源極/汲極區域。諸如本文揭示的彼等的實施方式通常可不僅應用於鰭式場效電晶體亦應用於其他的場效電晶體。
第1圖示出了製程流程圖並且第2圖至第16C圖示出了根據本揭示內容的實施方式的用於製造半導體裝置的各個階段的多個橫截面視圖。會理解的是,額外的操作可以在由第1圖和第2圖至第16C圖所示的製程之前、期間、和之後提供,而且對於本方法的多個額外的實施方式,下文所描述的多個操作中的一些操作可以替代或刪減。操作/製程的次序係可互換的。
在第1圖的S101中並且如在第2圖和第3圖中所示,一或多個鰭片結構20在基板10上方形成。用於鰭式場效電晶體的鰭片結構可以經由任何合適的方法來圖案化。例如,鰭片結構可使用一或多個光微影製程(包括雙重圖案化或多重圖案化製程)來圖案化。通常,雙重圖案化或多重圖案化製程結合光微影和自對準製程,從而允許產生具有例如與可另外使用單個、直接的光微影製程所獲得的間距相比較小的間距的圖案。例如,在一個實施方式中,犧牲層在基板上方形成並且使用光微影製程來圖案化。間隔件使用自對準製程在圖案化的犧牲層旁邊形成。之後移除犧牲層,並且可之後使用剩餘的間隔件或心軸來圖案化鰭片結構。結合光微影和自對準製程的多重圖案化製程通常導致形成一對的鰭片結構。
在一些實施方式中,遮罩層15在基板10上方形 成,以製造多個鰭片結構。例如,遮罩層15經由熱氧化製程和/或化學氣相沉積(CVD)製程來形成。例如,基板10係具有約1×1015cm-3至約1×1016cm-3的範圍內的雜質濃度的p型矽或鍺基板。在其他的實施方式中,基板係具有在約1×1015cm-3至約1×1016cm-3的範圍內的雜質濃度的n型矽或鍺基板。
替代地,基板10可包含:另一元素半導體,諸如鍺;化合物半導體,包括第IV族-第IV族化合物半導體,諸如SiC和SiGe,第III族-第V族化合物半導體,諸如GaAs、GaP、GaN、InP、InAs、InSb、GaAsP、AlGaN、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP;或其組合。在一個實施方式中,基板10是SOI(絕緣體上矽)基板的矽層。當使用絕緣體上矽基板時,鰭片結構可從絕緣體上矽基板的矽層突出或者可從絕緣體上矽基板的絕緣層突出。在後一情形中,絕緣體上矽基板的矽層用於形成鰭片結構。非晶態的基板(諸如非晶態的Si或非晶態的SiC)、或絕緣的材料(諸如氧化矽)亦可用作基板10。基板10可包括已經由雜質(例如,p型或n型導電性)適宜地摻雜的各種區域。
在一些實施方式中,例如,遮罩層15包括墊氧化物(例如,氧化矽)層15A和氮化矽遮罩層15B。墊氧化物層15A可經由使用熱氧化或化學氣相沉積製程來形成。氮化矽遮罩層15B可經由下列形成:諸如濺射方法的物理氣相沉積(PVD)、化學氣相沉積、電漿增強化學氣相沉積 (PECVD)、常壓化學氣相沉積(APCVD)、低壓化學氣相沉積(LPCVD)、高密度電漿化學氣相沉積(HDPCVD)、原子層沉積(ALD)、和/或其他的製程。
在一些實施方式中,墊氧化物層15A的厚度係在從約2nm至約15nm的範圍內,且氮化矽遮罩層15B的厚度係在從約2nm至約50nm的範圍內。遮罩圖案進一步在遮罩層上方形成。例如,遮罩圖案係由微影操作所形成的阻劑圖案。
如在第2圖中所示,經由使用遮罩圖案作為蝕刻遮罩,形成墊氧化物層和氮化矽遮罩層的硬遮罩圖案15。
之後,如在第3圖中所示,經由使用硬遮罩圖案15作為蝕刻遮罩,經由使用乾式蝕刻方法和/或濕式蝕刻方法的溝槽蝕刻將基板10圖案化成為多個鰭片結構20。
在第3圖中,在基板10上方設置三個鰭片結構20。然而,鰭片結構的數量不限於三個。數量可小至一個或大於三個。在一些實施方式中,鰭片結構的數量在從5至1000的範圍內,此等鰭片結構經由在後續的操作中所形成的源極/汲極磊晶層而連接。在其他的實施方式中,鰭片結構的數量在從5至100的範圍內,此等鰭片結構經由後續的操作中所形成的源極/汲極磊晶層而連接。在某些實施方式中,鰭片結構的數量在從5至20的範圍內,此等鰭片結構經由在後續的操作中所形成的源極/汲極磊晶層而連接。此外,一或多個虛設鰭片結構可在鰭片結構20的兩側附近設置,以改進圖案化製程中的圖案保真度。
鰭片結構20可由與基板10相同的材料製成,並且可繼續從基板10延伸。在此實施方式中,鰭片結構由Si製成。鰭片結構20的矽層可係固有的、或用n型雜質或p型雜質適當地摻雜。
鰭片結構20的寬度W1在一些實施方式中係在從約3nm(奈米)至約40nm的範圍內,且在其他的實施方式中係在從約7nm至約12nm的範圍內。在介於兩個鰭片結構之間的空間S1在一些實施方式中係在從約10nm至約50nm的範圍內。鰭片結構20的高度(沿著Z方向)在一些實施方式中係在從約100nm至約300nm的範圍內,且在其他的實施方式中係在從約50nm至100nm的範圍內。
鰭片結構20在閘極結構40(參見第6A圖)下方的下部可被稱為阱區域,並且鰭片結構20的上部可被稱為通道區域。在閘極結構40下方,多個阱區域嵌入隔離絕緣層30(參見,第6A圖)中,並且通道區域從隔離絕緣層30突出。通道區域的下部亦可嵌入隔離絕緣層30中至約1nm至約5nm的深度。
阱區域的高度在一些實施方式中係在從約60nm至100nm的範圍內,並且通道區域的高度係在從約40nm至60nm的範圍內,且在其他的實施方式中係在從約38nm至約55nm的範圍內。
如在第4圖中所示,在形成鰭片結構20之後,進一步蝕刻基板10,以形成臺面形狀10M。在其他的實施 方式中,首先形成臺面形狀10M,並且隨後形成鰭片結構20。在某些實施方式中,不形成臺面形狀。
在形成鰭片結構20和臺面形狀10M之後,在第1圖的S102處,隔離絕緣層30在介於多個鰭片結構之間的空間和/或在介於一個鰭片結構與基板10上方形成的另一元件之間的空間中形成。隔離絕緣層30亦可被稱為「淺溝槽隔離(STI)」層。用於隔離絕緣層30的絕緣材料可包括下列的一或多層:氧化矽、氮化矽、氮氧化矽(SiON)、SiOCN、氟摻雜的矽酸鹽玻璃(FSG)、或低介電常數介電材料。隔離絕緣層經由LPCVD(低壓化學氣相沉積)、電漿化學氣相沉積、或可流動的化學氣相沉積而形成。在可流動化學氣相沉積中,可沉積可流動的介電材料而非氧化矽。如其名稱表示,可流動的介電材料可以在沉積期間「流動」以填充間隙或具有高深寬比的空間。通常,將各種化學試劑添加到含矽的前驅物以允許沉積的膜流動。在一些實施方式中,添加氮氫化物鍵結。可流動的介電前驅物(特別是可流動的氧化矽前驅物)的實施例包括矽酸鹽、矽氧烷、甲基倍半氧矽烷(methyl silsesquioxane;MSQ)、氫倍半氧矽烷(hydrogen silsesquioxane;HSQ)、MSQ/HSQ、全氫矽氮烷(perhydrosilazane;TCPS)、全氫-聚矽氮烷(perhydro-polysilazane;PSZ)、正矽酸四乙酯(tetraethyl orthosilicate;TEOS)、或矽烷基胺,諸如三矽烷基胺(trisilylamine;TSA)。此等可流動的氧化矽材料在多重操作製程中形成。在沉積可流動 的膜之後,此可流動的膜經固化並且之後退火以移除不期望的元素來形成氧化矽。當移除不期望的元素時,可流動的膜緻密化並且收縮。在一些實施方式中,進行多個退火製程。固化和退火可流動的膜多於一次。可流動的膜可利用硼和/或磷摻雜。
如在第5圖中所示,絕緣層30首先在厚層中形成,使得鰭片結構嵌入厚層中,並且凹陷化厚層,以暴露鰭片結構20的上部。鰭片結構的從隔離絕緣層30的上表面的高度H11在一些實施方式中係在從約20nm至約100nm的範圍內,且在其他的實施方式中係在從約30nm至約50nm的範圍內。在凹陷化隔離絕緣層30之後或之前,可執行熱製程(例如,退火製程),以改進隔離絕緣層30的品質。在某些實施方式中,熱製程經由在惰性氣體氛圍(諸如,N2、Ar、或He氛圍)中在從約900℃至約1050℃的範圍內的溫度下使用快速熱退火(RTA)達約1.5秒至約10秒來執行。
在形成絕緣層30之後,在第1圖的S103處,如在第6A圖至第6C圖中所示,犧牲閘極結構40在鰭片結構20上方形成。第6A圖係示例性透視圖,第6B圖係沿著第6A圖的線a-a的示例性橫截面視圖,並且第6C圖係沿著第6A圖的線b-b的示例性橫截面視圖。第7圖、第8A圖、第10A圖和第11A圖亦係沿著第6A圖的線b-b的橫截面視圖。第8B圖和第10B圖係沿著第6A圖的線c-c的橫截面視圖。
如在第6A圖中所示,犧牲閘極結構40在X方向上延伸,而鰭片結構20在Y方向上延伸。為了製造犧牲閘極結構40,介電層和聚矽層在隔離絕緣層30和暴露的鰭片結構20上方形成,並且隨後執行圖案化操作,以獲得包括由聚矽製成的犧牲閘極圖案44和犧牲介電層42的犧牲閘極結構。在一些實施方式中,聚矽層經由使用硬遮罩來圖案化,並且硬遮罩餘留在閘極圖案44上作為硬遮罩層46。硬遮罩層46包括一或多層的絕緣材料。在一些實施方式中,硬遮罩層46包括在氮化矽層46-1上方形成的氧化矽層46-2。在其他的實施方式中,硬遮罩層46包括在氧化矽層上方形成的氮化矽層。用於硬遮罩層46的絕緣材料可經由化學氣相沉積、物理氣相沉積、原子層沉積、電子束蒸發、或其他的適宜製程形成。在一些實施方式中,犧牲介電層42可包括下列的一或多層:氧化矽、氮化矽、氮氧化矽、或高介電常數介電質。在一些實施方式中,介電層42的厚度係在從約2nm至約20nm的範圍內,且在其他的實施方式中係在從約2nm至約10nm的範圍內。犧牲閘極結構的高度H12在一些實施方式中係在從約50nm至約400nm的範圍內,且在其他的實施方式中係在從約100nm至200nm的範圍內。
另外,在第1圖的S104處,閘極側壁間隔件48在犧牲閘極圖案的兩個側壁上形成。側壁間隔件48包括一或多層絕緣材料,諸如SiO2、SiN、SiON、SiOCN或SiCN,此側壁間隔件經由化學氣相沉積、物理氣相沉積、 原子層沉積、電子束蒸發、或其他的合適的製程而形成。低介電常數介電材料可用作側壁間隔件。側壁間隔件48經由形成絕緣材料的毯覆層接著後各向異性蝕刻來形成。在一個實施方式中,側壁間隔層48由基於氮化矽的材料(諸如SiN、SiON、SiOCN或SiCN)製成。在一些實施方式中,閘極間隔件48亦在如第6C圖中所示的暴露的鰭片結構20的側壁上形成。
在一些實施方式中,在第1圖的S105處,在閘極側壁間隔件48之前和/或之後,執行一或多個離子佈植操作,以將離子佈植到鰭片結構的源極/汲極區域中,用於形成輕摻雜的汲極(lightly doped drain;LDD)結構。
之後,如在第7圖中所示,在第1圖的S106處,鰭片遮罩層50(鰭片側壁)在鰭片結構20上方形成。鰭片遮罩層50由包括基於氮化矽的材料(諸如SiN、SiON、SiOCN或SiCN)的介電材料製成。在一個實施方式中,SiN用作鰭片遮罩層50。鰭片遮罩層50經由化學氣相沉積、物理氣相沉積、原子層沉積、電子束蒸發、或其他合適的製程來形成。鰭片遮罩層50的厚度在一些實施方式中係在從約3nm至約30nm的範圍內。
在形成鰭片遮罩層50之後,在第1圖的S107處,凹陷化鰭片結構20的上部,並且鰭片遮罩層50的部分和側壁間隔件48的部分經由乾式蝕刻和/或濕式蝕刻操作來移除。如在第8A圖中所示,鰭片結構20的上部向下凹陷化(蝕刻)到等於或低於在隔離絕緣層30的上表面上的鰭 片遮罩層50的上表面的位準。
在一些實施方式中,分別地形成用於n型場效電晶體和p型場效電晶體的凹陷22。在一些實施方式中,用於n型場效電晶體的凹陷22(和後續的磊晶層)首先形成,同時用於p型場效電晶體的區域由覆蓋層49(例如,氮化矽)覆蓋(參見第9B圖),並且之後形成用於p型場效電晶體的凹陷22(和後續的磊晶層),同時用於n型場效電晶體的區域由覆蓋層覆蓋(參見在第1圖的S113和S114處)。
在一些實施方式中,如在第8A圖中所示,凹陷化的鰭片結構20的頂部(凹陷22的底部)位在高於隔離絕緣層30的上表面。在其他的實施方式中,凹陷化的鰭片結構20的頂部(凹陷22的底部)位於與隔離絕緣層30的上表面相同的位準處或低於隔離絕緣層30的上表面。
在一些實施方式中,取決於在介於鄰近的兩個鰭片結構之間的空間和在介於鄰近的兩個鰭片結構與另一鰭片結構之間的空間,鰭片遮罩層50和側壁間隔件48的蝕刻對於如第8B圖所示的鰭片結構為不對稱。第8B圖亦示出了在凹陷化之前的鰭片結構(或在犧牲閘極結構下方)和鰭片結構頂部20T。在一些實施方式中,在面向另一鰭片結構的側面上的兩個鄰近的鰭片結構中的一個的剩餘的鰭片遮罩層50和側壁間隔件48具有與位於另一側的兩個鄰近的鰭片結構中的一個的剩餘的鰭片遮罩層50和側壁間隔件48相比較低的高度。在其他的實施方式中,高度關係是 相反的。
在一些實施方式中,凹陷化的鰭片結構20的上表面具有U形、W形、或波浪形。
在第1圖的S109處,用於n型場效電晶體的磊晶源極/汲極結構在凹陷化的鰭片結構20上方形成。磊晶源極/汲極結構60由一或多層半導體材料製成,此半導體材料具有與鰭片結構20(通道區域)不同的晶格常數。在第9A圖至第13B圖和第16A圖至第16B圖中,「A」圖示出了沿著X方向(閘極延伸方向)的橫截面視圖,並且「B」圖示出了沿著Y方向(鰭片延伸方向)的橫截面視圖。
在一些實施方式中,如在第9A圖和第9B圖中所示,第一磊晶層62在凹陷化的鰭片結構20上方形成。在一些實施方式中,第一磊晶層62包括SiAs和/或SiGeAs,此第一磊晶層可以抑制磷(P)從隨後形成的第二磊晶層擴散到鰭片結構的通道區域。在一些實施方式中,第一磊晶層62中的As濃度在從約5×1019原子/cm3至約5×1021原子/cm3的範圍內,並且在其他的實施方式中,在從約1×1020原子/cm3至約2×1021原子/cm3的範圍內。若As濃度過低,則對P的擴散阻障效應係不足的。難以包括超過範圍的上限的As,並且高的As濃度將減少施加到通道的應力並增加電阻。在其他的實施方式中,用P摻雜的SiGe用作第一磊晶層62。
在一些實施方式中,第一磊晶層62的厚度T0係在從約3nm至約20nm的範圍內,並且在其他的實施方 式中係在從10nm至約15nm的範圍內。若厚度T0過小,對P的擴散阻障效應係不足的,並且若厚度過高,則其將減少施加到通道的應力並增加電阻。在一些實施方式中,第一磊晶層62向上沉積到等於或略微低於(小於2nm)閘極側壁間隔件48的底部的位準。
在一些實施方式中,第一磊晶層62的磊晶成長包括一或多個沉積階段和一或多個蝕刻階段,以控制磊晶層的形狀。沉積和蝕刻階段可交替地執行。在一些實施方式中,基板10係(100)Si基板。SiAs第一磊晶層沿著(100)面成長得比(110)和(111)面快。反之,後蝕刻SiAs第一磊晶層62在頂部形成(111)的刻面,並且沿著(110)和(111)方向蝕刻得比(100)方向快。據此,在一些實施方式中,在介於第一磊晶層的底部與側面之間存在厚度上的差異。在一些實施方式中,底部厚度大於側面厚度,並且在底部的厚度(沿著垂直或Z方向)與沿著通道側面(沿著Y方向)的厚度之間的差異在從約5nm至約10nm的範圍內。
如在第10A圖、第10B圖、第11A圖和第11B圖中所示,在形成第一磊晶層62之後,包括下層64-1和上層64-2的第二磊晶層64在第一磊晶層上形成。在一些實施方式中,第二磊晶層64包括SiP、或SiCP。
在一些實施方式中,在第二磊晶層64中的磷(P)濃度在從約2×1020原子/cm3至約1×1022原子/cm3的範圍內,並在其他的實施方式中在從約5×1020原子/cm3 至約5×1021原子/cm3的範圍內。若P濃度過低,則第二磊晶層的電阻增加,並且若P濃度過高,則施加到通道的應力減少。
如在第10A圖和第10B圖中所示,下層64-1實質上以對於多個相應的鰭片結構對稱地成長。在一些實施方式中,下部磊晶層64-1的磊晶成長包括一或多個沉積階段和一或多個蝕刻階段,以控制磊晶層的形狀,此等階段可交替地執行。在最後的蝕刻階段之後,在一些實施方式中,(110)刻面在下層64-1的頂部上形成。如在第10A圖中所示,第二磊晶層的下層64-1不與在鄰近的鰭片結構上方的下層64-1合併。
之後,如在第11A圖和第11B圖中所示,形成上層64-2,使得鄰近的鰭片結構的第二磊晶層經由上層64-2而彼此合併。如在第11A圖中所示,合併點位於距隔離絕緣層30的表面相對高的位準處。當距隔離絕緣層的上表面的鰭片高度(在犧牲閘極結構下方)係H1時,合併點的底部的高度H2在一些實施方式中係在從約0.5H1至約0.8H1的範圍內,並且在其他的實施方式中係在從約0.65H1至0.75H1的範圍內。上層64-2在合併點處的厚度H3在一些實施方式中係在從約7nm至約30nm的範圍內。如在第11A圖中所示,間隙65在合併點下方形成。在形成上層64-2之後,在一些實施方式中,第二磊晶層64的上表面具有波浪形狀,此形狀在Z方向上具有在從約2nm至約10nm的範圍內的不均勻度(峰對谷)。
在一些實施方式中,在下層64-1中的P濃度與在上層64-2中的P濃度相同或不同。在一些實施方式中,在執行下層64-1的蝕刻階段之後,形成上層64-2。在形成上層64-2期間,不包括蝕刻階段。第二磊晶層沿著Z方向的厚度在一些實施方式中係在從約10nm至約50nm的範圍內。在一些實施方式中,第二磊晶層64向上沉積到等於或略微低於(小於2nm)閘極側壁間隔件48的底部的位準。在其他的實施方式中,第二磊晶層64略微在犧牲閘極結構下方的鰭片結構20的頂部之上(小於2nm)沉積。在一些實施方式中,在鰭片結構上方上層64-2與下層64-1沿著垂直方向的厚度比係在從約0.1至約0.3的範圍內。
之後,如在第12A圖和第12B圖中所示,第三磊晶層66在第二磊晶層64上形成。在一些實施方式中,第三磊晶層66包括SiP、或SiCP。在一些實施方式中,第三磊晶層66進一步含有Ge以減少接觸電阻,用於隨後形成的源極/汲極接觸件。
在一些實施方式中,在第三磊晶層66中的磷(P)濃度等於或小於在第二磊晶層64中的P濃度,並且在從約2×1020原子/cm3至約1×1022原子/cm3的範圍內,且在其他的實施方式中在從約5×1020原子/cm3至約5×1021原子/cm3的範圍內。若P濃度過低,則第二磊晶層的電阻增加,並且若P濃度過高,則其將減少施加到通道的應力。在一些實施方式中,在第三磊晶層66中的Ge濃度在從約 0.2原子%至約10原子%的範圍內,並且在其他的實施方式中在從約0.5原子%至約5原子%的範圍內。少量的Ge有助於TiSi形成且減小接觸電阻,並且當量過小時,可能不獲得此效應。當Ge濃度過高時,其在TiSi形成期間引發Ge聚集,並且增加接觸電阻和缺陷,且亦減小在磊晶層中的應力。在一些實施方式中,第三磊晶層66的磊晶成長包括一或多個沉積階段和控制磊晶層的形狀的一或多個蝕刻階段,此等階段可交替地執行。在一些實施方式中,第三磊晶層沿著Z方向的厚度在從約5nm至約10nm的範圍內。若厚度過小,則將不獲得期望形狀的磊晶層。若厚度過大,則其將引發與附近的裝置(NFET或者PFET)合併,並且亦減少波形形狀。在一些實施方式中,第三磊晶層66完全覆蓋第二磊晶層64的表面,除了間隙65,並且接觸第一磊晶層。在一些實施方式中,夾著第二磊晶層的第一和第三磊晶層抑制磷從第二磊晶層向外擴散到通道區域或金屬閘極電極。另外,如在第13A圖和第13B圖中所示,第四磊晶層68作為覆蓋層在第三磊晶層66上形成。在一些實施方式中,第四磊晶層68包括SiP、或SiCP。在一些實施方式中,在第四磊晶層中不包括Ge。
在一些實施方式中,在第四磊晶層68中的磷(P)濃度與在第三磊晶層66中的磷濃度相等或不同,並且在從約2×1020原子/cm3至約1×1022原子/cm3的範圍內,且在其他的實施方式中,在從約5×1020原子/cm3至約5×1021原子/cm3的範圍內。第四磊晶層68沿著Z方向 的厚度在一些實施方式中係在從約5nm至約10nm的範圍內。第四磊晶層68大部分在(100)方向上成長,這維持鰭片結構上的(100)形狀和鰭片結構之間的(110)形狀。如在第13A圖中所示,第一至第四磊晶層共同稱為源極/汲極磊晶層(結構)60。
第14圖示出了繪出源極/汲極結構60的TEM(穿透式電子顯微鏡)影像的線。歸因於TEM的透射性質,亦看到在犧牲閘極下方的鰭片結構。在第14圖中,尺寸T1對應於第一磊晶層62的厚度並且在一些實施方式中係在從約5nm至約20nm的範圍內。在一些實施方式中,第一磊晶層62的頂部位於在鰭片結構上的鰭片遮罩層50和/或側壁間隔件48距隔離絕緣層30的上表面的高度的約80%至約100%。
尺寸T2係合併點的底部距隔離絕緣層30的上表面的高度,並且在一些實施方式中係在約20nm至約50nm的範圍內。在一些實施方式中,合併點位於或高於在犧牲閘極結構下方的鰭片結構的頂部距隔離絕緣層的上表面的高度T6的75%。第二磊晶層的高合併點可以改進短通道效應。
尺寸T3係在第一磊晶層的頂部與合併點的底部之間的距離。尺寸T4係合併點的厚度。合併點被定義在多個鄰近的鰭片結構的中心位置處。在一些實施方式中,取決於製程和/或設計需要,厚度T4係在從約5nm至約20nm的範圍內。若厚度T4過小,則用於源極/汲極接觸件 的裕度可能不足,若厚度T4過厚,則源極/汲極磊晶層的頂表面可能較平,這將增加源極/汲極接觸件的接觸電阻。尺寸T5係源極/汲極磊晶層在鰭片結構頂部之上的厚度,並且在一些實施方式中係在從約2nm至約10nm的範圍內。若厚度T5過小,則用於源極/汲極接觸件的裕度可能不足,並且若厚度T5過厚,則源極/汲極磊晶層的頂表面可能較平,這將增加源極/汲極接觸件的接觸電阻。
尺寸T6係從第一磊晶層的底部(或隔離絕緣層的上表面)到鰭片結構的頂部的鰭片(通道)高度,並且取決於製程和/或設計需要,在從約40nm至約80nm的範圍內。尺寸T7係源極/汲極磊晶層從第一磊晶層的底部(或隔離結緣層的上表面)到源極/汲極磊晶層的頂部的總高度,並且取決於製程和/或設計需要,在從約50nm至約90nm的範圍內。在一些實施方式中,比率T4/T7在從約0.1至約0.3的範圍內,並且在其他的實施方式中在從約0.15至約0.25的範圍內。尺寸W係合併的源極/汲極磊晶層60的總(最大)寬度,並且取決於製程和/或設計需要,在從約40nm至約80nm的範圍內。
第15圖示出了根據本揭示內容的實施方式的形成n型場效電晶體的源極/汲極磊晶層60的製程流程。在鰭片結構的源極/汲極區域經凹陷化以形成源極/汲極空間22之後,如在第15圖中所示,執行預清潔操作。在一些實施方式中,預清潔操作包括使用Ar和/或NH3電漿的電漿處理。在一些實施方式中,處理溫度在從約300℃至約600 ℃的範圍內。隨後,如在第15圖中所示,執行預蝕刻操作以控制隨後形成的磊晶層的形狀。在一些實施方式中,預蝕刻操作在H2和HCl氣體氛圍中執行。處理溫度高於預清潔操作的溫度,並且在一些實施方式中在從約550℃至約750℃的範圍內。
之後,第一磊晶層62(在第15圖中指示為L1)經由使用含Si氣體(諸如,SiH4、Si2H6或SiCl2H2)和摻雜劑氣體(諸如AsH3或有機As)來形成,其中H2作為載氣。用於形成第一磊晶層62的處理溫度等於或高於預蝕刻操作的溫度,並且在一些實施方式中在從約650℃至約750℃的範圍內。在形成第一磊晶層62之後,執行蝕刻操作以控制磊晶層的形狀。在一些實施方式中,蝕刻操作包括使用N2和HCl氣體的電漿或乾燥處理。處理溫度高於第一磊晶層的成長溫度,並且在一些實施方式中在從約700℃至約800℃的範圍內。
在形成並蝕刻第一磊晶層62之後,形成第二磊晶層64(64-1和64-2)(在第15圖中指示為L2)。用於形成第二磊晶層64的處理溫度低於L1蝕刻操作的溫度和形成第一磊晶層62的溫度,並且在一些實施方式中在從約600℃至約700℃的範圍內。第二磊晶層64經由使用含Si氣體(諸如,SiH4、Si2H6或SiCl2H2)和摻雜劑氣體(諸如PH3或有機As)來形成,利用H2或N2作為載氣。
在一些實施方式中,在形成第二磊晶層64之後,可選地執行清潔操作。清潔操作包括使用SiH4和/或 GeH4與HCl氣體的化學乾式清潔(蝕刻)。清潔操作的處理溫度低於形成第一磊晶層62的溫度且高於形成第二磊晶層64的溫度,並且在一些實施方式中在從約650℃至約750℃的範圍內。
在清潔操作之後,形成第三磊晶層66(在第15圖中指示為L3)。用於形成第三磊晶層66的處理溫度高於形成第一和第二磊晶層的溫度,並且在一些實施方式中在從約650℃至約750℃的範圍內。第三磊晶層66經由使用含Si氣體(諸如,SiH4、Si2H6或SiCl2H2)、含Ge氣體(諸如GeH4或Ge2H6)、和摻雜劑氣體(諸如PH3或有機As)來形成,其中H2或N2作為載氣。在形成第三磊晶層66之後,執行蝕刻操作以控制磊晶層的形狀。在一些實施方式中,蝕刻操作包括使用GeH4、H2、和HCl氣體的電漿或乾式處理。處理溫度高於第三磊晶層的成長溫度,並且在一些實施方式中在從約750℃至約800℃的範圍內。L3蝕刻在介於多個鰭片結構之間產生V形。
之後,第四磊晶層68(在第15圖中指示為L4)經由使用含Si氣體(諸如,SiH4、Si2H6、或SiCl2H2)和摻雜劑氣體(諸如PH3或有機As)來形成,其中H2或N2作為載氣。用於形成第四磊晶層68的處理溫度低於L3蝕刻操作和L3沉積的溫度,並且在一些實施方式中在從約650℃至約750℃的範圍內。
在形成用於n型場效電晶體的磊晶層60之後,在第1圖的S110處,在一些實施方式中移除鰭片遮罩層和 側壁。在其他的實施方式中,未移除鰭片遮罩層和側壁間隔件。在一些實施方式中,在第1圖的S110處亦移除覆蓋p型區域的覆蓋層,接著為在第1圖的S111處的清潔操作。
隨後,類似於S106的操作,在第1圖的S112處,形成用於p型場效電晶體的鰭片遮罩層(鰭片側壁),並且隨後在第1圖的S113處,在用於p型場效電晶體的鰭片結構的源極/汲極區域中形成凹陷。用於製造p型場效電晶體的凹陷的製程與用於製造n型場效電晶體的凹陷22的製程相同或類似。在第1圖的S114處,執行與S108的清潔操作類似的清潔操作。
隨後,在第1圖的S115處。用於p型場效電晶體的磊晶源極/汲極結構在凹陷化的鰭片結構20上方形成。磊晶源極/汲極結構由一或多層半導體材料製成,此半導體材料具有與鰭片結構20(通道區域)不同的晶格常數。當鰭片結構由Si製成時,磊晶源極/汲極結構包括用於p通道鰭式場效電晶體的SiGe或Ge。磊晶源極/汲極結構在凹陷化的鰭片結構的上部上方磊晶形成。源極/汲極磊晶層可在約600至800℃的溫度下在約80至150托的壓力下經由使用含Si氣體(諸如SiH4、Si2H6、或SiCl2H2)和含Ge氣體(諸如GeH4、Ge2H6、或GeCl2H2)來成長。在一些實施方式中,源極/汲極磊晶層進一步包括硼。
之後,在第1圖的S117處,如在第16A圖、第16B圖和第16C圖中所示,用作接觸蝕刻終止層的絕緣層 在金屬閘極結構和源極/汲極結構60上方形成,並且隨後形成層間介電(ILD)層90。層間介電層90係一或多層絕緣材料。在一個實施方式中,蝕刻終止層由化學氣相沉積形成的氮化矽製成。用於層間介電層90的材料包括化合物,此等化合物包含Si、O、C、和/或H,諸如氧化矽、SiCOH、和SiOC。有機材料(諸如聚合物)可用於層間介電層90。
之後,在第1圖的S118處,金屬閘極結構經由使用閘極替換技術形成。在形成層間介電層90之後,執行CMP(化學機械研磨)操作以暴露虛設閘極電極44。如在第16B圖和第16C圖中所示,虛設閘極結構(虛設閘極電極44和虛設閘極介電層42)隨後移除並且用金屬閘極結構(金屬閘極電極86和閘極介電層82)替換。
虛設閘極電極44和虛設閘極介電層42分別地經由適當的蝕刻製程移除,以形成閘極開口。包括閘極介電層82和金屬閘極電極86的金屬閘極結構在閘極開口中形成。
在一些實施方式中,閘極介電層82在鰭片結構20的通道層上方設置的界面層(未圖示)上方形成。在一些實施方式中,界面層可包括厚度為0.2nm至1.5nm的氧化矽或氧化鍺。在其他的實施方式中,界面層的厚度係在約0.5nm至約1.0nm的範圍內。
閘極介電層82包括一或多層介電材料,諸如氧化矽、氮化矽、或高介電常數介電材料、其他的適宜介電材料、和/或其組合。高介電常數介電材料的實施例包括HfO2、 HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他的適宜的高介電常數介電材料、和/或其組合。例如,閘極介電層經由下列形成:化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、高密度電漿化學氣相沉積(HDPCVD)、或其他的適宜方法、和/或其組合。閘極介電層的厚度在一些實施方式中係在從約1nm至約10nm的範圍內,且在其他的實施方式中可在從約2nm至約7nm的範圍內。
金屬閘極電極86在閘極介電層上方形成。金屬閘極電極86包括一或多層任何適宜金屬材料,諸如鋁、銅、鈦、鉭、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、金屬合金、其他的適宜材料、和/或其組合。
在本揭示內容的某些實施方式中,一或多個功函數調節層84N、84P插入介於閘極介電層與金屬閘極電極之間。功函數調節層係由導電材料製成,諸如TiN、TaN、TaAlC、TiC、TaC、Co、Al、TiAl、HfTi、TiSi、TaSi或TiAlC的單層,或者兩種或多種此等材料的多層。對於n通道鰭式場效電晶體而言,TaN、TaAlC、TiN、TiC、Co、TiAl、HfTi、TiSi、和TaSi的一或多者用作功函數調節層84N,並且對於p通道鰭式場效電晶體而言,TiAlC、Al、TiAl、TaN、TaAlC、TiN、TiC和Co的一或多者用作功函數調節層84P。
在沉積用於金屬閘極結構的適當材料之後,執行平坦化操作,諸如CMP(化學機械研磨)。
在形成金屬閘極結構之後,一或多個層間介電層在金屬閘極結構和層間介電層90上方形成。如在第17圖中所示,多個層間介電層共同稱為層間介電層95。第17圖示出了繪出源極/汲極結構60和源極/汲極接觸件的TEM影像的線。
在第1圖的S119處,經由使用包括微影術的圖案化操作,接觸件孔在層間介電層95中形成,以便暴露磊晶源極和汲極結構60。隨後,如在第17圖中所示,接觸件孔用導電材料填充,由此形成接觸栓塞100。接觸栓塞100可包括單層或多層的任何適宜金屬,諸如Co、W、Ti、Ta、Cu、Al、和/或Ni、和/或其氮化物。
在形成接觸栓塞之後,執行進一步的CMOS製程以形成各種特徵,諸如額外的層間介電層、接觸件/通孔、互連金屬層、和鈍化層等等。
在一些實施方式中,在第1圖的S116處,在形成磊晶源極/汲極結構60之後,在一些實施方式中矽化物層70(參見第17圖)在磊晶源極/汲極結構60上方形成。金屬材料(諸如Ni、Ti、Ta、和/或W)在磊晶源極/汲極結構60上方形成,並且執行退火操作,以形成矽化物層70。在其他的實施方式中,矽化物材料(諸如NiSi、TiSi、TaSi、和/或WSi)在磊晶源極/汲極結構60上方形成,並且可執行退火操作。退火操作在約250℃至約850℃的 溫度下執行。金屬材料或矽化物材料經由化學氣相沉積或原子層沉積形成。矽化物層70的厚度在一些實施方式中係在從約4nm至約10nm的範圍內。在退火操作之前或之後,選擇性移除在隔離絕緣層30上方形成的金屬材料或矽化物材料。
在其他的實施方式中,在打開接觸件孔之後,形成矽化物層70。在此種情形中,在形成磊晶源極/汲極結構60之後,形成金屬閘極結構、接觸蝕刻終止層、和層間介電層95,而不形成矽化物層。隨後,接觸件孔在層間介電層95中形成以暴露磊晶源極/汲極結構60的上表面,並且隨後矽化物層在磊晶源極/汲極結構60的上表面上形成。在形成矽化物層之後,導電材料在接觸件孔中形成,由此形成接觸栓塞。
第18圖示出了在形成源極/汲極磊晶層60之後如在第13B圖中所示在深度方向Z18上的元素分析。如在第18圖中所示,在第一磊晶層62(L1)中的砷可以有效抑制P從第二磊晶層64(L2)擴散到鰭片結構中。
儘管前述實施方式描述了鰭式場效電晶體,在本揭示內容中揭示的技術亦可應用於其他類型的場效電晶體,諸如使用奈米線或奈米片半導體的平面型場效電晶體和閘極全環繞(GAA)場效電晶體。
在本揭示內容的實施方式中,經由形成SiAs層作為第一磊晶層,可能抑制P從第二磊晶層擴散到通道區域中。另外,經由將合併的磊晶層的合併點設定到相對高的 位置,可能改進短通道效應。
將理解,本文無需論述所有優點,不需要針對所有實施方式或實施例的特定優點,且其他的實施方式或實施例可提供不同的優點。
根據本揭示內容的一個態樣,在製造半導體裝置的方法中,第一鰭片結構和第二鰭片結構在基板上方形成,隔離絕緣層在基板上方形成使得第一和第二鰭片結構的下部嵌入隔離絕緣層中,並且第一和第二鰭片結構的上部從隔離絕緣層暴露出,閘極結構在第一和第二鰭片結構的通道區域上方形成,凹陷化第一和第二鰭片結構的源極/汲極區域,並且磊晶源極/汲極結構在凹陷化的第一和第二鰭片結構上方形成。磊晶源極/汲極結構係具有合併點的合併結構,並且合併點的底部距隔離絕緣層的上表面的高度係第一和第二鰭片結構的通道區域距隔離絕緣層的上表面的高度的50%或更多。在以上和以下的實施方式的一或多者中,合併點的底部距隔離絕緣層的上表面的高度係第一和第二鰭片結構的通道區域距隔離絕緣層的上表面的高度的75%或更多。在以上和以下的實施方式的一或多者中,磊晶源極/汲極結構包括第一、第二、第三和第四磊晶層,其以此次序在凹陷化的第一和第二鰭片結構上方形成。在以上和以下的實施方式的一或多者中,在凹陷化的第一鰭片結構上方的第一磊晶層和在凹陷化的第二鰭片結構上方的第一磊晶層不合併,並且第二磊晶層產生合併點。在以上和以下的實施方式的一或多者中,第一磊晶層包括As並且第二 磊晶層包括P。在以上和以下的實施方式的一或多者中,第一磊晶層包括SiAs並且第二磊晶層包括SiP。在以上和以下的實施方式的一或多者中,第三磊晶層包括用Ge摻雜的SiP,並且第四磊晶層包括SiP。在以上和以下的實施方式的一或多者中,第二磊晶層的P濃度在第一至第四磊晶層中最高。在以上和以下的實施方式的一或多者中,第三磊晶層與第一磊晶層接觸。
根據本揭示內容的另一態樣,在製造半導體裝置的方法中,第一鰭片結構和第二鰭片結構在基板上方形成,隔離絕緣層在基板上方形成使得第一和第二鰭片結構的下部嵌入隔離絕緣層中,並且第一和第二鰭片結構的上部從隔離絕緣層暴露出,鰭片側壁在第一和第二鰭片結構的源極/汲極區域的相對側面上形成,凹陷化第一和第二鰭片結構的源極/汲極區域,第一磊晶層分別地在凹陷化的第一和第二鰭片結構上方形成,具有與第一磊晶層不同的組成的第二磊晶層在第一磊晶層上方形成,以分別地形成具有合併點的合併的第二磊晶層。合併點的底部距隔離絕緣層的上表面的高度係第一和第二鰭片結構的通道區域距隔離絕緣層的上表面的高度的50%或更多。在以上和以下的實施方式的一或多者中,形成第二磊晶層包含交替地執行的一或多個沉積階段和一或多個蝕刻階段。在以上和以下的實施方式的一或多者中,形成第二磊晶層包含在不形成合併的第二磊晶層的第一磊晶層上方形成下層,以及在形成第二磊晶層的下層上方形成上層,並且形成上層不包括蝕刻 階段。在以上和以下的實施方式的一或多者中,上層在下層的蝕刻階段之後形成。在以上和以下的實施方式的一或多者中,第一磊晶層包括SiAs,其中As濃度在從1×1020原子/cm3至2×1021原子/cm3的範圍內。在以上和以下的實施方式的一或多者中,第二磊晶層包括SiP,其中P濃度在從5×1020原子/cm3至5×1021原子/cm3的範圍內。在以上和以下的實施方式的一或多者中,形成第一磊晶層不超過鰭片側壁的頂部。在以上和以下的實施方式的一或多者中,鰭片側壁包括多個層。
根據本揭示內容的另一態樣,在製造半導體裝置的方法中,第一鰭片結構和第二鰭片結構在基板上方形成,隔離絕緣層在基板上方形成使得第一和第二鰭片結構的下部嵌入隔離絕緣層中,並且第一和第二鰭片結構的上部從隔離絕緣層暴露出,鰭片側壁在第一和第二鰭片結構的源極/汲極區域的相對側面上形成,凹陷化第一和第二鰭片結構的源極/汲極區域,第一磊晶層在第一溫度下分別地在凹陷化的第一和第二鰭片結構上方形成,蝕刻操作在第二溫度下在第一磊晶層上執行,具有與第一磊晶層不同的組成的第二磊晶層在第三溫度下分別在第一磊晶層上方形成,具有與第二磊晶層不同的組成的第三磊晶層在第四溫度下在第二磊晶層上方形成,蝕刻操作在第五溫度下在第三磊晶層上執行,並且具有與第三磊晶層不同的組成的第四磊晶層在第六溫度下在第三磊晶層上方形成。在以上和以下的實施方式的一或多者中,第二磊晶層形成具有合併點的 合併的第二磊晶層,並且合併點的底部距隔離絕緣層的上表面的高度係第一和第二鰭片結構的通道區域距隔離絕緣層的上表面的高度的50%或更多。在以上和以下的實施方式的一或多者中,形成第二磊晶層包含交替地執行的一或多個沉積階段和一或多個蝕刻階段。在以上和以下的實施方式的一或多者中,形成第二磊晶層包含在不形成合併的第二磊晶層的第一磊晶層上方形成下層,以及在形成第二磊晶層的下層上方形成上層,並且形成上層不包括蝕刻階段。在以上和以下的實施方式的一或多者中,其中第二溫度高於第一溫度。在以上和以下的實施方式的一或多者中,第三溫度低於第二溫度。在以上和以下的實施方式的一或多者中,第四溫度高於第三溫度。在以上和以下的實施方式的一或多者中,第五溫度高於第四溫度。
根據本揭示內容的另一態樣,一種半導體裝置包括:隔離絕緣層,在基板上方設置;第一鰭片結構和第二鰭片結構,在基板上方設置;閘極結構,在第一和第二鰭片結構的通道區域上方設置;以及源極/汲極磊晶層,在第一和第二鰭片結構的源極/汲極區域上方。源極/汲極磊晶層具有合併結構,此合併結構具有合併點,並且合併點的底部距隔離絕緣層的上表面的高度係第一和第二鰭片結構的通道區域距隔離絕緣層的上表面的高度的65%或更多。在以上和以下的實施方式的一或多者中,合併點的垂直厚度在源極/汲極磊晶層距隔離絕緣層的上表面的高度的10%至30%的範圍內。在以上和以下的實施方式的一或多者中, 源極/汲極磊晶層包括第一、第二、第三和第四磊晶層,其以此次序在凹陷化的第一和第二鰭片結構上方形成。在以上和以下的實施方式的一或多者中,第一磊晶層包括SiAs,並且第二磊晶層包括SiP。
上文概述了若干實施方式或實施例的特徵,使得熟習此項技術者可更好地理解本揭示內容的態樣。熟習此項技術者應瞭解,可輕易使用本揭示內容作為設計或修改其他的製程和結構的基礎,以便實施本文所介紹的實施方式或實施例的相同目的和/或實現相同優點。熟習此項技術者亦應認識到,這樣的均等結構並未脫離本揭示內容的精神和範疇,且他們可產生本文的各種變化、替代和更改而不脫離本揭示內容的精神和範疇。
20:鰭片結構
20T:鰭片結構頂部
30:隔離絕緣層
62:第一磊晶層
64:第二磊晶層
64-1:下層(下部磊晶層)
64-2:上層
65:間隙
H1:高度
H2:高度
H3:高度

Claims (10)

  1. 一種製造一半導體裝置的方法,該方法包含:在一基板上方形成一第一鰭片結構和一第二鰭片結構;在該基板上方形成一隔離絕緣層,使得所述第一和第二鰭片結構的多個下部嵌入該隔離絕緣層中,並且所述第一和第二鰭片結構的多個上部從該隔離絕緣層暴露出;在所述第一和第二鰭片結構的多個通道區域上方形成一閘極結構;凹陷化所述第一和第二鰭片結構的多個源極/汲極區域;以及在凹陷化的所述第一和第二鰭片結構上方形成一磊晶源極/汲極結構,其中該磊晶源極/汲極結構係具有一合併點的一合併結構,該磊晶源極/汲極結構包括第一、第二、第三、和第四磊晶層,其以此次序形成在凹陷化的所述第一和第二鰭片結構上方,其中該第二磊晶層的P濃度在所述第一至第四磊晶層中為最高,在該第二磊晶層產生該合併點,並且該合併點的一底部距該隔離絕緣層的一上表面的一高度係所述第一和第二鰭片結構的該些通道區域距該隔離絕緣層的該上表面的一高度的50%或更大。
  2. 如請求項1所述之方法,其中該合併點的該底部距該隔離絕緣層的一上表面的該高度係所述第一和第 二鰭片結構的該些通道區域距該隔離絕緣層的該上表面的該高度的75%或更大。
  3. 如請求項1所述之方法,其中該第二磊晶層包含:一下層;以及一上層,在該下層上方,其中在該上層產生該合併點。
  4. 一種製造一半導體裝置的方法,該方法包含:在一基板上方形成一第一鰭片結構和一第二鰭片結構;在該基板上方形成一隔離絕緣層,使得所述第一和第二鰭片結構的多個下部嵌入該隔離絕緣層中,並且所述第一和第二鰭片結構的多個上部從該隔離絕緣層暴露出;在所述第一和第二鰭片結構的源極/汲極區域的多個相對側面上形成多個鰭片側壁;凹陷化所述第一和第二鰭片結構的源極/汲極區域;在一第一溫度下分別地在凹陷化的所述第一和第二鰭片結構上方形成一第一磊晶層;在一第二溫度下在該第一磊晶層上執行一蝕刻操作;在一第三溫度下分別地在該第一磊晶層上方形成具有與該第一磊晶層不同的一組成的一第二磊晶層;在一第四溫度下在該第二磊晶層上方形成具有與該第二磊晶層不同的一組成的一第三磊晶層; 在一第五溫度下在該第三磊晶層上執行一蝕刻操作;以及在一第六溫度下在該第三磊晶層上方形成具有與該第三磊晶層不同的一組成的一第四磊晶層。
  5. 如請求項4所述之方法,其中:該第二磊晶層形成具有一合併點的一合併的第二磊晶層,並且該合併點的一底部距該隔離絕緣層的一上表面的一高度係所述第一和第二鰭片結構的該些通道區域距該隔離絕緣層的該上表面的一高度的50%或更多。
  6. 如請求項5所述之方法,其中:所述形成該第二磊晶層包含在不形成該合併的第二磊晶層的該第一磊晶層上方形成一下層,和在形成該第二磊晶層的該下層上方形成一上層,並且所述形成該上層不包括蝕刻階段。
  7. 如請求項4所述之方法,其中所述形成該第二磊晶層包含交替地執行的一或多個沉積階段和一或多個蝕刻階段。
  8. 一種半導體裝置,包含:一隔離絕緣層,在一基板上方設置; 一第一鰭片結構和一第二鰭片結構,在該基板上方設置;一閘極結構,在所述第一和第二鰭片結構的多個通道區域上方設置;以及一源極/汲極磊晶層,在所述第一和第二鰭片結構的源極/汲極區域上方設置,其中:該源極/汲極磊晶層具有一合併結構,該合併結構具有一合併點,該源極/汲極磊晶層包括在凹陷化的所述第一和第二鰭片結構上方的第一、第二、第三、和第四磊晶層,該第二磊晶層的P濃度在所述第一至第四磊晶層中為最高,該第二磊晶層產生該合併點,並且該合併點的一底部距該隔離絕緣層的一上表面的一高度係所述第一和第二鰭片結構的該些通道區域距該隔離絕緣層的該上表面的一高度的65%或更多。
  9. 如請求項8所述之半導體裝置,其中該合併點的一垂直厚度係在該源極/汲極磊晶層距該隔離絕緣層的一上表面的一高度的10%至30%的一範圍內。
  10. 如請求項8所述之半導體裝置,其中該第一磊晶層包括SiAs,並且該第二磊晶層包括SiP。
TW110107604A 2020-06-29 2021-03-03 製造半導體裝置的方法和半導體裝置 TWI785518B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063045421P 2020-06-29 2020-06-29
US63/045,421 2020-06-29
US17/033,479 US11489075B2 (en) 2020-06-29 2020-09-25 Method of manufacturing a semiconductor device and a semiconductor device
US17/033,479 2020-09-25

Publications (2)

Publication Number Publication Date
TW202201790A TW202201790A (zh) 2022-01-01
TWI785518B true TWI785518B (zh) 2022-12-01

Family

ID=78124278

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110107604A TWI785518B (zh) 2020-06-29 2021-03-03 製造半導體裝置的方法和半導體裝置

Country Status (4)

Country Link
US (3) US11489075B2 (zh)
KR (1) KR102473587B1 (zh)
CN (1) CN113539960A (zh)
TW (1) TWI785518B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220049088A (ko) * 2020-10-13 2022-04-21 삼성전자주식회사 반도체 장치
US11742354B2 (en) * 2021-09-23 2023-08-29 International Business Machines Corporation Top epitaxial layer and contact for VTFET

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202013455A (zh) * 2018-09-28 2020-04-01 台灣積體電路製造股份有限公司 半導體裝置的形成方法

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9112033B2 (en) * 2013-12-30 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure of semiconductor device
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
KR20160125208A (ko) 2015-04-21 2016-10-31 삼성전자주식회사 핀 액티브 영역들을 갖는 반도체 소자 및 그 제조 방법
US9418897B1 (en) 2015-06-15 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap around silicide for FinFETs
US9666581B2 (en) * 2015-08-21 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with source/drain structure and method of fabrication thereof
US9831116B2 (en) * 2015-09-15 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. FETS and methods of forming FETs
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
KR102480447B1 (ko) 2015-11-20 2022-12-22 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9865504B2 (en) 2016-03-04 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US10163898B2 (en) 2016-04-25 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US9812363B1 (en) 2016-11-29 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US10453943B2 (en) * 2016-11-29 2019-10-22 Taiwan Semiconductor Manufacturing Company, Ltd. FETS and methods of forming FETS
US9953875B1 (en) * 2016-11-30 2018-04-24 Taiwan Semiconductor Manufacturing Company Contact resistance control in epitaxial structures of finFET
US10134902B2 (en) 2016-12-15 2018-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. PMOS FinFET
US10483266B2 (en) 2017-04-20 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Flexible merge scheme for source/drain epitaxy regions
US10510875B2 (en) 2017-07-31 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain structure with reduced contact resistance and enhanced mobility
US10269932B1 (en) * 2018-01-18 2019-04-23 Globalfoundries Inc. Asymmetric formation of epi semiconductor material in source/drain regions of FinFET devices
KR102472070B1 (ko) * 2018-06-12 2022-11-30 삼성전자주식회사 반도체 소자
US11410890B2 (en) * 2018-06-28 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial layers in source/drain contacts and methods of forming the same
US11222951B2 (en) 2018-08-31 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source/drain structure and method
KR102279471B1 (ko) 2018-08-31 2021-07-22 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 에피택셜 소스/드레인 구조물 및 방법
US10720530B2 (en) * 2018-09-27 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of forming same
US10991630B2 (en) 2018-09-27 2021-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11069579B2 (en) 2018-10-19 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10950730B2 (en) 2018-10-31 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Merged source/drain features
US11195951B2 (en) * 2018-11-27 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with self-aligned wavy contact profile and method of forming the same
US11257928B2 (en) 2018-11-27 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial growth and device
US11239363B2 (en) * 2019-01-08 2022-02-01 Samsung Electronics Co., Ltd. Semiconductor devices

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202013455A (zh) * 2018-09-28 2020-04-01 台灣積體電路製造股份有限公司 半導體裝置的形成方法

Also Published As

Publication number Publication date
US20220384653A1 (en) 2022-12-01
KR102473587B1 (ko) 2022-12-01
US11817500B2 (en) 2023-11-14
US20210408286A1 (en) 2021-12-30
TW202201790A (zh) 2022-01-01
KR20220001421A (ko) 2022-01-05
US20230378357A1 (en) 2023-11-23
CN113539960A (zh) 2021-10-22
US11489075B2 (en) 2022-11-01

Similar Documents

Publication Publication Date Title
CN107154384B (zh) 半导体器件及其制造方法
US11101143B2 (en) Semiconductor device and manufacturing method thereof
US20210035806A1 (en) Semiconductor device having a uniform and thin silicide layer on an epitaxial source/ drain structure and manufacturing method thereof
TWI638459B (zh) 半導體裝置及其製造方法
US10158007B2 (en) Semiconductor device and manufacturing method thereof
US11121217B2 (en) Semiconductor device and manufacturing method thereof
US10068774B2 (en) Semiconductor device and manufacturing method thereof
US10872892B2 (en) Semiconductor device and manufacturing method thereof
US11817500B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
US20210351041A1 (en) Method of manufacturing semiconductor devices and semiconductor devices
TWI760082B (zh) 製造半導體裝置之方法及其裝置