TW202010862A - 用於進行高壓及低壓製程且具有減少交叉汙染的特徵之單一製程容積 - Google Patents

用於進行高壓及低壓製程且具有減少交叉汙染的特徵之單一製程容積 Download PDF

Info

Publication number
TW202010862A
TW202010862A TW108115997A TW108115997A TW202010862A TW 202010862 A TW202010862 A TW 202010862A TW 108115997 A TW108115997 A TW 108115997A TW 108115997 A TW108115997 A TW 108115997A TW 202010862 A TW202010862 A TW 202010862A
Authority
TW
Taiwan
Prior art keywords
pressure
processing
base
low
processing tool
Prior art date
Application number
TW108115997A
Other languages
English (en)
Other versions
TWI816789B (zh
Inventor
勁文 陳
郭洋
艾希思 戈埃爾
安納薩 沙布藍尼
菲利浦亞倫 克勞司
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202010862A publication Critical patent/TW202010862A/zh
Application granted granted Critical
Publication of TWI816789B publication Critical patent/TWI816789B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4402Reduction of impurities in the source gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/04Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material
    • C23C28/042Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings of inorganic non-metallic material including a refractory ceramic layer, e.g. refractory metal oxides, ZrO2, rare earth oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20221Translation
    • H01J2237/20235Z movement or adjustment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Perforating, Stamping-Out Or Severing By Means Other Than Cutting (AREA)

Abstract

本案實施例包括在低處理壓力及高處理壓力下處理基板的處理工具。在實施例中,處理工具包括腔室主體及腔室主體中之基座。在實施例中,基座為可移動的,及基座具有第一表面及與第一表面相對的第二表面。在實施例中,處理工具進一步包括將氣體供應至腔室主體之第一氣體埠及位於基座之第一表面上方之第一排氣裝置。在實施例中,實施例進一步包括將氣體供應至腔室主體之第二氣體埠及位於基座之第二表面下方之第二排氣裝置。

Description

用於進行高壓及低壓製程且具有減少交叉汙染的特徵之單一製程容積
本申請主張在2018年5月21日提交之美國臨時專利申請第62/674,318號的優先權權益,其揭示內容以引用之方式整個併入本文。
本案實施例係關於半導體處理設備之領域,且在特定實施例中,係關於實施低壓及高壓處理操作兩者的處理工具。
當前可用處理工具經設計以適應單一處理壓力範圍。需要在不同處理腔室中實施高壓處理操作(例如,化學氣相沉積(chemical vapor deposition; CVD)、電漿增強CVD(plasma enhanced CVD; PECVD)、金屬有機CVD(metal – organic CVD; MOCVD)、熱CVD、原子層沉積(atomic layer deposition; ALD)、或電漿增強ALD(plasma enhanced ALD; PEALD))及低壓處理操作(例如,物理氣相沉積(physical vapor deposition; PVD)),以免交叉汙染之風險。
因此,在形成每層之後,具有不同材料層之多層膜之基板需要在處理腔室之間往復移動。不同處理腔室之間的移動費時且降低產量。另外,兩個處理腔室在製造設施中需要專用於製程之更多佔用面積。
本案實施例包括在低處理壓力及高處理壓力下處理基板的處理工具。在實施例中,處理工具包括腔室主體及腔室主體中之基座。在實施例中,基座為可移動的,及基座具有第一表面及與第一表面相對的第二表面。在實施例中,處理工具進一步包括將氣體供應至腔室主體之第一氣體埠及位於基座之第一表面上方之第一排氣裝置。實施例進一步包括將氣體供應至腔室主體中之第二氣體埠及位於基座之第二表面下方之第二排氣裝置。
實施例亦可包括用於處理基板之方法。在實施例中,方法可包括以下步驟:將基板置於基座上,將基座移動至處理腔室之高壓子容積,以及利用高壓處理操作處理基板以在基板上形成第一膜。在實施例中,方法可進一步包括以下步驟:將基座移動至處理腔室之低壓子容積,以及利用低壓處理操作處理基板以在基板上形成第二膜。
實施例亦可包括處理工具,處理工具包括腔室主體、腔室主體中之高壓子容積、腔室主體之低壓子容積、以及用於在腔室主體中支撐基板之可移動基座。
上述發明內容不包括所有實施例之窮盡列表。預期包括所有可以從以上概述的各種實施例的所有合適組合實施的系統及方法,以及在下面的具體實施方式中揭示之彼等以及在與本申請一起提交的申請專利範圍中特別指出的彼等系統及方法。此種組合具有並未在上述發明內容中具體敘述之特定益處。
根據本文所述實施例之裝置包括用於實施高壓及低壓處理操作及處理工具,及使用此種處理工具之方法。在以下描述中,闡述了眾多細節以提供實施例之徹底理解。對於熟習本領域之技術人員顯而易見的是,實施例可在沒有此等細節之情況下實踐。在其他情況下,並未詳細描述熟知態樣,以免不必要地模糊實施例。此外,將理解,隨附圖式中示出之各種實施例為說明性表示且不必按比例繪製。
如上所述,當前單一處理容積不能適應高壓製程及低壓製程兩者。因此,本文所述實施例包括允許在單一腔室中實施高壓處理操作及低壓處理操作兩者的處理工具。在實施例中,處理工具包括腔室主體,腔室主體包括高壓子容積及低壓子容積。可移動基座可在兩個子容積之間移動基板以實施所需高壓或低壓製程。此外,實施例亦可包括在兩個子容積之間限制交叉汙染之交叉汙染降低特徵。
實施例包括在不同壓力下操作之使用具有兩個子容積之處理工具的處理方法。因此,具有交替層之多層膜可形成於單一腔室中。在特定實施例中,第一層可利用高壓製程形成及第二層可利用低壓製程形成。可沉積附加第一層及第二層以形成材料堆疊,材料堆疊包括利用高壓製程形成的複數個層,其與利用低壓製程形成的複數個層呈交替圖案。此種多層堆疊對若干不同種類裝置有用。例如,導電材料及絕緣體之交替層可用於製造三維記憶體裝置(例如,3D NAND裝置)。另外,光學裝置(例如,鏡、共振器等等)可藉由使用高折射率材料與低折射率材料之交替層來製造。關於光學裝置,諸如本文所述彼等之處理腔室允許低表面粗糙度及藉由使用雙頻製程調諧膜內之應力的能力。因此,光學裝置之品質相對於現有結構得到改善。
此種實施例比上述當前技術狀態更有益,因為它們改善形成此種多層膜之產量。相對於需要在腔室之間移動基板,由於單一腔室用於形成多層膜而增加產量。另外,單一腔室之使用節省了設施中之寶貴佔用面積。此外,單一腔室允許在沒有真空破壞之情況下就地預處理及/或後處理。
現參考第1A圖及第1B圖,根據實施例圖示具有實施高壓及低壓處理操作之能力的處理工具100之一對剖面圖。在實施例中,處理工具100包括腔室主體105。腔室主體105可為能夠實施高壓及低壓處理操作之任何適當腔室主體。如本文使用,「低壓」可指近似1托或以下,以及「高壓」可為1托或以上。在實施例中,「低壓」可指近似0.005托或更低,以及「高壓」可指5托或更高。在實施例中,高壓處理操作可包括諸如但不限於化學氣相沉積(chemical vapor deposition; CVD)、電漿增強CVD (plasma enhanced CVD; PECVD)、金屬有機CVD (metal-organic CVD; MOCVD)、熱CVD、或原子層沉積(atomic layer deposition; ALD)之製程。在實施例中,低壓可包括諸如但不限於物理氣相沉積(physical vapor deposition; PVD)之製程。
在實施例中,處理工具100可包含可移動的基座116。在實施例中,基座116可支撐正在處理工具100中處理之一或多個基板118。在實施例中,基座116可在高壓子容積140(第1A圖)與低壓子容積142(第1B圖)之間可移動。例如,基座116可藉由機械支撐件115移動。在第1A圖及第1B圖圖示之實施例中,機械支撐件115示為從下支撐基座116,但亦可使用支撐及移動基座116之其他配置。在實施例中,在實質上垂直方向上移動基座116。然而,應理解,基座116亦可在非垂直方向上移動。例如,如下文將關於第3圖更詳細地描述,基座116可在實質上橫向方向上移動。
在實施例中,第一氣體埠120及第一排氣裝置130可在高壓處理操作期間使用。第一氣體埠120可將第一處理氣體供應至高壓子容積140中,以及第一排氣裝置120可用於在高壓處理操作期間去除副產物並維持所需壓力。儘管示出單一第一氣體埠120,但應理解可使用任何數目之第一氣體埠120。在實施例中,第一處理氣體可藉由氣體分佈板或類似物流入高壓子容積140中。為避免高壓子容積140與基座116下方之低壓子容積之部分之間的交叉汙染,基座116之表面可與腔室主體105之內表面間隔縫隙G。在實施例中,可最小化縫隙G,以降低高壓子容積與低壓子容積之間的交叉汙染。在實施例中,在高壓子容積140中實施高壓處理操作期間,在高壓子容積140與基座116下方之低壓子容積142之部分之間可能不存在真空密封件。因此,減小縫隙G之剖面會最小化交叉汙染。在實施例中,縫隙G可小於15 mm、小於10 mm、小於5 mm、或小於1 mm。
在實施例中,交叉汙染可藉由將相對氣體123供應至縫隙G而進一步降低,如箭頭指示。在實施例中,可藉由位於基座116下方之第二氣體埠122而供應相對氣體123。因為在高壓處理操作期間關閉第二排氣裝置132(用於低壓處理操作),相對氣體123穿過縫隙G向上流動且減少交叉汙染。已發現,使用相對氣體123可導致在高壓製程期間在基座下方產生寄生電漿。因此,實施例可包括最小化寄生電漿之形成的相對氣體123或氣體混合物123。例如,相對氣體123可以包含氮氣及氦氣之一者或兩者。
現參考第1B圖,基座116已經移動,以便基板118可利用低壓處理操作處理。在實施例中,在低壓處理子容積142中可實施低壓處理操作。在一些實施例中,諸如第1B圖中圖示之實施例,低壓處理容積142亦可包括高壓處理容積140之部分。因此,在低壓處理操作期間可暴露高壓處理容積140之一些部分。為最小化來自高壓處理操作之副產物的釋氣,可加熱腔室主體105之側壁。例如,在50℃與400℃之間的一溫度下,可維持腔室主體105之側壁。在一些實施例中,閘板(未圖示)亦可用於將低壓子容積142與高壓子容積140分隔,以減少交叉汙染。
在實施例中,在低壓處理操作期間,可關閉第一氣體埠120及第一排氣裝置130,且可打開第二排氣裝置142及第二氣體埠122。儘管示出單一第二氣體埠122,但應理解可使用任何數目之第二氣體埠122。在實施例中,第二處理氣體可藉由氣體分佈板或類似物流入低壓子容積142中。
應理解,簡化第1A圖及第1B圖中圖示之處理工具100,以免不模糊本文所述的實施例。在實施例中,處理工具100可進一步包括用於高壓及低壓處理操作之處理工具的典型部件。例如,處理工具100可進一步包括加熱及/或冷卻基座116中之元件以控制基板之溫度。在實施例中,基座116可為保持基板118之靜電卡盤。在實施例中,處理工具100可包括電漿源,諸如電容耦合電漿(capacitively coupled plasma; CCP)源、感應耦合電漿(inductively coupled plasma; ICP)源、遠端電漿源(remote plasma source; RPS)、微波電漿源等等。在實施例中,處理工具100可進一步包括靶,諸如與低壓製程(諸如濺射或類似製程)一起使用之金屬靶。儘管本文明確揭示了處理工具100之若干附加部件,但是應理解實施例可包括任何數目之附加處理工具部件。
現參考第2圖,根據實施例,圖示用於低壓及高壓處理操作之處理工具200的剖面圖。在實施例中,處理工具200可實質上類似於上述處理工具100,不同之處在於處理工具進一步包括離軸腔室部分206。在實施例中,離軸腔室部分206可流體耦接至處理工具200之低壓子容積242。
在實施例中,當基座216L 處於低壓位置時,可利用離軸腔室部分206。當基座216H 處於適當位置進行高壓處理操作(以虛線圖示)時,基座216可在離軸腔室部分206與低壓子容積242之間的界面上方。因此,高壓處理操作可在不包括離軸腔室部分206之高壓子容積240中實施。在實施例中,離軸腔室部分206可包括實施低壓製程之部件。例如,離軸腔室部分206可包括靶213(例如,用於濺射製程或類似製程)。
現參考第3圖,根據實施例,圖示用於實施高壓及低壓處理操作兩者之處理工具300的剖面圖。在實施例中,處理工具300可被認為具有實質上橫向佈局。在特定實施例中,腔室主體305可分成實質上彼此不同的低壓子容積342及高壓子容積340。在實施例中,基座316可經由埠325在兩個子容積340/342之間橫向移動基板318。
類似於使用具有最小剖面之縫隙G,埠325可具有最小剖面,以免高壓處理容積340與低壓處理容積342之間的交叉汙染。在實施例中,當處理工具300實施高壓處理操作時,亦可將相對氣體323施加至埠325,如第3圖圖示。在實施例中,閘板(未圖示)亦可用於關閉埠325以進一步減少交叉汙染。
現參考第4A圖,根據實施例,圖示包括交叉汙染降低特徵407之處理工具400的剖面。在實施例中,交叉汙染降低特徵407可包括與基座416連接之圓周密封件。在此種實施例中,密封件407可接觸基座416以實質上密封縫隙G。在實施例中,亦可施加相對氣體423,以進一步減輕由於密封件不完整而引起之交叉汙染。
現參考第4B圖,根據實施例,圖示第4A圖中區域450之放大圖。在實施例中,密封件407可藉由襯墊材料408與基座416分隔,以改善密封。在實施例中,襯墊材料408可為彈性體材料,如本領域已知的。現參考第4C圖,根據附加實施例,圖示第4A圖中區域450之放大圖。在第4C圖中,密封件407不與基座416直接接觸。
現參考第5圖,根據實施例,圖示處理基板之方法590的製程流程圖。關於方法590描述之處理操作與第1A圖及第1B圖中描述之處理工具100結合描述。然而,應理解,實質上類似製程可與根據本文所述的實施例描述之任何處理工具結合實施。
在實施例中,在實施方法590之前,可實施一或多個製程準備操作。例如,當基座116位於處理工具100之低壓子容積142中時,將基板118置於基座116上。此後,當關閉高壓排氣裝置130時,可利用低壓排氣裝置132將處理工具100抽空。例如,低壓排氣裝置132可將處理工具100抽空至低於用於低壓處理操作之壓力的壓力。例如,可將處理工具100抽空至近似1E-5托或更低。在實施例中,可淨化處理工具100。例如,可使用1E-3托與1E-5托之間的惰性淨化氣體的一或多個循環來淨化處理工具100,如本領域已知的。在淨化之後,實施例可包括關閉低壓排氣裝置132且開啟高壓排氣裝置130。在使用相對氣體123之實施例中,相對氣體123可經由第二氣體埠122流入腔室中。在實施例中,相對氣體123可為惰性氣體。在特定實施例中,相對氣體123可從限制寄生電漿生成之氣體群組中選出。例如,相對氣體123可以包含氮氣及氦氣之一者或兩者。
現參考操作591,方法590可包括將具有基板118之基座116置於處理工具100之高壓子容積140中的步驟。例如,可將基座116置於類似於第1A圖中圖示之基座116之位置處。在存在交叉汙染降低特徵(例如,類似於第4A圖中圖示之密封件的密封件407)之實施例中,操作591可包括使基座116接觸(或緊鄰於)交叉汙染降低特徵之步驟。
現參考操作592,方法590可包括在高壓處理容積140中處理基板118之步驟。在實施例中,操作592可包括開啟第一氣體埠120以允許第一處理氣體流入高壓處理容積140之步驟。在使用電漿之實施例中,操作592亦可包括激發電漿之步驟。在實施例中,高壓處理操作可包括在基板118之表面上沉積第一膜。在將第一膜形成至所需厚度之後,可關閉第一氣體埠420。
現參考操作593,方法590可包括將基座移動至低壓子容積142。在實施例中,可將基座移動至實質上類似於第1B圖中圖示之位置的位置。在存在離軸腔室部分(例如,第2圖中圖示之離軸腔室部分206)之實施例中,將基座移動至低壓子容積142之步驟可包括將基座移動至低於通向離軸腔室部分之開口的位置。在實施例中,當將基座116正移動至低壓子容積142時,可維持相對氣體123。
現參考操作594,方法590可包括關閉高壓排氣裝置130且開啟低壓排氣裝置132。在實施例中,低壓排氣裝置132可將低壓子容積142抽吸至低於低壓操作之製程壓力的壓力及淨化處理工具100。例如,低壓排氣裝置132可將處理工具100抽空至近似1E-5或更低,及可利用在近似1E-3托與1E-5托之間的惰性氣體之一或多個循環來淨化處理工具。
現參考操作595,方法500可包括在低壓處理容積595中處理基板118之步驟。在實施例中,在低壓子容積595中處理基板118之步驟可包括經由第二氣體埠122使第二處理氣體流入低壓子容積142中。在電漿用於低壓製程之實施例中,可激發電漿。在實施例中,低壓製程可包括將第二膜沉積於第一膜上方之步驟。在實施例中,第二膜具有與第一膜不同之材料。在將第二膜沉積至所需厚度之後,可停止第二處理氣體之流動。
在實施例中,可重複方法500任何次數,以產生包括利用高壓製程及低壓製程形成之許多交替層的膜堆疊。例如,鎢(利用低壓PVD製程形成)與氧化矽(利用CVD製程形成)之交替層可形成於基板上方,而不從處理工具去除基板。儘管鎢及氧化矽提供作為示例性材料層,但是應理解可使用任何材料對,其中利用高壓製程形成第一材料及利用低壓製程形成第二材料。另外,應理解,實施例並不限於兩種材料。例如,可藉由改變處理氣體來沉積不同材料。例如,可用單一處理工具在基板上形成三種或更多種不同材料層。
現參考第6圖,根據實施例,圖示利用製程(諸如方法590)形成之示例性堆疊670的剖面圖。如圖所示,堆疊670可形成於基板671上方。在實施例中,基板671可包括晶圓(例如,矽晶圓)、玻璃基板、或任何其他適當基板671。在實施例中,堆疊670可包括第一層674及第二層675。第一層674及第二層675可以交替圖案佈置。例如,可使用諸如上述彼等之處理工具,利用低壓製程形成第一層674及利用高壓製程形成第二層。
在實施例中,堆疊670可適於形成記憶體裝置。例如,堆疊670可用於製造3D NAND裝置。在此種實施例中,第一層674可包括導電材料,及第二層可包括絕緣材料。例如,第一層674可包括鎢、鉬、或可利用低壓製程(諸如PVD)沉積之任何其他導電材料。在實施例中,第二層675可包括SiO2 或任何其他適當絕緣材料。
在實施例中,堆疊670可適於用作光學裝置。例如,交替第一層674及第二層675之堆疊670可用以形成鏡(例如,布拉格鏡)、光學共振器、或類似物。關於布拉格鏡,第一層674可包括具有高折射率之材料,及第二層675可包括具有低折射率之材料。例如,第一層674可包括金屬氧化物、金屬氮化物、或類似物,及第二層675可包括SiO2 或其他低折射率材料。在特定實施例中,第一層674可包括Ta2 O5 或TiO2
處理工具(諸如本文描述之彼等)之使用,相比於現有沉積技術,提供了改善的光學性質。特別地,使用低壓製程形成SiO2 (例如,PVD)為緩慢的且導致差的表面粗糙度。因而,若在PVD腔室中形成包括金屬氧化膜及SiO2 膜之堆疊,則結果不為最佳的。為改善PVD腔室中之表面粗糙度,可對基板施加偏壓。然而,此舉將高壓縮應變添加至層且由於鏡之彎曲而退化光學效能。相反,本文所述實施例允許利用PVD製程沉積金屬氧化物,及在單一腔室內利用高壓製程(例如,PECVD或類似製程)可沉積SiO2 。因此,由高壓製程提供之表面粗糙度提供了改善的光學效能。此外,高壓製程可實施為雙頻製程,以調諧膜內之應力。因而,可進行調整以進一步控制產生之光學性質。
現參考第7圖,根據實施例圖示處理工具之示例性電腦系統760的方塊圖。在實施例中,電腦系統760耦接至處理工具並控制處理工具中之處理。電腦系統760可在區域網路(Local Area Network; LAN)、內部網路、外部網路、或網際網路中連接(例如,聯網)至其他機器。電腦系統760在用戶端-伺服器網路環境中可以作為伺服器或用戶端操作,或者在同級間(或分佈式)網路環境中作為同級點機器操作。電腦系統760可以為個人電腦(personal Computer; PC)、平板PC、機上盒(set-top box; STB)、個人數位助理(Personal Digital Assistant; PDA)、行動電話、網路設備、伺服器或網路路由器、交換機或橋接器,或者任何能夠執行一組指令(連續的或以其他方式的)的機器,該指令指定由機器進行的動作。進一步地,儘管電腦系統760僅示出單個機器,但術語「機器」還可被認為包括單獨地或聯合地執行一組(或多組)指令以執行本文所述方法之任何一個或多個的機器(例如,電腦)的任意集合。
電腦系統760可包括電腦程式產品,或軟體722,具有其上儲存有指令之非暫時性機器可讀取媒體,其可用以程式化電腦系統760(或其他電子裝置)以執行根據實施例之製程。機器可讀取媒體包括用於以機器(電腦)可讀取之形式儲存或傳遞資訊的任何機構。舉例而言,機器可讀取(例如,電腦可讀取)媒體包括機器(例如,電腦)可讀取儲存媒體(例如,唯讀記憶體(read only memory; 「ROM」)、隨機存取記憶體(random access memory; 「RAM」)、磁碟儲存媒介、光學儲存媒介、快閃記憶體裝置等)、機器(例如,電腦)可讀取傳輸媒體(電學、光學、聽覺或其他形式之傳播訊號(例如,紅外訊號、數位訊號等))等。
在實施例中,電腦系統760包括系統處理器702、主記憶體704(例如,唯讀記憶體(read only memory; ROM))、快閃記憶體、動態隨機存取記憶體(dynamic random access memory; DRAM),諸如同步DRAM (SDRAM)或Rambus DRAM (RDRAM)、靜態記憶體706(例如,快閃記憶體、靜態隨機存取記憶體(static random access memory; SRAM)、MRAM等等)、及輔助記憶體718(例如,資料儲存裝置),上述各者經由匯流排730彼此連通。
系統處理器702表示一或多個通用處理裝置,諸如微處理器、中央處理單元等等。更特定而言,系統處理器可為複雜指令集計算(complex instruction set computing; CISC)微處理器、精簡指令集計算(reduced instruction set computing; RISC)微處理器、超長指令字(very long instruction word; VLIW)微小系統處理器、執行其他指令集之系統處理器、或執行指令集組合之系統處理器。系統處理器702亦可為一或多個專用處理裝置,諸如專用體積電路(application specific integrated Circuit; ASIC)、現場可程式化閘陣列(field programmable gate array; FPGA)、數位訊號系統處理器(digital signal system processor; DSP)、網路系統處理器等等。系統處理器702經配置以執行處理邏輯726,用於執行本文所述操作。
電腦系統760可進一步包括與其他裝置或機器通信之系統網路介面裝置708。電腦系統760亦可包括視訊顯示單元710(例如,液晶顯示器(liquid crystal display; LCD)、發光二極體顯示器(light emitting diode display; LED)、或陰極射線管(cathode ray tube; CRT))、字母數字輸入裝置712(例如,鍵盤)、游標控制裝置714(例如,滑鼠)、及訊號生成裝置716(例如,揚聲器)。
輔助記憶體718可包括機器可存取儲存媒體731(或更特定電腦可讀取儲存媒體),在其上儲存體現本文所述方法或功能之任何一個或多個的一或多個指令集(例如,軟體722)。在電腦系統760執行軟體722期間,軟體722還可完全或至少部分地駐留在主記憶體704及/或系統處理器702內,主記憶體704及系統處理器702亦構成機器可讀取儲存媒介。可進一步經由網路介面裝置708在網路720上發送或接收軟體722。
儘管在示例性實施例中示出機器可存取儲存媒體731為單個媒體,但術語「機器可讀取儲存媒體」應認為包括儲存一或多個指令集之單個媒體或多個媒體(例如,集中式或分佈式資料庫,及/或關聯快閃及伺服器)。應認為術語「機器可讀取儲存媒體」包括能夠儲存或編碼指令集的任何媒體,該指令集由機器執行且使得機器執行方法的任何一個或多個。因此,應認為術語「機器可讀取儲存媒體」包括但不限於固態記憶體及光學及磁性媒介。
在上述說明書中,已經描述特定示例性實施例。顯而易見的是,在不脫離所附申請專利範圍的情況下,可以對其進行各種修改。因此,本說明書及附圖被認為是說明性意義而不是限制性意義。
100:處理工具 105:腔室主體 115:機械支撐件 116:基座 118:基板 120:第一氣體埠 122:第二氣體埠 123:相對氣體 130:第一排氣裝置 132:第二排氣裝置 140:高壓子容積 142:低壓子容積 200:處理工具 205:腔室主體 206:離軸腔室部分 213:靶 216H:基座 216L:基座 218H:基板 218L:基板 220:第一氣體埠 222:第二氣體埠 230:第一排氣裝置 232:第二排氣裝置 242/240:低壓子容積/高壓子容積 300:處理工具 305:腔室主體 316:基座 318:基板 320:第一氣體埠 322:第二氣體埠 323:相對氣體 325:埠 330:第一排氣裝置 332:第二排氣裝置 340:高壓處理容積/高壓子容積 342:低壓處理容積/低壓子容積 400:處理工具 405:腔室主體 407:密封件/交叉汙染降低特徵 408:襯墊材料 416:基座 418:基板 420:第一氣體埠 422:第二氣體埠 430:第一排氣裝置 432:第二排氣裝置 440:高壓處理容積/高壓子容積 450:區域 590:方法 591:操作 592:操作 593:操作 594:操作 595:操作 670:堆疊 671:基板 674:第一層 675:第二層 702:系統處理器 704:主記憶體 706:靜態記憶體 708:系統網路介面裝置 710:視訊顯示單元 712:字母數字輸入裝置 714:游標控制裝置 716:訊號生成裝置 718:輔助記憶體 720:網路 722:軟體 726:處理邏輯 730:匯流排 732:機器可存取儲存媒體 760:電腦系統 G:縫隙
第1A圖為根據實施例用於實施高壓及低壓製程之處理工具的剖面圖,其中該工具經設置以進行高壓處理操作。
第1B圖為根據實施例用於實施高壓及低壓製程之處理工具的剖面圖,其中該工具經設置以進行低壓處理操作。
第2圖為根據實施例用於實施高壓及低壓製程之處理工具的剖面圖,其中該低壓製程包括離軸腔室部分。
第3圖為根據實施例用於實施高壓及低壓製程之處理工具的剖面圖,其中該工具具有橫向配置。
第4A圖為根據實施例用於實施高壓及低壓製程之處理工具的剖面圖,其中該工具具有汙染降低部件。
第4B圖為根據實施例更清楚圖解汙染降低部件之剖面圖。
第4C圖為根據附加實施例的汙染降低部件之剖面圖。
第5圖為根據實施例以單一處理工具實施高壓處理操作及低壓處理操作之製程的流程圖。
第6圖為根據實施例使用用於實施高壓及低壓製程之處理工具製造的包括交替之第一層及第二層的多層堆疊的剖面圖。
第7圖為根據實施例圖示可與用於實施高壓及低壓處理操作之處理工具結合使用的示例性電腦系統的方塊圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
100:處理工具
105:腔室主體
115:機械支撐件
116:基座
118:基板
120:第一氣體埠
122:第二氣體埠
123:相對氣體
130:第一排氣裝置
132:第二排氣裝置
140:高壓子容積

Claims (20)

  1. 一種處理工具,包括: 一腔室主體; 一基座,在該腔室主體中,其中該基座為可移動的,且其中該基座具有一第一表面及與該第一表面相對之一第二表面; 一第一氣體埠,用於將氣體供應至該腔室主體; 一第一排氣裝置,位於該基座之該第一表面上方; 一第二氣體埠,用於將氣體供應至該腔室主體;以及 一第二排氣裝置,位於該基座之該第二表面下方。
  2. 如請求項1所述之處理工具,其中該基座之一邊緣與該腔室主體之表面分隔。
  3. 如請求項1所述之處理工具,進一步包括與該基座連接之一交叉汙染特徵。
  4. 如請求項3所述之處理工具,其中該基座直接接觸該交叉汙染特徵。
  5. 如請求項3所述之處理工具,其中該基座藉由一彈性體密封件與該交叉汙染特徵分隔。
  6. 如請求項1所述之處理工具,進一步包括: 一離軸腔室部分,其中該離軸腔室部分包括一濺射靶。
  7. 如請求項1所述之處理工具,其中該第一氣體埠將第一處理氣體供應至該腔室主體中以用於一高壓處理操作。
  8. 如請求項7所述之處理工具,其中該高壓處理操作包括大於1托之一處理壓力。
  9. 如請求項1所述之處理工具,其中該第二氣體埠將第二處理氣體供應至該腔室主體中以用於一低壓處理操作。
  10. 如請求項9所述之處理工具,其中該低壓處理操作小於1托。
  11. 如請求項9所述之處理工具,其中在一高壓處理操作期間該第二氣體埠進一步將一惰性氣體供應至該腔室主體中,其中該惰性氣體包括Ar、He及N2 之一或多者。
  12. 一種處理一基板之方法,包括以下步驟: 將一基板置於該基座上; 將該基座移動至該處理腔室之該高壓子容積中; 利用一高壓處理操作處理該基板以在該基板上形成一第一膜; 將該基座移動至該處理腔室之該低壓子容積中;以及 利用一低壓處理操作處理該基板以在該基板上形成一第二膜。
  13. 如請求項12所述之方法,進一步包括以下步驟: 在該高壓處理操作期間將一惰性氣體供應至該基座之一背部表面。
  14. 如請求項13所述之方法,其中該惰性氣體包括氮氣、氦氣及氬氣之一或多者。
  15. 如請求項12所述之方法,其中該高壓處理操作為化學氣相沉積(CVD)、電漿增強CVD (PECVD)、金屬有機CVD (MOCVD)、熱CVD、原子層沉積(ALD)、或電漿增強ALD。
  16. 如請求項12所述之方法,其中該低壓處理操作為物理氣相沉積(PVD)。
  17. 如請求項12所述之方法,其中該高壓處理操作及該低壓處理操作交替進行以在基板上形成該第一膜與該第二膜之交替層。
  18. 一種處理工具,包括: 一腔室主體; 該腔室主體中之一高壓子容積; 該腔室主體中之一低壓子容積;以及 一可移動基座,用於在該腔室主體中支撐一基板。
  19. 如請求項18所述之處理工具,其中該低壓子容積不同於該高壓子容積。
  20. 如請求項18所述之處理工具,其中該處理工具進一步包括: 一第一排氣裝置,用於當該基座處於一第一位置以處理在該高壓子容積中實施之操作時操作;以及 一第二排氣裝置,用於當該基座處於一第二位置以處理在該低壓子容積中實施之操作時操作。
TW108115997A 2018-05-21 2019-05-09 處理工具 TWI816789B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862674318P 2018-05-21 2018-05-21
US62/674,318 2018-05-21
US16/395,015 2019-04-25
US16/395,015 US12012652B2 (en) 2018-05-21 2019-04-25 Single process volume to perform high-pressure and low-pressure processes with features to reduce cross-contamination

Publications (2)

Publication Number Publication Date
TW202010862A true TW202010862A (zh) 2020-03-16
TWI816789B TWI816789B (zh) 2023-10-01

Family

ID=68534216

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108115997A TWI816789B (zh) 2018-05-21 2019-05-09 處理工具
TW112133001A TW202400834A (zh) 2018-05-21 2019-05-09 處理工具

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW112133001A TW202400834A (zh) 2018-05-21 2019-05-09 處理工具

Country Status (3)

Country Link
US (1) US12012652B2 (zh)
TW (2) TWI816789B (zh)
WO (1) WO2019226278A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI834331B (zh) * 2021-10-11 2024-03-01 美商應用材料股份有限公司 動態處理腔室擋板

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB201717871D0 (en) * 2017-10-30 2017-12-13 Romax Tech Limited Motor
US11366059B2 (en) * 2020-06-05 2022-06-21 Applied Materials Inc. System and method to measure refractive index at specific wavelengths
US20230114104A1 (en) * 2021-10-11 2023-04-13 Applied Materials, Inc. Dynamic processing chamber baffle

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3466607B2 (ja) * 1989-09-13 2003-11-17 ソニー株式会社 スパッタリング装置
JPH0449523A (ja) * 1990-06-18 1992-02-18 Denki Kagaku Kogyo Kk 磁気記録媒体の製造法及びその装置
JPH0565642A (ja) * 1991-09-10 1993-03-19 Matsushita Electric Ind Co Ltd 反応性スパツタリング装置
US6899795B1 (en) * 2000-01-18 2005-05-31 Unaxis Balzers Aktiengesellschaft Sputter chamber as well as vacuum transport chamber and vacuum handling apparatus with such chambers
EP1303870A2 (en) 2000-07-26 2003-04-23 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
KR100425451B1 (ko) 2001-06-29 2004-03-30 삼성전자주식회사 열처리 챔버 및 이를 이용한 웨이퍼의 열처리 방법
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
JP2006176826A (ja) 2004-12-22 2006-07-06 Canon Anelva Corp 薄膜処理装置
US8097133B2 (en) * 2005-07-19 2012-01-17 Applied Materials, Inc. Evacuable magnetron chamber
KR100653720B1 (ko) * 2005-10-04 2006-12-05 삼성전자주식회사 열처리 설비 및 이의 구동방법
US20100288625A1 (en) * 2007-02-28 2010-11-18 Ulvac, Inc. Film deposition apparatus and film deposition method
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US9095038B2 (en) * 2011-10-19 2015-07-28 Advanced Micro-Fabrication Equipment, Inc. Asia ICP source design for plasma uniformity and efficiency enhancement
US9953843B2 (en) 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI834331B (zh) * 2021-10-11 2024-03-01 美商應用材料股份有限公司 動態處理腔室擋板

Also Published As

Publication number Publication date
TW202400834A (zh) 2024-01-01
US20190352774A1 (en) 2019-11-21
TWI816789B (zh) 2023-10-01
US12012652B2 (en) 2024-06-18
WO2019226278A1 (en) 2019-11-28

Similar Documents

Publication Publication Date Title
TWI816789B (zh) 處理工具
JP5209197B2 (ja) 熱およびプラズマ増強蒸着のための装置および操作方法
JP5209198B2 (ja) 熱およびプラズマ増強蒸着のための装置および操作方法
US7651568B2 (en) Plasma enhanced atomic layer deposition system
KR101991574B1 (ko) 성막 장치, 및 그것에 이용하는 가스 토출 부재
US20060213437A1 (en) Plasma enhanced atomic layer deposition system
TW202322252A (zh) 用於隔離結構的伸縮襯裡層
JP2007270352A (ja) 堆積システムのパーティクルコンタミネーションを減少するように構成された排気装置
US10559472B2 (en) Workpiece processing method
KR20050054983A (ko) 반도체 처리용의 재치대 장치, 성막 장치 및 성막 방법
JP5202839B2 (ja) 成膜装置および成膜方法
KR20150075362A (ko) 콘택트층의 형성 방법
US20180345330A1 (en) Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
US20220254635A1 (en) Workpiece processing method
KR101759769B1 (ko) Ti막의 성막 방법
TW202318535A (zh) 用於基板處理的塗佈的基板支撐組件
US20210066064A1 (en) Methods and apparatus for cleaning metal contacts
KR20230158591A (ko) 수소 라디칼 전처리를 통한 향상된 산화
KR20210097045A (ko) 에칭 방법, 기판 처리 장치, 및 기판 처리 시스템
TW202108805A (zh) 作為SiCxOy之成核層的SixNy
JP2021061348A (ja) 基板処理方法及び基板処理装置
US12009219B2 (en) Substrate processing method
US20240128061A1 (en) Apparatus design for film removal from the bevel and edge of the substrate
US20230170187A1 (en) Processing chamber, assembly and a method
WO2024076480A1 (en) Annular pumping for chamber