TW202008514A - 相變記憶體結構、記憶體器件與其形成方法 - Google Patents

相變記憶體結構、記憶體器件與其形成方法 Download PDF

Info

Publication number
TW202008514A
TW202008514A TW108105732A TW108105732A TW202008514A TW 202008514 A TW202008514 A TW 202008514A TW 108105732 A TW108105732 A TW 108105732A TW 108105732 A TW108105732 A TW 108105732A TW 202008514 A TW202008514 A TW 202008514A
Authority
TW
Taiwan
Prior art keywords
layer
electrode
phase change
getter
memory device
Prior art date
Application number
TW108105732A
Other languages
English (en)
Other versions
TWI701772B (zh
Inventor
海光 金
梁晉瑋
林杏蓮
江法伸
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202008514A publication Critical patent/TW202008514A/zh
Application granted granted Critical
Publication of TWI701772B publication Critical patent/TWI701772B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/10Phase change RAM [PCRAM, PRAM] devices
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0004Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements comprising amorphous/crystalline phase transition cells
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/003Cell access
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of switching materials, e.g. deposition of layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/063Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/841Electrodes
    • H10N70/8413Electrodes adapted for resistive heating
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/70Resistive array aspects
    • G11C2213/71Three dimensional array
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/70Resistive array aspects
    • G11C2213/79Array wherein the access device being a transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Semiconductor Memories (AREA)

Abstract

本發明的實施例提供一種相變記憶體(PCM)器件,包含具有安置在相變元件(PCE)與介電層之間的吸氣劑金屬層的相變記憶體結構。相變記憶體結構包含介電層、底部電極、通孔、相變元件以及吸氣劑金屬層。介電層安置在基底上方。底部電極上覆於介電層。通孔從介電層的底部表面延伸穿過介電層到介電層的頂部表面。相變元件上覆於底部電極。吸氣劑金屬層安置在介電層與相變元件之間。

Description

相變記憶體結構、記憶體器件與其形成方法
快閃記憶體(flash memory)是廣泛使用的非揮發性記憶體類型。然而,預期快閃記憶體會遇到縮放困難(scaling difficulties)。因此,正在研究非揮發性記憶體的替代類型。這些非揮發性記憶體的替代類型之一是相變記憶體(phase change memory;PCM)。PCM是採用相變元件的相來表示資料單位的非揮發性記憶體的類型。PCM具有快速讀取和寫入時間、非破壞性讀取以及高可縮放性。
本公開提供用於實施本公開的不同特徵的許多不同實施例或實例。下文描述元件和佈置的具體實例來簡化本公開。當然,這些只是實例且並不意欲為限制性的。舉例來說,在以下描述中,第一特徵在第二特徵上方或第二特徵上的形成可包含第一特徵與第二特徵直接接觸地形成的實施例,且還可包含可在第一特徵與第二特徵之間形成額外特徵以使得第一特徵與第二特徵可以不直接接觸的實施例。另外,本公開可以在各種實例中重複附圖標號和/或字母。此重複是出於簡化和清楚的目的,且本身並不規定所論述的各種實施例和/或配置之間的關係。
另外,為易於描述,可在本文中使用例如“在...下麵(beneath)”、“在...下方(below)”、“下部(lower)”、“在...上方(above)”、“上部(upper)”以及類似術語的空間相對術語來描述如圖中所示出的一個元件或特徵與另一元件或特徵的關係。除圖中所描繪的定向外,空間相對術語意欲涵蓋在使用或操作中的器件的不同定向。設備可以其它方式定向(旋轉90度或處於其它定向),且本文中所使用的空間相對描述詞同樣可相應地進行解譯。
相變記憶體(PCM)單元包含底部電極、頂部電極、介電層、加熱元件以及相變元件(PCE)。介電層安置在底部電極下方且PCE堆疊在底部電極與頂部電極之間。另外,加熱元件從底部電極延伸穿過介電層到底層金屬絲。PCE具有表示資料位元的可變相。在操作中,加熱元件對PCE進行加熱以使PCE在非晶相與結晶相之間改變。
在製造PCM單元的方法中,可在形成PCM單元堆疊之後利用高熱熱量(例如400攝氏度)形成層。更具體地說,可例如利用高熱熱量形成用來使PCM單元堆疊圖案化且定義PCM單元的硬掩模層。另外,在PCM單元的操作期間,由於可使用低功率使PCE結晶,所以可例如在低溫(例如,約100攝氏度到150攝氏度)下進行將PCE改變成結晶相(例如,對PCM單元進行程式設計、‘SET’或‘1’)。然而,由於可使用高功率熔化PCE,所以可例如在高溫(例如,大於約700攝氏度)下進行將PCE改變成非晶相(例如,擦除PCM單元、‘RESET’或‘0’)。高溫可例如因擴散到除PCE外的結構(例如,介電層和底部電極)的大部分熱量而加劇。
可能發生釋氣物質(釋氣物質可包含氫氣(hydrogen;H2 )和/或四氫(tetrahydrogen;H4 ))從介電層向PCE釋氣。釋氣在150攝氏度下開始且隨溫度升高而增加。釋氣物質在底部電極與PCE之間聚集。所述聚集可導致底部電極與PCE之間的介面處分層(delamination)和/或起泡(bubbling)。起泡和/或分層因PCE與底部電極之間的不良黏合而加劇,且可降低PCM單元的穩定性、耐久性以及切換時間。
在本公開的一些實施例中,為了消除在製造和操作期間PCM單元起泡和分層的問題,吸氣劑層可安置在PCE與介電層之間。吸氣劑層由會吸收和/或阻擋釋氣物質的材料構成,防止在PCM單元中發生起泡和分層問題。吸氣劑層提高PCM單元的穩定性、耐久性以及切換時間。
參考圖1A,提供包括根據一些實施例的相變記憶體(PCM)結構102(例如,儲存單元或一些其它適合的結構)的記憶體器件的橫截面視圖100a。PCM結構包含介電層106、底部電極通孔109、吸氣劑層108、第一電極110、相變元件(PCE)112以及第二電極114。吸氣劑層108上覆於底部電極通孔109和介電層106。另外,吸氣劑層108由在記憶體器件的操作和形成期間吸收和/或阻擋來自介電層106的釋氣物質的材料構成。釋氣物質可例如是或包括H2 和/或H4 ,但也可以是其它釋氣物質。
介電層106和底部電極通孔109安置在第一金屬間介電(inter-metal dielectric;IMD)層101和第一金屬絲107上方。另外,底部電極通孔109經由第一金屬絲107電性耦合到底層電元件,例如電晶體、電阻器、電容器、選擇器和/或二極體。第一電極110上覆於吸氣劑層108且通過吸氣劑層108電性耦合到底部電極通孔109。PCE 112安置在第一電極110與第二電極114之間。第一導通孔122上覆於第二電極114。第二金屬絲120電性耦合到第二電極114且上覆於第一導通孔122。第二金屬絲120電性耦合到上覆金屬絲。第二IMD層124安置在PCM結構102、第一導通孔122以及第二金屬絲120上方和所述PCM結構、所述第一導通孔以及所述第二金屬絲周圍。
在一些實施例中,在PCM結構102的操作期間,PCM結構102取決於從第二金屬絲120施加到第一金屬絲107的電壓而在狀態之間變化。PCM結構102可例如處於接通(ON)狀態(例如,經程式設計、‘SET’或‘1’),其中PCE 112處於結晶相。可例如在相對低溫(例如,在大致100攝氏度到150攝氏度範圍內)下進行將PCE 112改變成結晶相。 PCM結構102可例如處於斷開(OFF)狀態(例如,經擦除、‘RESET’或‘0’),其中PCE 112處於非晶相。可例如在相對高溫(例如,大致700攝氏度)下進行將PCE 112改變成非晶相。吸氣劑層108配置成防止(例如,阻擋和/或吸收)釋氣物質從介電層106向第一電極110和例如PCE 112的上覆層釋氣106a。在一些實施例中,釋氣物質可例如是或包括氫氣(H2 )和/或四氫(H4 )。然而,也可以是其它物質。在一些實施例中,釋氣106a在150攝氏度下開始且釋氣106a將隨溫度升高而增加。因此,吸氣劑層108防止或限制釋氣物質通過第一電極110擴散且在第一電極110與PCE 112之間聚集。通過防止或限制釋氣物質在第一電極110與PCE 112之間聚集,減小了PCE 112與第一電極110之間的介面處分層和/或起泡的概率。因此,吸氣劑層108提高PCM結構102的穩定性和耐久性。
在一些實施例中,介電層106可例如是或包括氧化矽(silicon oxide;SiO2 )、氮氧化矽(silicon oxynitride;SiON)、氮化矽(silicon nitride;SiN)、碳化矽(silicon carbide;SiC)或類似物。在一些實施例中,吸氣劑層108可例如是或包括形成為在大致20埃到200埃(或一些其它適合的值)範圍內厚度的鈦(titanium;Ti)、鋯(zirconium;Zr)、鉿(hafnium;Hf)、鋯釩鐵(zirconium vanadium iron;ZrVFe)、鋯鋁鐵(zirconium aluminum iron;ZrAlFe)、鎢鈦(tungsten titanium;WTi)、氮化鎢鈦(tungsten titanium nitride;WTiN)、氮化鉿鎢(hafnium tungsten nitride;HfWN)、鉿鎢(hafnium tungsten;HfW)、氮化鈦鉿(titanium hafnium nitride;TiHfN)或類似物。在一些實施例中,如果吸氣劑層108太薄(例如,厚度小於大致20埃),那麼吸氣劑層108可能例如是低效的和/或不能夠防止釋氣物質釋氣106a。在一些實施例中,如果吸氣劑層108太厚(例如,厚度大於大致200埃),那麼吸氣劑層108可能例如具有會對PCM結構102的電性能產生負面影響的高電阻。在一些實施例中,吸氣劑層108包括具有與第一電極110相比較小的金屬晶粒的材料,所以吸氣劑層108的晶粒邊界非常小,使得釋氣物質無法擴散或以其它方式沿晶粒邊界移動通過吸氣劑層108。在一些實施例中,吸氣劑層108包括具有第一反應性的第一材料且第一電極110包括具有第二反應性的第二材料。在一些實施例中,第二反應性對釋氣物質的反應比第一反應性更小,以使得吸氣劑層108可例如在釋氣物質可到達第一電極110之前吸收釋氣物質。
在一些實施例中,第一電極110可例如是或包括氮化鈦(titanium nitride;TiN)、鈦鎢(titanium tungsten;TiW)、氮化鈦鎢(titanium tungsten nitride;TiWN)、氮化鈦鉭(titanium tantalum nitride;TiTaN)、氮化鉭(tantalum nitride;TaN)、鎢(tungsten;W)、氮化鎢(tungsten nitride;WN)、氮化鉿(hafnium nitride;HfN)、鎢鈦(WTi)、氮化鎢鈦(WTiN)、氮化鉿鎢(HfWN)、鉿鎢(HfW)、氮化鈦鉿(TiHfN)或類似物。在一些實施例中,第二電極114可例如是或包括氮化鈦(TiN)、鈦鎢(TiW)、氮化鈦鎢(TiWN)、氮化鈦鉭(TiTaN)、氮化鉭(TaN)、鎢(W)、氮化鎢(WN)、氮化鉿(HfN)、鎢鈦(WTi)、氮化鎢鈦(WTiN)、氮化鉿鎢(HfWN)、鉿鎢(HfW)、氮化鈦鉿(TiHfN)或類似物。在一些實施例中,第二電極114和第一電極110是相同材料。在一些實施例中,第二電極114和第一電極110是與吸氣劑層108不同的材料。在一些實施例中,PCE 112可例如是或包括硫族化物材料,所述硫族化物材料由至少一個硫族離子(例如,週期表的列VI中的化學元素)、硫(sulfur;S)、硒(selenium;Se)、碲(tellurium;Te)、硫化硒(selenium sulfide;SeS)、鍺銻碲(germanium antimony tellurium;GeSbTe)、銀銦銻碲(silver indium antimony tellurium;AgInSbTe)或類似物組成。在一些實施例中,PCE 112可例如是或包括鍺碲化合物(germanium tellurium compound;GeTeX)、砷碲化合物(arsenic tellurium compound;AsTeX)或砷硒化合物(arsenic selenium compound;AsSeX),其中X可例如是或包括類似於鍺(germanium;Ge)、矽(silicon;Si)、鎵(gallium;Ga)、鑭(lanthanide;ln)、磷(phosphorus;P)、硼(boron;B)、碳(carbon;C)、氮(nitrogen;N)、氧(oxygen;O)的元素、前述的組合或類似物。
參考圖1B,提供圖1A的記憶體器件的一些替代性實施例的橫截面視圖100b,其中吸氣劑層108安置在第一電極110與PCE 112之間。在一些實施例中,第一電極110與底部電極通孔109和介電層106直接接觸。
參考圖1C,提供圖1A的記憶體器件的一些替代性實施例的橫截面視圖100c,其中省略第一電極(圖1A的第一電極110)且吸氣劑層108與PCE 112和底部電極通孔109直接接觸。在這類替代性實施例中,吸氣劑層108充當底部電極。
參考圖1D,提供圖1A的記憶體器件的一些替代性實施例的橫截面視圖100d,其中省略第一電極(圖1A的第一電極110)。另外,吸氣劑層108包括延伸穿過介電層106且定義底部電極通孔109的突起。
參考圖1E,提供圖1A的記憶體器件的一些替代性實施例的橫截面視圖100e,其中第二電極114、PCE 112、第一電極110、吸氣劑層108以及介電層106的側壁對準。
參考圖1F,提供圖1A的記憶體器件的一些替代性實施例的示意圖100f,其中記憶體器件包含存取電晶體104。存取電晶體104通過第一金屬絲107耦合到PCM結構102。位線(bit line;BL)通過第二電極114和第二金屬絲120耦合到PCM結構102的一端,且源極線(source line;SL)通過存取電晶體104和第一金屬絲107耦合到PCM結構102的相對端。因此,施加到存取電晶體104的閘極電極的適合的字線(word line;WL)電壓在BL與SL之間耦合PCM結構102。因此,通過提供適合的偏壓條件,PCM結構102可在電阻的兩個狀態之間切換以儲存資料,所述兩個狀態是具有低電阻的第一狀態和具有高電阻的第二狀態。在一些實施例中,通孔存在於底部電極通孔109與第一金屬絲107之間且電性耦合底部電極通孔109和第一金屬絲107。在一些實施例中,通孔存在於第二電極114與第二金屬絲120之間且電性耦合第二電極114和第二金屬絲120。
參考圖2A,提供圖1F的記憶體器件的一些替代性實施例的橫截面視圖200a,其中記憶體器件包含安置在配置用於單晶體管單儲存單元(one-transistor one-memory cell;1T1MC)設置的內連線結構204中的PCM結構102(例如,儲存單元和/或電阻器)。記憶體器件包含基底206。基底206可以是例如塊狀基底(例如,塊狀矽基底)或絕緣體上矽(silicon-on-insulator;SOI)基底。所示出的實施例描繪一個或多個淺溝槽隔離(shallow trench isolation;STI)區208,其可包含基底206內的介電質填充溝槽。
存取電晶體104安置在STI區208之間。存取電晶體104包含存取閘極電極216、存取閘極介電質220、存取側壁間隔物222以及源極/汲極區224。源極/汲極區224安置在基底206內,分別位於存取閘極電極216與STI區208之間。另外,源極/汲極區224經摻雜以具有與閘極介電質220之下的溝道區的第二導電類型相對的第一導電類型。存取閘極電極216可例如定義字線(WL)或可例如電性耦合到字線。另外,存取閘極電極216可以是例如經摻雜多晶矽或金屬,例如鋁、銅或其組合。存取閘極介電質220可以是例如氧化物(例如二氧化矽),或高κ介電材料。存取側壁間隔物222可例如由氮化矽(例如Si3 N4 )製成。
內連線結構204佈置在基底206上方且將器件(例如,存取電晶體104和PCM結構102)彼此耦合。內連線結構204包含層間介電(interlayer dielectric;ILD)層226和多個金屬間介電(IMD)層227、金屬間介電層228、金屬間介電層230,且進一步包含多個金屬化層232、金屬化層234、金屬化層236。ILD層226和IMD層227、IMD層228、IMD層230可例如由低κ介電質(例如未經摻雜的矽酸鹽玻璃或氧化物(例如二氧化矽))或極低κ介電層製成。金屬化層232、金屬化層234、金屬化層236包含金屬線238、金屬線240、金屬線242,所述金屬線可由例如銅或鋁的金屬製成。接觸件244從底部金屬化層232延伸到源極/汲極區224和/或閘極電極216;且通孔246在金屬化層232、金屬化層234、金屬化層236之間延伸。接觸件244和通孔246可例如延伸穿過介電保護層250(其可由介電材料製成且可在製造期間充當蝕刻終止層)。介電保護層250可例如由SiC製成。接觸件244和通孔246可例如由例如銅或鎢的金屬製成。然而,也可以使用用於介電保護層250、接觸件244、通孔246或前述的任何組合的其它材料。
配置成儲存資料狀態的PCM結構102佈置在內連線結構204內,位於相鄰金屬層之間。PCM結構102包含介電層106、底部電極通孔109、吸氣劑層108、第一電極110、相變元件(PCE)112以及第二電極114。
圖2B描繪如以圖2A和圖2B中所繪示的剖切線指示的圖2A的記憶體器件的頂視圖的一些實施例。如可看出,當在一些實施例中從上方觀察時,PCM結構102可具有正方形或環形形狀。然而,在其它實施例中,例如歸因於許多蝕刻製程的實用性,所示出的正方形形狀的角可變圓,得到具有帶有圓角的正方形形狀或具有環形形狀的PCM結構102。在一些實施例中,PCM結構102分別佈置在金屬線(圖2A的金屬線240)上方,且分別具有在其間沒有通孔或接觸件的情況下與金屬線(圖2A的金屬線242)直接電連接的上部部分。在其它實施例中,通孔或接觸件將上部部分耦合到金屬線(圖2A的金屬線242)。
參考圖3A,提供圖1A的記憶體器件的一些替代性實施例的橫截面視圖300a,其中儲存單元306上覆於選擇器308。選擇器308包含安置在第二電極114與第一電極110之間的PCE 112。儲存單元306包含安置在第三電極304與第二電極114之間的第二PCE 302。選擇器308和儲存單元306形成單選擇器單儲存單元(1S1MC)堆疊310。1S1MC堆疊310安置在加熱器312上方。加熱器312包含吸氣劑層108上方的第一電極110和吸氣劑層108下面的底部電極通孔109。在各種實施例中,加熱器312是延伸穿過介電層106到PCE 112的底部表面的單個連續層。
在一些實施例中,第三電極304可例如是或包括氮化鈦(TiN)、鈦鎢(TiW)、氮化鈦鎢(TiWN)、氮化鈦鉭(TiTaN)、氮化鉭(TaN)、鎢(W)、氮化鎢(WN)、氮化鉿(HfN)、鎢鈦(WTi)、氮化鎢鈦(WTiN)、氮化鉿鎢(HfWN)、鉿鎢(HfW)、氮化鈦鉿(TiHfN)或類似物。在一些實施例中,第二PCE 302可例如是或包括硫族化物材料,所述硫族化物材料由至少一個硫族離子(例如,週期表的列VI中的化學元素)、硫(S)、硒(Se)、碲(Te)、硫化硒(SeS)、鍺銻碲(GeSbTe)、銀銦銻碲(AgInSbTe)或類似物組成。在一些實施例中,第二PCE 302可例如是或包括鍺碲化合物(GeTeX)、砷碲化合物(AsTeX)或砷硒化合物(AsSeX),其中X可例如是或包括類似於鍺(Ge)、矽(Si)、鎵(Ga)、鑭(ln)、磷(P)、硼(B)、碳(C)、氮(N)、氧(O)、前述的組合或類似物的元素。
在一些實施例中,儲存單元306是PCRAM單元且配置成通過第二PCE 302的相來儲存資料。在替代性實施例中,用一些其它適合的資料儲存結構來替換第二PCE 302,以使得儲存單元306是另一類型的儲存單元。舉例來說,儲存單元306可以是電阻式隨機存取記憶體(resistive random-access memory;RRAM)單元、磁阻式隨機存取記憶體(magnetoresistive random-access memory;MRAM)單元、導電橋接隨機存取記憶體(conductive-bridging random-access memory;CBRAM)單元,或一些其它適合的儲存單元。
選擇器308配置成取決於施加在選擇器308兩端的電壓是否大於閾值電壓而在低電阻狀態與高電阻狀態之間切換。舉例來說,如果選擇器308兩端的電壓小於閾值電壓,那麼選擇器308可具有高電阻狀態,且如果選擇器308兩端的電壓大於閾值電壓,那麼選擇器308可具有低電阻狀態。
參考圖3B,提供圖3A的記憶體器件的一些替代性實施例的橫截面視圖300b,其中吸氣劑層108安置在第一電極110與PCE 112之間。第一電極110與底部電極通孔109和介電層106直接接觸。
圖4示出包括具有帶有吸氣劑金屬層的多個1S1MC堆疊的記憶體器件402的積體晶片(IC)400的一些實施例的橫截面視圖。
IC 400包括安置在半導體基底406上的第一金屬氧化物半導體場效應電晶體(metal-oxide-semiconductor field-effect transistor;MOSFET)405a和第二金屬氧化物半導體場效應電晶體405b。第一MOSFET 405a和第二MOSFET 405b分別包括安置在半導體基底406中且橫向地間隔開的一對源極/汲極區424。閘極介電質420安置在半導體基底406上方,位於單獨的源極/汲極區424之間,且閘極電極421安置在閘極介電質420上方。
層間介電(ILD)層412安置在第一MOSFET 405a和第二MOSFET 405b以及半導體基底406上方。ILD層412包括一種或多種ILD材料。在一些實施例中,ILD層412可包括低k介電層(例如,具有小於約3.9的介電常數的介電質)、超低k介電層或氧化物(例如,氧化矽)中的一種或多種。導電接觸件414佈置在ILD層412內。導電接觸件414延伸穿過ILD層412到閘極電極421和所述對源極/汲極區424。在各種實施例中,導電接觸件414可包括例如銅、鎢,或一些其它導電材料。
內連線結構407安置在ILD層412上方。內連線結構407包括多個金屬間介電(IMD)層418。多個導電絲415和多個導通孔416安置在IMD層418內。導電絲415和導通孔416配置成提供安置在整個IC 400中的各種器件之間的電連接。在一些實施例中,IMD層418可各自包括低k介電層、超低k介電層或氧化物。在各種實施例中,導電絲415和導通孔416可包括例如銅、鋁或一些其它導電材料。
在各種實施例中,記憶體器件402安置在內連線結構407內。在一些實施例中,記憶體器件402安置在IMD層418中的一個內。在另外的實施例中,記憶體器件402可安置在多個IMD層418內。在這類實施例中,記憶體器件402可包括多個層,所述多個層各自包括多個1S1MC堆疊310。
記憶體器件402包括多個第一導電線404(例如,位線)。第一導電線404各自在第一方向上橫向地延伸。在各種實施例中,第一導電線404彼此平行佈置。在一些實施例中,多個第一導電線404可包括例如銅、鋁、鎢、一些其它適合的導體,或前述的組合。
多個吸氣劑線108(例如,字線)(圖3A的吸氣劑層108)佈置在多個第一導電線404之下。吸氣劑線108各自在橫切第一方向的第二方向上橫向地延伸。在各種實施例中,吸氣劑線108彼此平行佈置。在一些實施例中,多個吸氣劑線108中的每一個吸氣劑線108可例如是或包括Ti、Zr、Hf、ZrVFe、ZrAlFe、WTi、WTiN、HfWN、HfW、TiHfN,或前述的組合。在各種實施例中,底部電極通孔109和吸氣劑線108是一體(one in the same)。在各種實施例中,省略第一電極110和/或第三電極304,以使得第二PCE 302直接接觸第一導電線404且/或PCE 112直接接觸吸氣劑線108。在各種實施例中,多個吸氣劑線108是多個第二導電線,所述多個第二導電線分別包括例如銅、鋁、鎢、一些其它適合的導體,或前述的組合。
多個1S1MC堆疊310安置在多個第一導電線404與多個吸氣劑線108之間。在各種實施例中,1S1MC堆疊310佈置成具有多個行和多個列的陣列。在一些實施例中,第一導電線404中的單獨的第一導電線和多個吸氣劑線108中的單獨的第二導電線耦合到每一個單獨的1S1MC堆疊310。
每一個1S1MC堆疊310包括上覆於選擇器308的儲存單元306。選擇器308包含安置在第二電極114與第一電極110之間的PCE 112。儲存單元306包含安置在第三電極304與第二電極114之間的第二PCE 302。加熱器312包括吸氣劑線108、吸氣劑線108上方的第一電極110,以及介電層106內的底部電極通孔109。在各種實施例中,加熱器312是將選擇器308電性耦合到底層金屬層的連續導電層,所述底層金屬層配置成防止釋氣物質向任何上覆層釋氣(例如具體地說,向第一電極110和PCE 112釋氣)。PCE 112配置成取決於施加在選擇器308兩端的電壓是否超過閾值電壓而在低電阻狀態與高電阻狀態之間切換。
在各種實施例中,存在N個(N是1或大於1的整數)第一導電線404且存在N個第二MOSFET 405b。第一導電線404中的每一個經由導電絲415和導通孔416電性耦合到單獨的第二MOSTFET 405b(例如,耦合到每一個MOSTFET 405b的源極/汲極區424)。在各種實施例中,存在M個(M是1或大於1的整數)吸氣劑線108且存在M個第一MOSFET 405a。吸氣劑線108中的每一個經由安置在記憶體器件402下面的導電絲415和導通孔416電性耦合到單獨的第一MOSFET 405a(例如,耦合到每一個MOSTFET 405a的源極/汲極區424)。
在一些實施例中,第一導電線404中的每一個導電線和對應的底層導通孔(在一些實施例中未繪示)定義第二加熱器,且多個吸氣劑線108中的每一個吸氣劑線和對應的上覆底部電極通孔109定義加熱器312。在前述實施例中,加熱器312與選擇器308直接接觸且第二加熱器與儲存單元306直接接觸。在一些實施例中,第一導電線404中的每一個導電線(例如,位線)定義第二加熱器,且多個吸氣劑線108中的每一個吸氣劑線(例如,字線)定義加熱器312。
圖5到圖11示出根據本公開的形成包含PCM結構的記憶體器件的方法的一些實施例的橫截面視圖500到橫截面視圖1100。雖然參考方法描述圖5到圖11中所繪示的橫截面視圖500到橫截面視圖1100,但是應瞭解,圖5到圖11中所繪示的結構不限於所述方法而實際上可單獨獨立於所述方法。雖然圖5到圖11被描述為一系列動作,但是應瞭解,這些動作不限於所述動作次序,可在其它實施例中更改,且所公開的方法還適用於其它結構。在其它實施例中,一些示出和/或描述的動作可完全或部分地省略。
如圖5的橫截面視圖500中所繪示,第一金屬絲107形成在第一IMD層101內。介電層106形成在第一金屬絲107和第一IMD層101上方。第一遮罩層502形成在介電層106上方。第一遮罩層502包括定義開口504的側壁。開口504位於介電層106和第一金屬絲107正上方。在一些實施例中,開口504位於第一金屬絲107上方居中。在一些實施例中,上述層可使用沉積製程形成,例如化學氣相沉積(chemical vapor deposition;CVD)、物理氣相沉積(physical vapor deposition;PVD)、一些其它適合的沉積製程,或前述的任何組合。
執行蝕刻製程來蝕刻第一遮罩層502內的開口504正下方的介電層106的一部分。通過使開口504正下方的介電層106的部分暴露於蝕刻劑506來執行蝕刻製程。可例如通過微影/蝕刻製程和/或一些其它適合的圖案化製程來執行蝕刻製程。
如圖6的橫截面視圖600中所繪示,沉積覆蓋介電層106且填充介電層106中的開口(圖5的開口504)的第一導電層602。可例如通過CVD、PVD、無電鍍、電鍍、一些其它適合的沉積製程或前述的任何組合來執行沉積。
如圖7的橫截面視圖700中所繪示,沿第一導電層(圖6的第一導電層602)上的水平線702執行平坦化製程以定義介電層106內的底部電極通孔109。可例如通過CMP和/或一些其它適合的平坦化製程來執行平坦化。
如圖8的橫截面視圖800中所繪示,PCM堆疊810形成在介電層106和底部電極通孔109上方。PCM堆疊810包括:上覆於介電層106的第一吸氣劑層802;上覆於第一吸氣劑層802的第一金屬層804;上覆於第一金屬層804的PCE層806;以及上覆於PCE層806的第二金屬層808。在替代性實施例中,第一吸氣劑層802上覆於第一金屬層804,位於第一金屬層804與PCE層806之間。在一些實施例中,上述層可使用沉積製程形成,例如CVD、PVD、一些其它適合的沉積製程,或前述的任何組合。
如圖9的橫截面視圖900中所繪示,硬遮罩902形成在第二金屬層808上方。光罩904形成在硬遮罩層902上方。光罩904覆蓋硬遮罩層902的記憶體區且留下暴露的外區。在一些實施例中,硬遮罩902可通過第一沉積製程來形成。第一沉積製程可例如通過CVD、PVD、一些其它適合的沉積製程或前述的任何組合來執行。在一些實施例中,第一沉積製程可例如以高熱溫度(例如,大致400攝氏度)執行。在一些實施例中,第一沉積製程通過CVD製程以高達大致400攝氏度的溫度來執行,且第一吸氣劑層808防止和/或阻擋釋氣物質向第一吸氣劑層808下方的PCM堆疊810內的層釋氣。在一些實施例中,光罩904通過第二沉積製程來形成。在一些實施例中,第二沉積製程通過CVD製程以大於150攝氏度的溫度來執行,且第一吸氣劑層808防止和/或阻擋第一吸氣劑層808下方的PCM堆疊810內的層分層。
如圖10的橫截面視圖1000中所繪示,執行蝕刻製程以將PCM堆疊(圖9的PCM堆疊810)到底部電極通孔109的側面的一部分去除,進而定義PCM結構102。PCM結構102包含底部電極通孔109、吸氣劑層108、第一電極110、相變元件(PCE)112以及第二電極114。在一些實施例中,通過使硬遮罩層(圖9的硬遮罩層902)和PCM堆疊(圖9的PCM堆疊810)暴露於蝕刻劑1002來進行蝕刻製程。在一些實施例中,在執行蝕刻製程之後,執行蝕刻製程或一些其它適合的製程以去除硬遮罩層(圖9的硬遮罩層902)和光罩(圖9的光罩904)的任何剩餘部分。在一些實施例中,吸氣劑層108(例如,通過防止釋氣物質釋氣來)防止和/或阻擋吸氣劑層108上方的PCM結構102內的層因任何後續處理步驟而分層。在一些實施例中,後續處理步驟可例如是或包括涉及大於大致150攝氏度的溫度的任何處理步驟,例如後段製程(back end of line;BEOL)。
如圖11的橫截面視圖1100中所繪示,第二IMD層124形成在PCM結構102上方。在一些實施例中,第二IMD層124直接接觸PCM結構102的側壁。第一導通孔122形成在第二電極114上方且直接接觸第二電極114。第二金屬絲120形成在第一導通孔122上方且直接接觸第一導通孔122。第二IMD層124可例如通過CVD、PVD、一些其它適合的沉積製程或前述的任何組合來形成。第一導通孔122和第二金屬絲120可例如通過以下操作來形成:使第二IMD層124圖案化以形成具有第一導通孔122和/或第二金屬絲120的圖案的通孔開口;沉積導電層填充通孔開口且覆蓋第二IMD層124;以及對導電層執行平坦化直到到達第二IMD層124為止。可例如通過微影/蝕刻製程和/或一些其它適合的圖案化製程來執行圖案化。可例如通過CVD、PVD、無電鍍、電鍍、一些其它適合的沉積製程或前述的任何組合來執行沉積。可例如通過CMP和/或一些其它適合的平坦化製程來執行平坦化。
圖12示出根據一些實施例的形成記憶體器件的方法的一些實施例的流程圖1200。雖然方法1200被示出和/或描述為一系列動作或事件,但是應瞭解,所述方法不限於所示出的次序或動作。因此,在一些實施例中,動作可以與所示出的次序不同的次序進行,且/或可同時進行。另外,在一些實施例中,所示出的動作或事件可細分成多個動作或事件,其可與其它動作或子動作在不同時間進行或同時進行。在一些實施例中,一些示出的動作或事件可省略,且可包含其它未示出的動作或事件。
在動作1202處,在基底上方形成介電層,介電層包括定義第一開口的側壁。圖5示出對應於動作1202的一些實施例的橫截面視圖500。
在動作1204處,在第一開口內形成導通孔。圖7示出對應於動作1204的一些實施例的橫截面視圖700。
在動作1206處,在導通孔上方形成儲存單元堆疊,儲存單元堆疊包含上覆於吸氣劑金屬層的第一電極、上覆於第一電極的第一相變層以及上覆於第一相變層的第二電極。圖8示出對應於動作1206的一些實施例的橫截面視圖800。
在動作1208處,在儲存單元堆疊上方形成遮罩層。遮罩層覆蓋上覆於導通孔的儲存單元堆疊的記憶體區,同時留下暴露的儲存單元堆疊到導通孔的側面的犧牲區。圖9示出對應動作1208的一些實施例的橫截面視圖900。
在動作1210處,執行蝕刻製程以去除犧牲區內的儲存單元堆疊的一部分,進而定義PCM結構。圖10示出對應於動作1210的一些實施例的橫截面視圖1000。
圖13、圖14、圖15、圖16A以及圖16B示出根據本公開的形成包含多個1S1MC堆疊的記憶體器件的方法的一些實施例的橫截面視圖1300、橫截面視圖1400、橫截面視圖1500、橫截面視圖1600a、橫截面視圖1600b。圖13、圖14以及圖16A在z-x平面中,而圖15和圖16B在z-y平面中。雖然參考方法描述圖13、圖14、圖15、圖16A以及圖16B中所繪示的橫截面視圖1300、橫截面視圖1400、橫截面視圖1500、橫截面視圖1600a、橫截面視圖1600b,但是應瞭解,圖13、圖14、圖15、圖16A以及圖16B中所繪示的結構不限於所述方法而實際上可單獨獨立於所述方法。雖然圖13、圖14、圖15、圖16A以及圖16B被描述為一系列動作,但是應瞭解,這些動作不限於所述動作次序,可在其它實施例中更改,且所公開的方法還適用於其它結構。在其它實施例中,一些示出和/或描述的動作可完全或部分地省略。
如圖13的橫截面視圖1300中所繪示,第一金屬絲107形成在第一IMD層101內。介電層106形成在第一金屬絲107和第一IMD層101上方。底部電極通孔109形成在第一金屬絲107正上方的介電層106內。1S1MC層1314形成在底部電極通孔109和介電層106上方。1S1MC層1314包含:吸氣劑膜1302;上覆於吸氣劑膜1302的底部電極膜1304;上覆於底部電極膜1304的PCE膜1306;上覆於PCE膜1306的第一電極膜1308;上覆於第一電極膜1308的第二PCE膜1310;以及上覆於第二PCE膜1310的第二金屬膜1312。在替代性實施例中,吸氣劑膜1302上覆於底部電極膜1304,位於底部電極膜1304與PCE膜1306之間。遮罩層1320上覆於第二金屬膜1312且包括多個對置側壁,所述多個對置側壁定義在多個位置中暴露第二金屬膜1312的上部表面的多個開口1322。在一些實施例中,開口1322形成為定義陣列的多個行和多個列。
在一些實施例中,遮罩層1320可通過第一沉積製程來形成。第一沉積製程可例如通過CVD、PVD、一些其它適合的沉積製程或前述的任何組合來執行。在一些實施例中,第一沉積製程可例如以高熱溫度(例如,大致400攝氏度)執行。在一些實施例中,第一沉積製程通過CVD製程以高達大致400攝氏度的溫度來執行,且吸氣劑膜1302防止和/或阻擋釋氣物質向吸氣劑膜1302上方的1S1MC層1314內的層釋氣。
如圖14的橫截面視圖1400中所繪示,執行第一蝕刻製程以去除多個開口(圖13的開口1322)正下方的1S1MC層(圖13的1S1MC層1314)的一部分,進而定義多個1S1MC堆疊310。多個1S1MC堆疊310中的每一個1S1MC堆疊310包含:第一電極110、PCE 112、第二電極114、第二PCE 302以及第三電極304。在一些實施例中,通過使遮罩層(圖13的遮罩層1320)和1S1MC層(圖13的1S1MC層1314)暴露於蝕刻劑1402來進行第一蝕刻製程。在一些實施例中,在執行第一蝕刻製程之後,執行蝕刻製程或一些其它適合的製程以去除遮罩層(圖13的遮罩層1320)的任何剩餘部分。
在各種實施例中,多個1S1MC堆疊310佈置成包括列1406a、列1406b、列1406c、列1406d以及行(橫截面視圖1400中只能觀察到行1404a)的矩陣。可瞭解,可在任何數目的行和列記憶體在任何數目的1S1MC堆疊310,因此圖14只是實例。可在z-x平面中觀察到多個1S1MC堆疊310的行1404a。
如圖15的橫截面視圖1500中所繪示,執行第二蝕刻製程以去除吸氣劑膜(圖14的吸氣劑膜1302)的一部分,進而定義多個吸氣劑線108。多個1S1MC堆疊310的每一個第一電極110分別安置在多個1S1MC堆疊310的每一個PCE 112與多個吸氣劑線108中的吸氣劑線108之間。在一些實施例中,第一蝕刻製程獨立於第二蝕刻製程。在一些實施例中,第二蝕刻製程包括:1)在多個1S1MC堆疊310和吸氣劑膜(圖14的吸氣劑膜1302)上方形成第二遮罩層(未繪示)2)根據定義多個吸氣劑線108的第二遮罩層使吸氣劑膜(圖13的吸氣劑膜1302)圖案化。
多個1S1MC堆疊310的行1404a、行1404b、行1404c、行1404d中的每一個行分別連接到多個吸氣劑線108內的吸氣劑線108。可在z-y平面中觀察到多個1S1MC堆疊310的列1406a,且列1406a包含安置在行1404a、行1404b、行1404c、行1404d內的多個吸氣劑線108。在各種實施例中,多個吸氣劑線108中的每一個吸氣劑線108定義矩陣中的行(例如,行1404a和/或字線)。在各種實施例中,第二蝕刻製程以這種方式執行以形成M(M是1或大於1的整數)個吸氣劑線108(例如,M個字線)。
在一些實施例中,在執行第二蝕刻製程之後,執行蝕刻製程或一些其它適合的製程以去除第二遮罩層(未繪示)的任何剩餘部分。在執行蝕刻製程之後,金屬間介電(IMD)層418形成在多個1S1MC堆疊310中的每一個1S1MC堆疊310之間。在各種實施例中,在形成IMD層418之後,執行平坦化製程以去除第三電極304的上部表面上方的IMD層418的任何剩餘部分。
如圖16A的橫截面視圖1600中的z-x平面中所繪示,多個第一導電線404(例如,位線)形成在佈置成列1406a、列1406b、列1406c、列1406d的多個1S1MC堆疊310上方。多個1S1MC堆疊310的列1406a、列1406b、列1406c、列1406d中的每一個列分別連接到多個第一導電線404中的第一導電線404。在各種實施例中,多個第一導電線404通過以下操作來形成:首先在佈置成矩陣的多個1S1MC堆疊310上方形成導電層,且接著形成包括定義導電層上方的多個開口的多個對置側壁的遮罩層(未繪示)。接著,根據定義多個第一導電線404的遮罩層來蝕刻導電層。在各種實施例中,多個第一導電線404沿垂直於第二方向的第一方向延伸,多個吸氣劑線108沿所述第二方向延伸。
如圖16B的橫截面視圖1600b中的z-y平面中所繪示,多個1S1MC堆疊310的行1404a、行1404b、行1404c、行1404d中的每一個行連接到多個第一導電線404中的第一導電線404。可在z-y平面中觀察到多個1S1MC堆疊310的列1406a,且列1406a包含安置在第一導電線404正下方的行1404a、行1404b、行1404c、行1404d內的多個吸氣劑線108。在各種實施例中,多個第一導電線404中的每一個第一導電線404定義矩陣中的列(例如,列1406a和/或位線)。在各種實施例中,蝕刻製程以這種方式執行以形成N(N是1或大於1的整數)個第一導電線404(例如,N個位線)。
圖17示出根據本公開的形成包含多個1S1MC堆疊的記憶體器件的方法1700。雖然方法1700被示出和/或描述為一系列動作或事件,但是應瞭解,所述方法不限於所示出的次序或動作。因此,在一些實施例中,動作可以與所示出的次序不同的次序進行,且/或可同時進行。另外,在一些實施例中,所示出的動作或事件可細分成多個動作或事件,其可與其它動作或子動作在不同時間進行或同時進行。在一些實施例中,一些示出的動作或事件可省略,且可包含其它未示出的動作或事件。
在動作1702處,在基底上方形成介電層且在介電層內形成導通孔。圖13示出對應於動作1602的一些實施例的橫截面視圖1300。
在動作1704處,在導通孔上方形成單選擇器單儲存單元(1S1MC)層。1S1MC層包含吸氣劑膜、上覆於吸氣劑膜的底部電極膜、上覆於底部電極膜的PCE膜、上覆於PCE膜的第一電極膜,以及上覆於第一電極膜的第二PCE膜,以及上覆於第二PCE膜的第二金屬膜。圖13示出對應於動作1704的一些實施例的橫截面視圖1300。
在動作1706處,在1S1MC層上方形成遮罩層。圖13示出對應於動作1706的一些實施例的橫截面視圖1300。
在動作1708處,根據定義多個1S1MC堆疊的遮罩層執行第一蝕刻製程以去除1S1MC層的一部分。圖14示出對應於動作1708的一些實施例的橫截面視圖1400。
在動作1710處,根據定義多個吸氣劑線的第二遮罩層執行第二蝕刻製程以去除吸氣劑膜的一部分。圖15示出對應於動作1710的一些實施例的橫截面視圖1500。
在動作1712處,在多個1S1MC堆疊周圍和所述多個1S1MC堆疊之間形成金屬間介電(IMD)層。圖15示出對應於動作1712的一些實施例的橫截面視圖1500。
在動作1714處,在多個1S1MC堆疊上方形成多個第一導電線,多個第一導電線沿垂直於一方向的方向延伸,多個吸氣劑線沿所述一方向延伸。圖16A和圖16B示出對應於動作1614的一些實施例的橫截面視圖1600a和橫截面視圖1600b。
因此,在一些實施例中,本申請的實施例涉及一種包括形成在導通孔與記憶體儲存層之間的吸氣劑層的記憶體器件。
在各種實施例中,本申請的實施例提供一種相變記憶體(PCM)結構,所述相變記憶體結構包含:介電層,位於基底上方;底部電極,位於介電層上方;通孔,從介電層的底部表面延伸穿過介電層到介電層的頂部表面;相變元件,位於底部電極上方;以及吸氣劑金屬層,位於介電層與相變元件之間。
在各種實施例中,本申請的實施例提供一種記憶體器件,所述記憶體器件包括:絕緣體層,位於基底上方;相變元件,位於絕緣體層上方;以及加熱器,位於絕緣體層與相變元件之間,其中加熱器防止從絕緣體層向相變元件釋氣。
在各種實施例中,本申請的實施例提供一種形成記憶體器件的方法,所述方法包含:在基底上方形成絕緣體層,其中絕緣體層定義第一開口;在第一開口內形成導通孔;在導通孔上方形成儲存單元堆疊,其中儲存單元堆疊包含上覆於吸氣劑金屬層的第一電極、上覆於第一電極的第一相變層以及上覆於第一相變層的第二電極;以及使儲存單元堆疊圖案化成儲存單元。
前文概述若干實施例的特徵以使本領域的技術人員可更好地理解本公開的方面。本領域的技術人員應瞭解,其可以易於使用本公開作為設計或修改用於進行本文中所介紹的實施例的相同目的和/或獲得相同優勢的其它製程和結構的基礎。本領域的技術人員還應認識到,這類等效構造並不脫離本公開的精神和範圍,且本領域的技術人員可在不脫離本公開的精神和範圍的情況下在本文中作出各種改變、替代以及更改。
100a、100b、100c、100d、100e、200a、300a、300b、500、600、700、800、900、1000、1100、1300、1400、1500、1600a、1600b‧‧‧橫截面視圖 100f‧‧‧示意圖 101‧‧‧第一金屬間介電層 102‧‧‧相變記憶體結構 104‧‧‧存取電晶體 106‧‧‧介電層 106a‧‧‧釋氣 107‧‧‧第一金屬絲 108‧‧‧吸氣劑層 109‧‧‧底部電極通孔 110‧‧‧第一電極 112‧‧‧相變元件 114‧‧‧第二電極 120‧‧‧第二金屬絲 122‧‧‧第一導通孔 124‧‧‧第二金屬間介電層 204、407‧‧‧內連線結構 206‧‧‧基底 208‧‧‧淺溝槽隔離區 216、421‧‧‧閘極電極 220、420‧‧‧閘極介電質 222‧‧‧存取側壁間隔物 224、424‧‧‧源極/汲極區 226、412‧‧‧層間介電層 227、228、230、418‧‧‧金屬間介電層 232、234、236‧‧‧金屬化層 238、240、242‧‧‧金屬線 244‧‧‧接觸件 246‧‧‧通孔 250‧‧‧介電保護層 302‧‧‧第二相變元件 304‧‧‧第三電極 306‧‧‧儲存單元 308‧‧‧選擇器 310‧‧‧單選擇器單儲存單元堆疊 312‧‧‧加熱器 400‧‧‧積體晶片 402‧‧‧記憶體器件 404‧‧‧第一導電線 405a‧‧‧第一金屬氧化物半導體場效應電晶體 405b‧‧‧第二金屬氧化物半導體場效應電晶體 406‧‧‧半導體基底 414‧‧‧導電接觸件 415‧‧‧導電絲 416‧‧‧導通孔 502‧‧‧第一遮罩層 504、1322‧‧‧開口 506、1002、1402‧‧‧蝕刻劑 602‧‧‧第一導電層 702‧‧‧水平線 802‧‧‧第一吸氣劑層 804‧‧‧第一金屬層 806‧‧‧相變元件層 808‧‧‧第二金屬層 810‧‧‧相變記憶體堆疊 902‧‧‧硬遮罩 904‧‧‧光罩 1200、1700‧‧‧方法 1202、1204、1206、1208、1210、1702、1704、1706、1708、1710、1712、1714‧‧‧動作 1302‧‧‧吸氣劑膜 1304‧‧‧底部電極膜 1306‧‧‧相變元件膜 1308‧‧‧第一電極膜 1310‧‧‧第二相變元件膜 1312‧‧‧第二金屬膜 1314‧‧‧單選擇器單儲存單元層 1320‧‧‧遮罩層 1404a、1404b、1404c、1404d‧‧‧行 1406a、1406b、1406c、1406d‧‧‧列 BL‧‧‧位線 SL‧‧‧源極線 WL‧‧‧字線 z-x、z-y‧‧‧平面
結合附圖閱讀以下具體實施方式會最好地理解本公開的方面。注意,根據業界中的標準慣例,各種特徵未按比例繪製。實際上,為了論述清晰起見,可任意增大或減小各種特徵的尺寸。 圖1A示出包含相變元件(phase change element;PCE)和吸氣劑金屬層的記憶體器件的一些實施例的橫截面視圖。 圖1B到圖1E示出圖1A的記憶體器件的各種替代性實施例的橫截面視圖。 圖1F示出其中記憶體器件包括存取電晶體的圖1A的記憶體器件的一些替代性實施例的示意圖。 圖2A示出圖1F的記憶體器件的一些替代性實施例的橫截面視圖。 圖2B示出如由圖2A中的切割線指示的圖2A的記憶體器件的一些實施例的頂視圖。 圖3A和圖3B示出包含選擇器和儲存單元的圖1A的記憶體器件的各種替代性實施例的橫截面視圖。 圖4示出包括具有帶有吸氣劑金屬層的多個單選擇器單儲存單元(one-selector one-memory cell;1S1MC)堆疊的記憶體器件的積體晶片(integrated chip;IC)的一些實施例的橫截面視圖。 圖5到圖11示出形成記憶體器件的方法的一些實施例的橫截面視圖。 圖12示出圖5到圖11的方法的一些實施例的流程圖。 圖13、圖14、圖15、圖16A以及圖16B示出形成包含選擇器和PCE的記憶體器件的方法的一些實施例的橫截面視圖。 圖17示出形成圖13、圖14、圖15、圖16A以及圖16B的方法的方法的一些實施例的流程圖。
100a‧‧‧橫截面視圖
101‧‧‧第一金屬間介電層
102‧‧‧相變記憶體結構
106‧‧‧介電層
106a‧‧‧釋氣
107‧‧‧第一金屬絲
108‧‧‧吸氣劑層
109‧‧‧底部電極通孔
110‧‧‧第一電極
112‧‧‧相變元件
114‧‧‧第二電極
120‧‧‧第二金屬絲
122‧‧‧第一導通孔
124‧‧‧第二金屬間介電層

Claims (20)

  1. 一種相變記憶體結構,包括: 介電層,位於基底上方; 通孔,延伸穿過所述介電層; 底部電極,上覆於所述介電層以及所述通孔,且進一步電性耦合到所述通孔; 相變元件,上覆於所述底部電極;以及 吸氣劑金屬層,位於所述介電層與所述相變元件之間。
  2. 如申請專利範圍第1項所述的相變記憶體結構,其中所述吸氣劑金屬層由吸收氫氣的材料構成。
  3. 如申請專利範圍第1項所述的相變記憶體結構,其中所述吸氣劑金屬層在所述介電層的頂部表面與所述底部電極的底部表面之間。
  4. 如申請專利範圍第1項所述的相變記憶體結構,其中所述吸氣劑金屬層在所述底部電極的頂部表面與所述相變元件的底部表面之間。
  5. 如申請專利範圍第1項所述的相變記憶體結構,其中所述吸氣劑金屬層包括與所述底部電極的材料不同的材料。
  6. 如申請專利範圍第1項所述的相變記憶體結構,其中所述相變記憶體結構進一步包括: 頂部電極,上覆於所述相變元件;且 其中所述頂部電極以及所述底部電極由第一材料構成且所述吸氣劑金屬層由第二材料構成,其中所述第一材料與所述第二材料不同。
  7. 如申請專利範圍第6項所述的相變記憶體結構,其中所述頂部電極、所述底部電極、所述相變元件以及所述吸氣劑金屬層各自的側壁對準。
  8. 如申請專利範圍第1項所述的相變記憶體結構,其中所述相變記憶體結構進一步包括: 中間電極,上覆於所述相變元件; 第二相變元件,上覆於所述中間電極;以及 頂部電極,上覆於所述第二相變元件。
  9. 一種記憶體器件,包括: 絕緣體層,位於基底上方; 相變元件,位於所述絕緣體層上方;以及 加熱器,位於所述絕緣體層與所述相變元件之間,其中所述加熱器防止從所述絕緣體層向所述相變元件釋氣。
  10. 如申請專利範圍第9項所述的記憶體器件,其中所述加熱器包括: 第一電極,位於所述絕緣體層的頂部表面上方; 吸氣劑層,位於所述絕緣體層的頂部表面上方,其中所述吸氣劑層包括吸收氫氣的材料;以及 通孔,在所述絕緣體層的底部表面與所述絕緣體層的所述頂部表面之間延伸穿過所述絕緣體層。
  11. 如申請專利範圍第10項所述的記憶體器件,其中所述吸氣劑層直接接觸所述絕緣體層的所述頂部表面以及所述電極的底部表面。
  12. 如申請專利範圍第10項所述的記憶體器件,其中進一步包括: 第二電極,位於所述相變元件上方,其中所述第一電極以及所述第二電極包括與所述吸氣劑層不同的材料。
  13. 如申請專利範圍第12項所述的記憶體器件,其中進一步包括: 第二相變元件,位於所述第二電極上方;以及 第三電極,位於所述第二相變元件上方。
  14. 如申請專利範圍第12項所述的記憶體器件,其中所述第一電極、所述第二電極、所述第三電極、所述第一相變元件、所述第二相變元件以及所述吸氣劑層各自的側壁對準。
  15. 如申請專利範圍第10項所述的記憶體器件,其中所述吸氣劑層包括鈦、鋯、鉿、鋯釩鐵或鋯鋁鐵。
  16. 如申請專利範圍第10項所述的記憶體器件,其中所述吸氣劑層包括所述通孔。
  17. 如申請專利範圍第9項所述的記憶體器件,其中所述加熱器的最外側壁橫向地位於所述絕緣體層的最外側壁之間。
  18. 一種形成記憶體器件的方法,所述方法包括: 在基底上方形成絕緣體層,其中所述絕緣體層定義第一開口; 在所述第一開口內形成導通孔; 在所述導通孔上方形成儲存單元堆疊,其中所述儲存單元堆疊包含上覆於吸氣劑金屬層的第一電極、上覆於所述第一電極的第一相變層以及上覆於所述第一相變層的第二電極;以及 使所述儲存單元堆疊圖案化成儲存單元。
  19. 如申請專利範圍第18項所述的形成記憶體器件的方法,其中所述吸氣劑金屬層包括吸收氫氣的材料。
  20. 如申請專利範圍第18項所述的形成記憶體器件的方法,其中所述儲存單元堆疊進一步包含上覆於所述第二電極的第二相變層以及上覆於所述第二相變層的第三電極。
TW108105732A 2018-07-31 2019-02-21 相變記憶體結構、記憶體器件與其形成方法 TWI701772B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862712373P 2018-07-31 2018-07-31
US62/712,373 2018-07-31
US16/207,506 2018-12-03
US16/207,506 US10622555B2 (en) 2018-07-31 2018-12-03 Film scheme to improve peeling in chalcogenide based PCRAM

Publications (2)

Publication Number Publication Date
TW202008514A true TW202008514A (zh) 2020-02-16
TWI701772B TWI701772B (zh) 2020-08-11

Family

ID=69229036

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108105732A TWI701772B (zh) 2018-07-31 2019-02-21 相變記憶體結構、記憶體器件與其形成方法

Country Status (3)

Country Link
US (2) US10622555B2 (zh)
CN (2) CN110783452B (zh)
TW (1) TWI701772B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI755256B (zh) * 2020-10-20 2022-02-11 台灣積體電路製造股份有限公司 記憶裝置及其形成方法
TWI789603B (zh) * 2020-03-17 2023-01-11 台灣積體電路製造股份有限公司 積體晶片及用於形成其的方法
TWI810654B (zh) * 2021-07-12 2023-08-01 漢陽大學校產學協力團 選擇器件及使用該選擇器件的記憶體件
TWI826323B (zh) * 2022-06-08 2023-12-11 台灣積體電路製造股份有限公司 半導體元件及使用半導體元件的方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11289650B2 (en) * 2019-03-04 2022-03-29 International Business Machines Corporation Stacked access device and resistive memory
TWI682533B (zh) * 2019-06-21 2020-01-11 華邦電子股份有限公司 記憶體裝置及其製造方法
US11145690B2 (en) * 2019-09-26 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and manufacturing method thereof
CN112002652B (zh) * 2020-07-21 2023-10-20 中电科工程建设有限公司 一种芯片制造过程中电镀工艺成品率的控制方法
US11594576B2 (en) * 2020-07-30 2023-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, memory cell and method of forming the same
FR3123505A1 (fr) * 2021-05-28 2022-12-02 Stmicroelectronics (Crolles 2) Sas Mémoire à changement de phase

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005112118A1 (ja) * 2004-05-14 2005-11-24 Renesas Technology Corp. 半導体記憶装置
JP2007042804A (ja) * 2005-08-02 2007-02-15 Renesas Technology Corp 半導体装置およびその製造方法
KR101206034B1 (ko) 2006-05-19 2012-11-28 삼성전자주식회사 산소결핍 금속산화물을 이용한 비휘발성 메모리 소자 및 그제조방법
CN100573951C (zh) * 2007-01-25 2009-12-23 财团法人工业技术研究院 相变存储装置及其制造方法
US7879645B2 (en) * 2008-01-28 2011-02-01 Macronix International Co., Ltd. Fill-in etching free pore device
US8530875B1 (en) 2010-05-06 2013-09-10 Micron Technology, Inc. Phase change memory including ovonic threshold switch with layered electrode and methods for forming same
US8243506B2 (en) * 2010-08-26 2012-08-14 Micron Technology, Inc. Phase change memory structures and methods
US8981332B2 (en) 2013-03-15 2015-03-17 Intermolecular, Inc. Nonvolatile resistive memory element with an oxygen-gettering layer
US9172036B2 (en) * 2013-11-22 2015-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Top electrode blocking layer for RRAM device
US9419212B2 (en) * 2014-12-05 2016-08-16 Intel Corporation Barrier film techniques and configurations for phase-change memory elements
US9954166B1 (en) * 2016-11-28 2018-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded memory device with a composite top electrode
US10276485B2 (en) * 2017-08-02 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a homogeneous bottom electrode via (BEVA) top surface for memory
US10276779B2 (en) * 2017-08-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Top electrode cap structure for embedded memory

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI789603B (zh) * 2020-03-17 2023-01-11 台灣積體電路製造股份有限公司 積體晶片及用於形成其的方法
TWI755256B (zh) * 2020-10-20 2022-02-11 台灣積體電路製造股份有限公司 記憶裝置及其形成方法
TWI810654B (zh) * 2021-07-12 2023-08-01 漢陽大學校產學協力團 選擇器件及使用該選擇器件的記憶體件
US11968915B2 (en) 2021-07-12 2024-04-23 Iucf-Hyu (Industry-University Cooperation Foundation Hanyang University) Selector and memory device using the same
TWI826323B (zh) * 2022-06-08 2023-12-11 台灣積體電路製造股份有限公司 半導體元件及使用半導體元件的方法

Also Published As

Publication number Publication date
CN116634778A (zh) 2023-08-22
US20200161544A1 (en) 2020-05-21
TWI701772B (zh) 2020-08-11
US11024800B2 (en) 2021-06-01
US10622555B2 (en) 2020-04-14
CN110783452B (zh) 2023-06-20
CN110783452A (zh) 2020-02-11
US20200044148A1 (en) 2020-02-06

Similar Documents

Publication Publication Date Title
TWI701772B (zh) 相變記憶體結構、記憶體器件與其形成方法
KR102342467B1 (ko) 포밍 및 세트 전압을 감소시키기 위한 3d rram 셀 구조물
CN110943160B (zh) 集成芯片及其形成方法
US11923459B2 (en) Transistor including hydrogen diffusion barrier film and methods of forming same
TW202118039A (zh) 積體晶片、電阻式隨機存取記憶胞及其形成方法
TW202010158A (zh) 記憶體裝置及其形成方法
CN113540255A (zh) 晶体管和形成氧化物半导体晶体管的方法
US20150123068A1 (en) Fin-type memory
US20230387224A1 (en) Self-aligned active regions and passivation layer and methods of making the same
US20220359616A1 (en) Memory device
TW202135063A (zh) 記憶體裝置、積體晶片及其形成方法
TW202125854A (zh) 相變記憶體
US20240136440A1 (en) Transistor Including Hydrogen Diffusion Barrier Film and Methods for Forming the Same
US20240040938A1 (en) Memory device and fabrication method thereof
US11825753B2 (en) Memory cell, integrated circuit, and manufacturing method of memory cell
TWI836425B (zh) 積體晶片結構及其形成方法
US11903334B2 (en) Memory devices and methods of forming the same
TWI789603B (zh) 積體晶片及用於形成其的方法
US20230134560A1 (en) Diffusion barrier to mitigate direct-shortage leakage in conductive bridging ram (cbram)
TW202236619A (zh) 記憶體裝置及其形成方法
TW202301654A (zh) 積體晶片、記憶體裝置及其形成方法
TW202334964A (zh) 積體晶片、記憶體裝置及其形成方法
TW202243204A (zh) 記憶體元件、其形成方法及具有記憶體元件的半導體元件