TWI789603B - 積體晶片及用於形成其的方法 - Google Patents

積體晶片及用於形成其的方法 Download PDF

Info

Publication number
TWI789603B
TWI789603B TW109123014A TW109123014A TWI789603B TW I789603 B TWI789603 B TW I789603B TW 109123014 A TW109123014 A TW 109123014A TW 109123014 A TW109123014 A TW 109123014A TW I789603 B TWI789603 B TW I789603B
Authority
TW
Taiwan
Prior art keywords
memory
conductive
lines
word line
layer
Prior art date
Application number
TW109123014A
Other languages
English (en)
Other versions
TW202137489A (zh
Inventor
黃昶智
潘瑞彧
曾國權
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202137489A publication Critical patent/TW202137489A/zh
Application granted granted Critical
Publication of TWI789603B publication Critical patent/TWI789603B/zh

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/0023Address circuits or decoders
    • G11C13/0028Word-line or row circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/56Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/0023Address circuits or decoders
    • G11C13/0026Bit-line or column circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/20Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having two electrodes, e.g. diodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/80Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays
    • H10B63/84Arrangements comprising multiple bistable or multi-stable switching components of the same type on a plane parallel to the substrate, e.g. cross-point arrays arranged in a direction perpendicular to the substrate, e.g. 3D cell arrays
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Shaping switching materials
    • H10N70/063Shaping switching materials by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • H10N70/8265Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices on sidewalls of dielectric structures, e.g. mesa-shaped or cup-shaped devices
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/02Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements
    • G11C11/16Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect
    • G11C11/161Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using magnetic elements using elements in which the storage effect is based on magnetic spin effect details concerning the memory cell structure, e.g. the layers of the ferromagnetic memory cell
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0004Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements comprising amorphous/crystalline phase transition cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/883Oxides or nitrides
    • H10N70/8833Binary metal oxides, e.g. TaOx

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Semiconductor Memories (AREA)
  • Credit Cards Or The Like (AREA)

Abstract

本申請案的各種實施例是針對一種積體晶片。積體晶片 包含上覆於基底且包含呈多個列及多個行的多個記憶體堆疊的陣列。記憶體堆疊中的每一者包含具有可變電阻的資料儲存結構。多個字元線安置於陣列之下且沿陣列的對應列延伸。字元線與對應列中的陣列的記憶體堆疊電性耦接。多個上部導電通孔自記憶體堆疊的陣列上方延伸以接觸對應字元線的頂部表面。

Description

積體晶片及用於形成其的方法
本發明實施例是有關於一種積體晶片及用於形成其的方法。
許多現代電子元件包含電子記憶體。具有一選擇器-一記憶胞(one selector-one memory cell;1S1MC)堆疊的交叉點記憶體架構因其高密度而愈來愈受到關注以供與新一代電子記憶體一起使用。新一代電子記憶體的實例包含電阻式隨機存取記憶體(resistive random-access memory;RRAM)、相變隨機存取記憶體(phase-change random-access memory;PCRAM)以及磁阻式隨機存取記憶體(magnetoresistive random-access memory;MRAM)。
根據本發明的一些實施例,一種積體晶片包含:基底;陣列,上覆於基底且包括呈多個列及多個行的多個記憶體堆疊,其中記憶體堆疊中的每一者包括具有可變電阻的資料儲存結構;多個字元線,安置於陣列之下且沿陣列的對應列延伸,其中字元線與對應列中的陣列的記憶體堆疊電性耦接;以及多個上部導電 通孔,自記憶體堆疊的陣列上方延伸以接觸對應字元線的頂部表面。
根據本發明的一些實施例,一種積體晶片包含:基底;內連線結構,上覆於基底,其中內連線結構包含安置於介電結構內的多個導電線及多個導電通孔;記憶體堆疊陣列,安置於呈多個列及多個行的介電結構內,其中記憶體堆疊分別包含上覆於選擇器的記憶胞;多個位元線,安置於介電結構內且在第一方向上沿陣列的對應行延伸,其中位元線上覆於記憶體堆疊且與對應行中的陣列的記憶胞電性耦接;多個字元線,安置於介電結構內且在第二方向上沿陣列的對應列延伸,其中第二方向與第一方向正交,且其中字元線下伏於記憶體堆疊且與對應列中的陣列的記憶胞電性耦接;且其中各字元線的底部表面與導電通孔及導電線完全分離。
根據本發明的一些實施例,一種用於形成記憶體元件的方法包含:在基底上方形成下部內連線結構,其中下部內連線結構包含安置於介電結構內的導電通孔及導電線;沿下部內連線結構的上部表面形成蝕刻終止層;在蝕刻終止層上方形成多個字元線以使得導電通孔及導電線與字元線的底部表面偏移,其中字元線沿第一方向延伸且彼此平行;沿字元線分別的頂部表面形成多個記憶體堆疊;在記憶體堆疊上方形成多個位元線以使得位元線沿與第一方向正交的第二方向延伸,其中位元線彼此平行;在位元線上方形成上部ILD層;以及在上部ILD層內形成多個上部導電通孔以使得上部導電通孔接觸對應字元線的頂部表面,其中上部導電通孔分別的頂部表面安置於位元線上方。
100、700:記憶體元件
102:基底
104:內連線結構
104lr:下部區
106:半導體元件
108:源極/汲極區
110:閘極結構
112:隔離結構
114:內連線介電結構
116:導電通孔
116u:上部導電通孔
118:導電線
118u:上部導電線
120:字元線
120bs、128bs:底部表面
120ts、404ts、1002ts:頂部表面
122:臨限值選擇器
124:記憶胞
126:一選擇器-一記憶胞堆疊
128:位元線
400、602:積體晶片
401:閘極頂蓋層
402:層間介電層
402u:上部層間介電層
404:蝕刻終止層
405:接合焊墊
406、408:鈍化層
407:水平線
409:記憶胞層
500:三維記憶陣列
501:第一記憶陣列
502:上部臨限值選擇器
503:第二記憶陣列
504:上部記憶胞
506:上部字元線
508:上部一選擇器-一記憶胞堆疊
600、607:封裝結構
800、900、1000、1100、1200、1300、1400、1500、1600、1700、1800、1900:橫截面視圖
604:電連接件
606:記憶體區
608:中央處理單元區
610:靜態隨機存取記憶體
612:類比區
702:下部電極
704:臨限值選擇器
706:中間電極
708:資料儲存結構
710:上部電極
1002:字元線層
1004:臨限值選擇器層
1102:臨限值選擇器線
1104:電荷載子
1202:介電結構
1302:記憶胞膜
1304:位元線層
1602:導電特徵開口
2000:方法
2002、2004、2006、2008、2010、2012、2014、2016、2018、2020:動作
A-A'、B-B':線
t1:厚度
ti:初始厚度
結合附圖閱讀以下詳細描述會最佳地理解本揭露的各態樣。應注意,根據行業中的標準慣例,各種特徵未按比例繪製。實際上,出於論述清楚起見,可任意增大或減小各種特徵的尺寸。
圖1至圖3示出具有安置於內連線結構中的上覆位元線與下伏字元線之間的多個記憶胞的記憶體元件的一些實施例的各種視圖,其中上部導電通孔自記憶胞上方延伸至對應字元線的頂部表面。
圖4A至圖4C示出圖1至圖3的記憶體元件的一些替代實施例的各種視圖。
圖5示出包括上覆於基底的第一記憶陣列及第二記憶陣列的三維(three-dimensional;3D)記憶陣列的一些實施例的橫截面視圖。
圖6A至圖6B示出分別具有獨立式記憶體區及嵌入式記憶體區的封裝結構的一些實施例的俯視圖。
圖7示出圖1至圖3的記憶體元件的區段的一些實施例的透視圖。
圖8至圖19示出用於形成具有安置於內連線結構中的上覆位元線與下伏字元線之間的多個記憶胞的記憶體元件的方法的一些實施例的一系列橫截面視圖,其中上部導電通孔自位元線上方延伸至對應字元線的頂部表面。
圖20示出圖8至圖19的方法的一些實施例的方塊圖。
本揭露提供用於實施本揭露的不同特徵的許多不同實施例或實例。下文描述組件及佈置的特定實例以簡化本揭露。當然,這些特定實例僅為實例且不意欲為限制性的。舉例而言,在以下描述中,第一特徵在第二特徵上方或第二特徵上形成可包含第一特徵與第二特徵直接接觸地形成的實施例,且亦可包含可在第一特徵與第二特徵之間形成額外特徵以使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露可在各種實例中重複附圖標記及/或字母。此重複是出於簡單及清楚的目的,且本身並不規定所論述的各種實施例及/或配置之間的關係。
此外,為易於描述,本文中可使用諸如「在、、、之下(beneath)」、「在、、、下方(below)」、「下部(lower)」、「在、、、上方(above)」、「上部(upper)」以及類似物的空間相對術語,以描述如諸圖中所示出的一個部件或特徵與另一部件或特徵的關係。除圖中所描繪的定向以外,空間相對術語亦意欲涵蓋元件在使用或操作中的不同定向。裝置可以其他方式定向(旋轉90度或處於其他定向),且本文中所使用的空間相對描述詞可同樣相應地進行解譯。
交叉點記憶陣列可包含安置在上覆於基底的內連線結構內的多個記憶胞。記憶胞定義記憶陣列,且以多個列及多個行(例如以縱橫陣列)來佈置。多個字元線安置於記憶陣列之下,且多個位元線安置於記憶陣列上方。字元線各自沿記憶陣列中的個別列延伸,且各自耦接至個別列中的記憶胞。位元線各自沿記憶陣列中的個別行延伸,且各自耦接至個別行中的記憶胞。多個位元 線及多個字元線藉助於安置在內連線結構內的導電通孔及導電線電性耦接至安置於基底內/上方的半導體元件(例如電晶體)。因此,可藉助於內連線結構中的導電通孔及導電線將偏置電壓施加至多個位元線及多個字元線以選擇個別記憶胞(例如以讀取/寫入/抹除胞)。
交叉點記憶陣列的一個挑戰為字元線與內連線結構內的對應下部導電通孔之間的連接。舉例而言,內連線結構包含直接電性耦接至安置於基底內及/或基底上的電晶體的下部導電通孔及下部導電線。在製造期間,第一字元線可在直接電性耦接至電晶體的下部導電通孔上方形成,以使得在第一字元線與電晶體之間存在電性路徑。用於形成第一字元線的製程可包含對第一字元線的導電材料進行反應性離子蝕刻。用於反應性離子蝕刻中的電漿可將電荷載子(例如電子)添加至第一字元線。由於第一字元線相對較長,因此第一字元線可充當天線,且因此大量電荷載子可堆積在第一字元線中。大量電荷載子可橫跨下部導電通孔行進至電晶體,藉此導致電晶體的閘極介電層擊穿。
此外,第一字元線可包括不同於下部導電通孔的第二材料(例如鋁、銅、前述的合金等)的第一材料(例如鎢)。在製造期間,第一字元線及下部導電通孔暴露於一或多種處理流體(例如蝕刻殘餘物清潔流體、去離子水等)。當下部導電通孔暴露於一或多種處理流體時,下部導電通孔的電流腐蝕可發生(例如歸因於一或多種處理流體與第二材料之間的反應)。此可導致在下部導電通孔與第一字元線之間的界面處形成氧化物,藉此導致下部導電通孔與第一字元線之間的剝離,及/或導致安置於第一字元線上 方的層(例如構成記憶胞的層)的剝離。因此,交叉點記憶陣列的效能可能受負面影響(例如良率降低、記憶胞擊穿、電晶體擊穿等)。
本揭露的各種實施例是針對一種具有交叉點記憶陣列的積體晶片,所述交叉點記憶陣列具有安置於多個字元線與多個位元線之間的多個記憶胞。在各種實施例中,位元線上覆於記憶胞,且字元線下伏於記憶胞。記憶陣列安置於包含導電特徵的內連線結構內,所述導電特徵安置於介電結構內且電性耦接至位元線及字元線。導電特徵包含下伏於字元線的下部導電通孔及下部導電線以及上覆於位元線的上部導電通孔及上部導電線。下部導電通孔及下部導電線與各字元線的底部表面偏移非零距離,以使得介電結構沿各字元線的底部表面連續延伸,且使得各字元線的底部表面與下部導電通孔及下部導電線完全隔開。此外,上部導電通孔自安置於位元線上方的上部導電線延伸以與各字元線的頂部表面接觸。此在安置於基底內及/或基底上的各字元線與對應半導體元件(例如電晶體)之間形成電性連接。
在積體晶片的製造期間,上部導電通孔在字元線之後形成,以使得字元線與對應半導體元件之間的電性路徑在字元線的製造之後形成。累積在字元線中(例如歸因於反應性離子蝕刻)的電荷載子(例如電子)可在於各字元線的頂部表面上方形成上部導電通孔之前分散。舉例而言,在形成上部導電通孔之前,可對字元線執行電荷載子移除製程以移除累積的電荷載子。此可減少半導體元件的擊穿。此外,由於下部導電通孔及下部導電線與各字元線的底部表面偏移,因此在字元線與下部導電通孔之間的 電流腐蝕可能不發生。因此,此減少字元線及/或記憶胞的剝離,藉此增加交叉點記憶陣列的效能(例如良率)。
圖1至圖3示出具有安置於多個字元線120與多個位元線128之間的多個一選擇器-一記憶胞(1S1MC)堆疊126的記憶體元件100的一些實施例的各種視圖。圖3示出記憶體元件100的一些實施例的俯視圖。圖1示出沿圖3的線A-A'截取的記憶體元件100的一些實施例的橫截面視圖。圖2示出沿圖3的線B-B'截取的記憶體元件100的一些替代實施例的橫截面視圖。
記憶體元件100包含上覆於基底102的內連線結構104。半導體元件106安置於基底102內及/或基底102上方。在一些實施例中,半導體元件106可經配置為電晶體。在此類實施例中,半導體元件106可包含閘極結構110以及在閘極結構110的對置側上安置於基底102內的源極/汲極區108。在一些實施例中,閘極結構110包含上覆於閘極介電層的閘極電極。隔離結構112安置於相鄰半導體元件106之間的基底102內。內連線結構104包含內連線介電結構114、多個導電通孔116以及多個導電線118。導電通孔116及導電線118安置於內連線介電結構114內,且經配置以電性耦接彼此安置於記憶體元件100內的元件。
多個字元線120及多個位元線128安置於導電通孔116及導電線118的上部層與下部層之間的內連線介電結構114內。在一些實施例中,字元線120可稱為第一導電線,且位元線128可稱為第二導電線。多個字元線120各自在第一方向上(例如沿x軸)橫向延伸。在各種實施例中,字元線120彼此平行佈置。此外,多個位元線128各自在橫向於第一方向的第二方向上(例如 沿y軸)橫向延伸。在一些實施例中,位元線128彼此平行佈置。在其他實施例中,第一方向與第二方向正交。在一些實施例中,字元線120及位元線128可例如分別為或包括銅、鋁、鎢、氮化鈦、氮化鉭、另一合適的導電材料或前述的任何組合。在其他實施例中,字元線120及位元線128可例如分別包括單一材料,諸如鎢。
多個1S1MC堆疊126安置於多個字元線120與多個位元線128之間。在各種實施例中,1S1MC堆疊126以具有多個列及多個行的陣列來佈置。在一些實施例中,個別字元線120及個別位元線128耦接至各個別1S1MC堆疊126。在其他實施例中,相應字元線120耦接至1S1MC堆疊126的相應列。在又其他實施例中,相應位元線128耦接至1S1MC堆疊126的相應行。
各1S1MC堆疊126包含上覆於臨限值選擇器122的記憶胞124。記憶胞124經配置以儲存資料,且可為非揮發性記憶胞或揮發性記憶胞。在一些實施例中,記憶胞124可為經配置以基於資料儲存結構的電阻狀態來儲存資料的電阻式切換記憶胞(例如電阻式隨機存取記憶體(RRAM)胞元、相變隨機存取記憶體(PCRAM)胞元、金屬陽離子RRAM等)。舉例而言,資料儲存結構可具有與第一資料狀態(例如二進位「0」)相關聯的高電阻狀態或與第二資料狀態(例如二進位「1」)相關聯的低電阻狀態。在一些實施例中,資料儲存結構可包括例如硫屬化物、氧化物、氮化物、高k介電質、一些其他合適的介電質或前述的任何組合。在其他實施例中,記憶胞124可為磁阻式隨機存取記憶體(MRAM)胞元。在此實施例中,資料儲存結構可包括經配置以基於MTJ的 磁性定向來儲存資料的磁性穿隧接面(magnetic tunnel junction;MTJ)。另外,臨限值選擇器122經配置以視橫跨臨限值選擇器122施加的電壓而在低電阻狀態與高電阻狀態之間切換。舉例而言,若橫跨臨限值選擇器122施加的電壓小於臨限電壓,則臨限值選擇器122可處於高電阻狀態,且若橫跨臨限值選擇器122的電壓大於臨限電壓,則臨限值選擇器122可具有低電阻狀態。
在一些實施例中,內連線介電結構114在各字元線120的對置外部側壁之間沿各字元線120的底部表面120bs連續延伸。因此,安置於內連線結構104的下部區104lr中的導電通孔116及導電線118與各字元線120的底部表面120bs偏移。在一些實施例中,底部表面120bs不直接接觸導電通孔116中的任一者,及/或與導電通孔116完全隔開。在一些實施例中,底部表面120bs貫穿整個底部表面120bs直接接觸內連線介電結構114。此外,上部導電線118u及上部導電通孔116u上覆於多個位元線128及字元線120。上部導電通孔116u自上部導電線118u連續延伸至相應字元線120的頂部表面120ts。因此,字元線120可藉助於上部導電通孔116u電性耦接至相應半導體元件106。
在一些實施例中,在記憶體元件100的製造期間,用於形成字元線120的製程可包含:將導電材料(例如鎢)沉積於內連線結構104的下部區104lr上方;以及隨後對導電材料執行乾式蝕刻以定義字元線120。乾式蝕刻可包含使導電材料暴露於電漿,以使得電荷載子(例如電子)累積在字元線120中。由於上部導電通孔116u在形成字元線120之後形成,因此累積在字元線120中的電荷載子可在於字元線120與相應半導體元件106之間形成 電性路徑之前分散。此可降低半導體元件106中的閘極介電層擊穿的可能性。另外,在一些實施例中,內連線結構104的下部區104lr內的導電通孔116及導電線118與各字元線120的底部表面120bs偏移及/或完全隔開。此防止在內連線結構104的下部區104lr內的字元線120與導電通孔116及導電線118之間的電流腐蝕。因此,減少字元線120、1S1MC堆疊126及/或位元線128的剝離,藉此增加記憶體元件100的效能。
如圖3的俯視圖中所示出,字元線120沿第一方向(例如x軸)連續延伸且彼此平行。此外,位元線128沿第二方向(例如y軸)延伸且彼此平行。在一些實施例中,第一方向與第二方向正交。另外,一或多個上部導電通孔116u直接上覆於對應字元線120及對應位元線128。
圖4A示出包含安置於多個字元線120與多個位元線128之間的多個一選擇器-一記憶胞(1S1MC)堆疊126的積體晶片400的一些實施例的橫截面視圖。在一些實施例中,圖4A的橫截面視圖可沿x-z平面截取。在其他實施例中,圖4A示出沿圖3的線A-A'截取的記憶體元件100的一些替代方案的橫截面視圖。
積體晶片400包含上覆於基底102的內連線結構104。在一些實施例中,基底102可例如為或包括單晶矽/CMOS塊、矽-鍺(silicon-germanium;SiGe)、絕緣層上矽(silicon on insulator;SOI)或另一合適的基底材料,且/或可包括第一摻雜類型(例如p型)。內連線結構104包含多個導電通孔116、多個導電線118以及內連線介電結構。在一些實施例中,內連線介電結構包含多個層間介電(inter-level dielectric;ILD)層402、蝕刻終止層404 以及鈍化層406、鈍化層408。在一些實施例中,多個導電通孔116及導電線118可例如分別為或包括鋁、銅、氮化鈦、氮化鉭、另一合適的導電材料或前述的任何組合。在其他實施例中,多個ILD層402可例如分別為或包括諸如二氧化矽的氧化物、低k介電材料、另一合適的介電材料或前述的任何組合。在又其他實施例中,蝕刻終止層404可例如為或包括二氧化矽、低k介電材料、氮化矽、碳化矽、極低k(extreme low k;ELK)介電材料、另一合適的介電材料或前述的任何組合。在各種實施例中,鈍化層406、鈍化層408可例如分別為或包括二氧化矽、氮氧化矽、碳氧化矽、氮化矽、碳化矽、另一合適的介電材料或前述的任何組合。
多個半導體元件106安置於基底102內及/或基底102上方。在一些實施例中,半導體元件106可例如經配置為電晶體或另一合適的半導體元件。在此類實施例中,半導體元件106可包含對應源極/汲極區108、對應閘極結構110以及對應閘極頂蓋層401。在一些實施例中,源極/汲極區108安置於基底102內,且可包括與第一摻雜類型(例如p型)相對的第二摻雜類型(例如n型)。在其他實施例中,閘極結構110可包含上覆於對應閘極介電層的對應閘極電極。在各種實施例中,閘極電極可例如為或包括金屬(諸如鋁、鎢、鈦、前述的任何組合或類似物)、多晶矽、另一合適的導電材料或前述的任何組合。在其他實施例中,閘極介電層可例如為或包括二氧化矽、高k介電材料、另一合適的介電材料或前述的任何組合。閘極頂蓋層401為導電的,且可例如為或包括鉭、鈦、矽化物、另一合適的材料或前述的任何組合。此外,隔離結構112安置於基底102內,且可橫向包圍對應半導體 元件106。在一些實施例中,隔離結構112可例如經配置為淺溝渠隔離(shallow trench isolation;STI)結構、深溝渠隔離(deep trench isolation;DTI)結構或另一合適的隔離結構。在其他實施例中,隔離結構112可例如為或包括二氧化矽、氮化矽、碳化矽、另一合適的介電材料或前述的任何組合。
多個字元線120及多個位元線128安置於上覆於內連線結構104的下部區104lr的上部ILD層402u內。在一些實施例中,蝕刻終止層404沿下部區104lr中的最頂部ILD層402的頂部表面連續延伸。蝕刻終止層404的頂部表面404ts沿各字元線120的底部表面120bs連續且橫向地延伸。在一些實施例中,蝕刻終止層404的頂部表面404ts沿各字元線120的底部表面120bs沿不間斷路徑連續延伸,且/或直接接觸各字元線120的整個底部表面120bs。在又其他實施例中,蝕刻終止層404經配置以使字元線120與安置於內連線結構104的下部區104lr內的導電通孔116及導電線118分離(例如完全分離)。在各種實施例中,安置於內連線結構104的下部區104lr內的導電通孔116及導電線118與各字元線120的底部表面120bs偏移非零距離。
在其他實施例中,蝕刻終止層404可例如為或包括諸如二氧化矽的氧化物、低k介電材料、另一介電材料或前述的任何組合,且/或可具有約100埃至1,000埃的範圍內或小於約1,000埃的厚度t1。將瞭解,厚度t1的其他值在本揭露的範疇內。在一些實施例中,蝕刻終止層404的頂部表面404ts為實質上平坦的(例如在化學機械平坦化(chemical mechanical planarization;CMP)製程的容差內的平坦頂部表面)。舉例而言,在一些實施例中,在 任何點處,自位於蝕刻終止層404的頂部表面404ts與字元線120的底部表面120bs之間的水平線407,蝕刻終止層404的頂部表面404ts的高度在厚度t1的約-5%至+5%的範圍內變化。舉例而言,若厚度t1為約1,000埃,則自水平線407,蝕刻終止層404的頂部表面404ts的高度在約-50埃至+50埃的範圍內變化。在其他實施例中,在任何點處,自水平線407,蝕刻終止層404的頂部表面404ts的高度在約-5埃至+5埃的範圍內變化。
在一些實施例中,在製造期間,字元線120沿蝕刻終止層404的頂部表面404ts沉積。因此,由於蝕刻終止層404的頂部表面404ts為實質上平坦的,因此字元線120的底部表面120bs可為實質上平坦的。在一些實施例中,字元線120包括單一材料,諸如鎢。單一材料可具有相對較高的硬度,以使得蝕刻終止層404的實質上平坦的頂部表面404ts防止字元線120中的應力。此部分地減輕字元線120與上覆於字元線120的結構(例如位元線128及/或1S1MC堆疊126)的剝離。
多個1S1MC堆疊126安置於多個字元線120與多個位元線128之間,以使得1S1MC堆疊126上覆於對應字元線120的頂部表面120ts。在各種實施例中,1S1MC堆疊126以具有多個列及多個行的陣列來佈置。在一些實施例中,個別字元線120及個別位元線128耦接至各個別1S1MC堆疊126。在其他實施例中,相應字元線120耦接至1S1MC堆疊126的相應列。在又其他實施例中,相應位元線128耦接至1S1MC堆疊126的相應行。各1S1MC堆疊126包含上覆於臨限值選擇器122的記憶胞124。記憶胞124經配置以儲存資料,且可為非揮發性記憶胞或揮發性記憶胞。
上部導電線118u及上部導電通孔116u安置於上部ILD層402u內。在一些實施例中,上部導電線118u豎直地安置於位元線128上方。上部導電通孔116u自上部導電線118u延伸至安置於內連線結構104的下部區104lr內的字元線120、位元線128及/或導電線118。因此,上部導電通孔116u經配置以將字元線120及/或位元線128電性耦接至半導體元件106及/或另一積體晶片(未示出)。藉助於接觸字元線120的頂部表面120ts而非接觸字元線120的底部表面120bs的上部導電通孔116u,可減小對半導體元件106(例如歸因於字元線120中的所累積電荷載子)及/或字元線120(例如歸因於字元線120的剝離)的損害。此轉而增加積體晶片400的效能。
鈍化層406與鈍化層408上覆於上部ILD層402u以及上部導電通孔116u及上部導電線118u。此外,接合焊墊405安置於第一鈍化層406內,且上覆於對應上部導電線118u。在一些實施例中,接合焊墊405可延伸穿過第二鈍化層408,且/或可包括在視野之外的開口(例如參見圖4C)。此外,第二鈍化層408上覆於第一鈍化層。接合焊墊405可經配置以將導電線116及導電通孔118電性耦接至安置於另一積體晶片(未示出)上的其他半導體元件。
圖4B示出圖4A的積體晶片400的一些替代實施例的橫截面視圖。在一些實施例中,圖4B的橫截面視圖可沿與x-z平面正交的y-z平面截取。在其他實施例中,圖4B示出沿圖3的線B-B'截取的記憶體元件100的一些替代方案的橫截面視圖。
如圖4B的橫截面視圖中所示出,在一些實施例中,記憶 胞層409沿各位元線128的底部表面128bs安置。在此類實施例中,記憶胞層409安置於位元線128與臨限值選擇器122的對應行之間。在其他實施例中,記憶胞124經定義於安置在位元線128與對應臨限值選擇器122之間的記憶胞層409的區域中。在又其他實施例中,記憶胞層409包含安置於位元線128與臨限值選擇器122之間的資料儲存結構。在一些實施例中,資料儲存結構可包括例如硫屬化物、氧化物、氮化物、高k介電質或一些其他合適的介電質。
舉例而言,在一些實施例中,記憶胞124可各自經配置為RRAM胞元。在此類實施例中,記憶胞層409具有資料儲存結構,所述資料儲存結構可例如為或包括氧化金及/或氧化鉿、氧化銅及氧化鉿、氧化鋁及氧化鉿、氧化砷及氧化鉿、氧化金碲及氧化鉿、氧化矽、三氧化鈦、氧化鋁(例如Al2O3)、氧化鉭、氧化鋯或另一合適的材料。在此類實施例中,藉由將適當偏置條件應用於位元線128及字元線120,各記憶胞124可在具有低電阻的第一狀態與具有高電阻的第二狀態之間切換。在其他實施例中,在第一狀態下,可在安置於記憶胞層409下方的位元線128與對應臨限值選擇器122之間的記憶胞層409的資料儲存結構中形成導電細絲。在一些實施例中,導電細絲可經限制於示出對應記憶胞124的位置的虛線框內,藉此確保相鄰記憶胞124的資料狀態彼此隔離。在又其他實施例中,在第二狀態下,導電細絲的至少部分不在位元線128與對應臨限值選擇器122之間的記憶胞層409中形成。各記憶胞124可在如上文所描述的第一狀態與第二狀態之間獨立切換。
圖4C示出圖4A的積體晶片400的一些替代實施例的橫截面視圖,其中接合焊墊405安置於第一鈍化層406及第二鈍化層408內。在一些實施例中,接合焊墊405可例如包括鋁,且/或可藉由接觸線(未示出)電性耦接至另一積體晶片。將瞭解,包括另一材料的接合焊墊405亦在本揭露的範疇內。
圖5示出包括上覆於基底102的第一記憶陣列501及第二記憶陣列503的三維(3D)記憶陣列500的一些替代實施例的橫截面視圖。
第一記憶陣列501及第二記憶陣列503經堆疊以使得第二記憶陣列503上覆於第一記憶陣列501且與第一記憶陣列501隔開。在一些實施例中,第一記憶陣列501包括安置於多個字元線120與多個位元線128之間的多個一選擇器-一記憶胞(1S1MC)堆疊126。1S1MC堆疊126各自包括上覆於臨限值選擇器122的記憶胞124。此外,第二記憶陣列503包括安置於多個位元線128與多個上部字元線506之間的多個上部1S1MC堆疊508。在一些實施例中,多個上部字元線506與字元線120平行延伸,且/或包括與字元線120相同的材料。在一些實施例中,多個上部1S1MC堆疊508包括上覆於對應上部臨限值選擇器502的對應上部記憶胞504。在一些實施例中,上部記憶胞504可經配置為圖1、圖2、圖4A、圖4B或圖4C的記憶胞124。在其他實施例中,上部臨限值選擇器502可經配置為圖1、圖2、圖4A、圖4B或圖4C的臨限值選擇器122。
圖6A示出包含自積體晶片602延伸的多個電連接件604的封裝結構600的一些實施例的俯視圖。在一些實施例中,積體 晶片602可經配置為圖1至圖3的記憶體元件100。在此類實施例中,多個1S1MC堆疊(圖1至圖3的1S1MC堆疊126)橫跨記憶體區606橫向安置。在其他實施例中,記憶體區606橫跨積體晶片602的大部分區域連續延伸。在又其他實施例中,電連接件604經配置以將安置於記憶體區606內的元件電性耦接至另一積體晶片(未示出)。在一些實施例中,積體晶片602上的半導體區僅有記憶體區606,且/或所述記憶體區亦可稱為獨立式記憶體區。
圖6B示出包含自積體晶片602延伸的多個電連接件604的封裝結構607的一些實施例的俯視圖。在一些實施例中,積體晶片602包含彼此橫向鄰接地安置於單個基底(例如圖1及圖2的基底102)上方的記憶體區606、中央處理單元(central processing unit;CPU)區608、靜態隨機存取記憶體(static random-access memory;SRAM)區610以及類比區612。在此類實施例中,記憶體區606亦可稱為嵌入式記憶體區。在一些實施例中,多個1S1MC堆疊(圖1至圖3的1S1MC堆疊126)、字元線(圖1至圖3的字元線120)以及位元線(圖1至圖3的位元線128)橫向地安置於嵌入式記憶體區606內。此外,CPU元件(未示出)橫向地安置於CPU區608內,SRAM元件(未示出)橫向地安置於SRAM區610內,且類比元件(未示出)橫向地安置於類比區612內。在此類實施例中,導電通孔(圖1至圖3的導電通孔116)及導電線(圖1至圖3的導電線118)經配置以使安置於記憶體區606、CPU區608、SRAM區610以及類比區612內的元件彼此電性耦接。此外,電連接件604可電性耦接至導電通孔(圖1至圖3的導電通孔116)及導電線(圖1至圖3的導電線118)。
圖7示出包含安置於多個位元線128與多個字元線120之間的多個1S1MC堆疊126的記憶體元件700的一些實施例的透視圖。
在一些實施例中,1S1MC堆疊126包含上覆於對應臨限值選擇器122的對應記憶胞124。在一些實施例中,1S1MC堆疊126包含下部電極702、中間電極706、安置於下部電極702與中間電極706之間的臨限值選擇器層704、上部電極710以及安置於中間電極706與上部電極710之間的資料儲存結構708。下部電極702、中間電極706以及臨限值選擇器層704定義臨限值選擇器122。中間電極706、上部電極710以及資料儲存結構708定義記憶胞124。在一些實施例中,上部電極702、中間電極706以及下部電極710可例如分別為或包括鎢、鈦、鉭、另一導電材料或前述的任何組合。
1S1MC堆疊126佈置於包括列及/或行的記憶陣列內。記憶陣列的列內的1S1MC堆疊126可操作地耦接至字元線120,而記憶陣列的行內的1S1MC堆疊126可操作地耦接至位元線128。此導致多個1S1MC堆疊126分別與由字元線與位元線的交點定義的位址相關聯。在一些實施例中,記憶陣列耦接至支援電路,所述支援電路經配置以藉助於上部導電通孔116u自多個1S1MC堆疊126讀取及/或寫入至多個1S1MC堆疊126。在一些實施例中,支援電路包括位元線(bit line;BL)解碼器(未示出)、控制單元(未示出)、字元線(word line;WL)解碼器(未示出)及/或存取元件(未示出)。在一些實施例中,控制單元為微處理器單元。在其他實施例中,存取元件可為半導體元件(例如圖1至圖2的半 導體元件106)。
在一些實施例中,在記憶體元件700的操作期間,控制單元可將位址供應至WL解碼器及/或BL解碼器。所述位址與記憶陣列內的單個1S1MC堆疊126相關聯。WL解碼器經配置以基於所接收位址將訊號(例如電流及/或電壓)選擇性施加至字元線120中的一或多者。另外,BL解碼器經配置以基於所接收位址將訊號(例如電流及/或電壓)選擇性施加至位元線128中的一或多者。舉例而言,在記憶體元件700的讀取操作期間,BL解碼器經配置以將讀取電壓施加至多個位元線128中的一者,以使得可(例如在源極線處)存取至少一個1S1MC堆疊126的輸出。在其他實施例中,在寫入操作期間,WL解碼器經配置以將寫入電壓施加至多個字元線120中的至少一者,以使得可設定及/或改變至少一個1S1MC堆疊126的電阻值。
圖8至圖19示出用於形成具有安置於內連線結構中的上覆位元線與下伏字元線之間的多個記憶胞的記憶體元件的方法的一些實施例的橫截面視圖800至橫截面視圖1900,其中上部導電通孔自位元線上方延伸至對應字元線的頂部表面。雖然參考方法來描述圖8至圖19中所示的橫截面視圖800至橫截面視圖1900,但將瞭解,圖8至圖19中所示的結構不限於所述方法,而是可與所述方法單獨分離。儘管將圖8至圖19描述為一系列動作,但將瞭解,這些動作並非限制性的,而在其他實施例中,可改變動作的次序,且所揭露的方法亦適用於其他結構。在其他實施例中,可全部或部分地省略所示出及/或描述的一些動作。
如圖8的橫截面視圖800中所示,提供基底102,且在基 底102內形成隔離結構112。在一些實施例中,基底102可例如為塊狀基底(例如塊狀矽基底)、絕緣層上矽(SOI)基底或一些其他合適的基底,且/或可包括第一摻雜類型(例如p型)。在一些實施例中,用於形成隔離結構112的製程可包含:1)選擇性蝕刻基底102以在基底102中形成溝渠;以及2)用介電材料(例如二氧化矽、氮化矽、碳化矽等)(例如藉由化學氣相沉積(chemical vapor deposition;CVD)、物理氣相沉積(physical vapor deposition;PVD)、原子層沉積(atomic layer deposition;ALD)、熱氧化等)來填充溝渠。在其他實施例中,藉由在基底102上方形成遮罩層(未示出),且隨後將基底102暴露於經配置以選擇性移除基底102的未遮蔽部分的蝕刻劑來選擇性蝕刻基底102。
同樣繪示於圖8中,在基底102上方及/或基底102內形成半導體元件106。在一些實施例中,半導體元件106經配置為電晶體。在此類實施例中,用於形成半導體元件106的製程可包含在基底102的頂部表面上(例如藉由CVD、PVD、ALD、熱氧化等)沉積及/或生長閘極介電層。接著,可將閘極電極層沉積於閘極介電層上。隨後,閘極介電層及電極層分別經圖案化(例如藉由光微影/蝕刻製程)以形成閘極介電質及閘極電極,藉此定義閘極結構110。在其他實施例中,閘極頂蓋層401在閘極結構110的閘極電極上方形成及/或生長。此外,在閘極結構110的對置側上形成源極/汲極區108,藉此定義半導體元件106。在一些實施例中,源極/汲極區108可藉由選擇性離子植入來形成,所述選擇性離子植入利用安置於基底102的頂部表面上的遮罩層(未示出)以將具有第二摻雜類型的摻雜物(例如n型摻雜物)選擇性植入 至基底102中。在一些實施例中,第二摻雜類型與第一摻雜類型相對。在一些實施例中,閘極電極層可包括例如多晶矽、鋁、鈦、另一合適的導電材料或前述的任何組合。在其他實施例中,閘極介電層可包括例如二氧化矽、另一合適的氧化物、高k介電材料、另一合適的介電材料或前述的任何組合。在又其他實施例中,閘極頂蓋層401可包括例如鉭、鈦、氮化鈦、氮化鉭、另一合適的材料或前述的任何組合。
另外,如圖8中所示,在基底102上方形成內連線結構104的下部區104lr。內連線結構104的下部區104lr包含多個層間介電質(ILD)層402、多個導電通孔116以及多個導電線118。在一些實施例中,ILD層402可例如藉由CVD、PVD、ALD或另一合適的生長或沉積製程來沉積。此外,ILD層402可例如為或包括二氧化矽、低k介電材料、另一合適的材料或前述的任何組合。此外,在一些實施例中,多個導電通孔116及多個導電線118可藉由單金屬鑲嵌製程或雙金屬鑲嵌製程而形成。在其他實施例中,多個導電通孔116及/或導電線118可例如分別為或包括銅、鋁、氮化鈦、氮化鉭、另一合適的導電材料或前述的任何組合。
如圖9的橫截面視圖900中所示,蝕刻終止層404沿內連線結構104的下部區104lr中的最頂部ILD層402的上部表面形成。在一些實施例中,蝕刻終止層404可例如藉由CVD、PVD、ALD或另一合適的生長或沉積製程而形成。在其他實施例中,蝕刻終止層404可例如為或包括氮化矽、碳化矽、氮氧化矽、碳氧化矽、極低k(ELK)介電材料、另一合適的介電材料或前述的任何組合。ELK介電材料可例如為具有小於約2.5、約2.0或一些其 他合適值的介電常數的介電質。
在其他實施例中,用於形成蝕刻終止層404的製程可包含:1)在內連線結構104的下部區104lr中的最頂部ILD層402上方(例如藉由CVD製程)沉積介電材料(例如氧化物,諸如二氧化矽、低k介電材料等);以及2)對介電材料執行平坦化製程(例如CMP製程)以薄化蝕刻終止層404且平坦化或實質上平坦化蝕刻終止層404的頂部表面404ts。在一些實施例中,經平坦化或實質上經平坦化的頂部表面在CMP製程的容差內。在一些實施例中,沉積介電材料,以使得在平坦化製程之前,蝕刻終止層404的初始厚度ti在約3,000埃至5,000埃的範圍內。將瞭解,初始厚度ti的其他值亦在本揭露的範疇內。在一些實施例中,在平坦化製程之後,蝕刻終止層404具有約1,000埃或在約100埃至1,000埃的範圍內的厚度t1。將瞭解,厚度t1的其他值亦在本揭露的範疇內。在一些實施例中,在任何點處,自沿蝕刻終止層404的頂部表面404ts定位的水平線407,蝕刻終止層404的頂部表面404ts的高度在厚度t1的約-5%至+5%的範圍內變化。舉例而言,若厚度t1為約1,000埃,則自水平線407,蝕刻終止層404的頂部表面404ts的高度在約-50埃至+50埃的範圍內變化。在其他實施例中,在任何點處,自水平線407,蝕刻終止層404的頂部表面404ts的高度在約-5埃至+5埃的範圍內變化。將瞭解,自水平線407變化的蝕刻終止層404的頂部表面404ts的高度的其他值亦在本揭露的範疇內。
如圖10的橫截面視圖1000中所示,字元線層1002沉積於蝕刻終止層404上方,且臨限值選擇器層1004沿字元線層1002 沉積。在一些實施例中,字元線層1002及/或臨限值選擇器層1004藉由例如CVD、PVD、ALD或另一合適的沉積或生長製程來沉積。在其他實施例中,字元線層1002可例如為或包括銅、鋁、鎢、氮化鈦、氮化鉭、另一合適的導電材料或前述的任何組合。
在一些實施例中,字元線層1002沿蝕刻終止層404的頂部表面404ts沉積,藉此確保字元線層1002的頂部表面1002ts為實質上平坦的(例如在CMP製程的容差內的平坦頂部表面)。在一些實施例中,字元線層1002可包括單一材料,諸如鎢。單一材料可具有相對較高的硬度,以使得蝕刻終止層404的實質上平坦的頂部表面404ts防止字元線層1002中的應力。此部分地減輕字元線層1002與在字元線層1002上方形成的層及/或結構(例如臨限值選擇器層1004)的剝離。
如圖11的橫截面視圖1100中所示,對字元線層(圖10的字元線層1002)及臨限值選擇器層(圖10的臨限值選擇器層1004)執行圖案化製程,藉此定義字元線120及臨限值選擇器線1102。在一些實施例中,圖案化製程包含:1)在臨限值選擇器層(圖10的臨限值選擇器層1004)上方形成遮罩層(未示出);2)根據遮罩層對臨限值選擇器層(圖10的臨限值選擇器層1004)及字元線層(圖10的字元線層1002)執行乾式蝕刻製程,藉此定義字元線120及臨限值選擇器線;3)以及執行移除製程以移除遮罩層。在一些實施例中,如圖1至圖3中所示出及描述,字元線層(圖10的字元線層1002)經圖案化以使得形成各自在第一方向上(例如沿x軸)橫向延伸的多個字元線120。在此類實施例中,字元線120彼此平行佈置。
在一些實施例中,乾式蝕刻製程包含用電漿執行反應性離子蝕刻。歸因於反應性離子蝕刻及/或電漿的電力,可將電荷載子1104(例如電子)注入至字元線120中。歸因於字元線120的相對較長的長度,大量電荷載子1104可堆積在各字元線120中。由於內連線結構104的下部區104lr內的導電線118及導電通孔116與字元線120的底部表面120bs偏移,因此字元線120與半導體元件106電性隔離。此防止字元線120內的大量電荷載子1104行進至半導體元件106,藉此防止半導體元件106擊穿。另外,由於下部區104lr內的導電通孔116及導電線118與字元線120的底部表面120bs偏移,因此在字元線120與導電通孔116及導電線118之間可能不發生電流腐蝕。此進一步減輕字元線120與隨後在字元線120上方形成的層及/或結構的剝離。
如圖12的橫截面視圖1200中所示,在字元線120及臨限值選擇器線1102周圍形成介電結構1202。在一些實施例中,用於形成介電結構1202的製程包含:1)在字元線120及臨限值選擇器線1102上方(例如藉由CVD、PVD、ALD等)沉積介電材料(例如二氧化矽、低k介電材料或類似物);以及2)對介電材料執行平坦化製程(例如CMP製程)直至到達臨限值選擇器線1102的上部表面為止,藉此定義介電結構1202。
如圖13的橫截面視圖1300中所示,在臨限值選擇器線1102上方形成記憶胞膜1302,且在記憶胞膜1302上方形成位元線層1304。在一些實施例中,記憶胞膜1302可藉由例如一或多種沉積製程而形成,所述一或多種沉積製程諸如PVD、ALD、濺鍍、CVD、無電式鍍覆鍍、電鍍或另一合適的沉積或生長製程。在其 他實施例中,位元線層1304可例如藉由CVD、PVD、ALD、濺鍍或另一合適的沉積或生長製程而形成。在一些實施例中,位元線層1304可例如為或包括鋁、銅、氮化鈦、氮化鉭、鎢、另一合適的導電材料或前述的任何組合。
如圖14的橫截面視圖1400中所示,對圖13的結構執行圖案化製程,藉此定義多個一選擇器-一記憶胞(1S1MC)堆疊126及多個位元線128。在一些實施例中,圖4B示出圖14的橫截面視圖1400的正交視圖的替代實施例。舉例而言,圖3可示出圖14的俯視圖,以使得圖14的橫截面視圖1400為沿圖3的線A-A'所截取,且圖4B的橫截面視圖可為沿圖3的線B-B'所截取。各1S1MC堆疊126包含上覆於臨限值選擇器122的記憶胞124。在一些實施例中,1S1MC堆疊126包含各自具有多個記憶胞124的記憶胞層(例如圖4B的記憶胞層409),以及下伏於記憶胞層(例如圖4B的記憶胞層409)的臨限值選擇器122。多個1S1MC堆疊126安置於字元線120與多個位元線128之間。多個位元線128各自在橫向於第一方向的第二方向上(例如沿y軸)橫向延伸。在一些實施例中,圖案化製程包含:1)在位元線層(圖13的位元線層1304)上方形成遮罩層(未示出);2)將位元線層(圖13的位元線層1304)、記憶胞膜(圖13的記憶胞膜1302)、臨限值選擇器線(圖13的臨限值選擇器線1102)以及介電結構1202的未遮蔽區域暴露於一或多種蝕刻劑,藉此定義多個1S1MC堆疊126及多個位元線128;以及3)執行移除製程以移除遮罩層。
在其他實施例中,對圖13的結構執行圖案化製程可包含用電漿執行反應性離子蝕刻。歸因於反應性離子蝕刻及/或電漿的 電力,可將額外電荷載子1104注入至字元線120中。
如圖15的橫截面視圖1500中所示出,在複數個1S1MC堆疊126上方形成上部ILD層402u。在一些實施例中,用於形成上部ILD層402u的製程包含:1)在1S1MC堆疊126上方沉積(例如藉由PVD、CVD等)介電材料(例如二氧化矽、低k介電材料等);以及2)對介電材料執行平坦化製程(例如CMP製程),藉此定義上部ILD層402u。在一些實施例中,在相鄰1S1MC堆疊126之間形成上部ILD層402u,藉此促進相鄰1S1MC堆疊126之間的電性隔離。
在其他實施例中,在形成上部ILD層402u之前,對字元線120執行電荷載子移除製程以自字元線120移除電荷載子(圖14的電荷載子1104)。在一些實施例中,電荷載子移除製程可包含將字元線120暴露於紫外(ultra-violet;UV)光以自字元線120逐出電荷載子(圖14的電荷載子1104)、將字元線120電性耦接至接地以使得自字元線120移除電荷載子(圖14的電荷載子1104)、另一合適的電荷載子移除製程或前述的任何組合。在一些實施例中,將字元線120暴露於UV光可經由光電效應自字元線120移除電荷載子(圖14的電荷載子1104)。
如圖16的橫截面視圖1600中所示,對上部ILD層402u及蝕刻終止層404執行圖案化製程以定義多個導電特徵開口1602。在一些實施例中,圖案化製程包含:1)在上部ILD層402u的上部表面上方形成遮罩層(未示出);2)將上部ILD層402u及蝕刻終止層404的未遮蔽區域暴露於一或多種蝕刻劑,藉此定義多個導電特徵開口1602;以及3)執行移除製程以移除遮罩層。 在一些實施例中,多個導電特徵開口1602各自包含上覆於導電通孔開口的導電線開口。此外,導電特徵開口1602暴露出字元線120的頂部表面120ts的至少部分。
如圖17的橫截面視圖1700中所示,在導電特徵開口(圖16的導電特徵開口1602)內形成多個上部導電通孔116u及多個上部導電線118u。在一些實施例中,用於形成上部導電通孔116u及上部導電線118u的製程包含:1)將導電結構(例如藉由CVD、PVD、濺鍍、無電式鍍覆鍍、電鍍等)沉積於導電特徵開口(圖16的導電特徵開口1602)內;以及2)對導電結構執行平坦化製程(例如CMP製程)直至到達上部ILD層402u的上部表面為止,藉此定義上部導電通孔116u及上部導電線118u。在其他實施例中,上部導電通孔116u直接上覆於字元線120的頂部表面120ts,且自上部導電線118u連續延伸以鄰接字元線120的頂部表面120ts。在一些實施例中,上部導電通孔116u直接接觸字元線120的頂部表面120ts。在又其他實施例中,上部導電線118u電性耦接至安置於內連線結構104的下部區104lr內的導電通孔116及/或導電線118。此外,在一些實施例中,上部導電通孔116u鄰接各位元線128(未示出)(例如參見圖2至圖3)的上部表面。藉助於在字元線120之後形成的導電通孔116u,在形成位元線128之後,在字元線120與對應半導體元件106之間形成導電路徑。在一些實施例中,累積在字元線120中的電荷載子(例如電子)可在形成導電路徑(例如藉由圖15中在形成導電路徑之前所描述的執行電荷載子移除製程)之前分散。此減少半導體元件106的擊穿。
如圖18的橫截面視圖1800中所示,在上部ILD層402u上方形成第一鈍化層406,且在第一鈍化層406內形成多個接合焊墊405。在對應上部導電線118u上方形成接合焊墊405。在一些實施例中,第一鈍化層406可例如藉由CVD、PVD、ALD或另一合適的沉積製程來沉積。在其他實施例中,接合焊墊405可例如藉由CVD、PVD、濺鍍、無電式鍍覆、電鍍或另一合適的沉積或生長製程而形成。
如圖19的橫截面視圖1900中所示,在第一鈍化層406上方形成第二鈍化層408。在一些實施例中,第二鈍化層408可例如藉由CVD、PVD、ALD或另一合適的沉積製程而形成。
圖20示出根據本揭露的用於形成具有安置於內連線結構中的上覆位元線與下伏字元線之間的多個記憶胞的記憶體元件的一些實施例的方法2000,其中上部導電通孔自位元線上方延伸至對應字元線的頂部表面。雖然將方法2000示出及/或描述為一連串動作或事件,但將瞭解,所述方法不限於所示出的次序或動作。因此,在一些實施例中,所述動作可以與所示出不同的順序進行及/或可同時進行。此外,在一些實施例中,所示出動作或事件可細分為可在不同時間進行或與其他動作或子動作同時進行的多個動作或事件。在一些實施例中,可省略一些所示出的動作或事件,且可包含其他未示出的動作或事件。
在動作2002處,在基底內及/或基底上方形成半導體元件。圖8示出對應於動作2002的一些實施例的橫截面視圖800。
在動作2004處,在半導體元件及基底上方形成內連線結構的下部區。內連線結構包括多個導電通孔及多個導電線。圖8 示出對應於動作2004的一些實施例的橫截面視圖800。
在動作2006處,沿內連線結構的下部區的上部表面形成蝕刻終止層。圖9示出對應於動作2006的一些實施例的橫截面視圖900。
在動作2008處,在蝕刻終止層上方形成字元線層,且在字元線層上方形成臨限值選擇器層。導電通孔及導電線與字元線層的底部表面偏移且/或完全隔開。圖10示出對應於動作2008的一些實施例的橫截面視圖1000。
在動作2010處,將字元線層及臨限值選擇器層圖案化以定義下伏於臨限值選擇器線的字元線。圖11示出對應於動作2010的一些實施例的橫截面視圖1100。
在動作2012處,在臨限值選擇器線上方形成記憶胞膜,且在記憶胞膜上方形成位元線層。圖13示出對應於動作2012的一些實施例的橫截面視圖1300。
在動作2014處,將位元線層、記憶胞膜以及臨限值選擇器線圖案化,藉此定義多個位元線及多個一選擇器-一記憶胞(1S1MC)堆疊。圖14示出對應於動作2014的一些實施例的橫截面視圖1400。
在動作2016處,在多個1S1MC堆疊上方形成上部層間介電(ILD)層。圖15示出對應於動作2016的一些實施例的橫截面視圖1500。
在動作2018處,將上部ILD層圖案化以在上部ILD層內定義多個導電特徵開口。導電特徵開口上覆於且暴露出字元線的頂部表面。圖16示出對應於動作2018的一些實施例的橫截面視 圖1600。
在動作2020處,在導電特徵開口內形成多個上部導電通孔及上部導電線。上部導電通孔上覆於且鄰接字元線的頂部表面,且部分地定義至半導體元件中的至少一者的導電路徑。圖17示出對應於動作2020的一些實施例的橫截面視圖1700。
因此,在一些實施例中,本揭露是關於一種具有安置於內連線結構中的上覆位元線與下伏字元線之間的多個記憶胞的記憶體元件,其中上部導電通孔自記憶胞上方延伸至對應字元線的頂部表面。
在一些實施例中,本申請案提供一種積體晶片,包含:基底;陣列,上覆於基底且包括呈多個列及多個行的多個記憶體堆疊,其中記憶體堆疊中的每一者包括具有可變電阻的資料儲存結構;多個字元線,安置於陣列之下且沿陣列的對應列延伸,其中字元線與對應列中的陣列的記憶體堆疊電性耦接;以及多個上部導電通孔,自記憶體堆疊的陣列上方延伸以接觸對應字元線的頂部表面。在一實施例中,積體晶片更包含上覆於基底的內連線介電結構,其中記憶體堆疊、字元線以及上部導電通孔安置於內連線介電結構內,且其中內連線介電結構橫跨整個底部表面直接接觸各字元線的底部表面。在一實施例中,各字元線的底部表面定義於對應字元線的第一外部側壁與對應字元線的第二外部側壁之間,其中第一外部側壁與第二外部側壁相對,且其中內連線介電結構沿不間斷路徑自第一外部側壁連續橫向延伸至第二外部側壁。在一實施例中,積體晶片更包含安置於陣列上方且沿陣列的對應行延伸的多個位元線,其中位元線與對應行中的陣列的記憶 體堆疊電性耦接。在一實施例中,多個上部導電通孔的頂部表面安置於位元線上方。在一實施例中,記憶體堆疊包含上覆於對應臨限值選擇器的對應記憶胞。在一實施例中,臨限值選擇器中的一者的底部表面沿下伏字元線的頂部表面安置。在一實施例中,字元線的寬度大於記憶體堆疊的寬度。在一實施例中,字元線包括第一材料,且上部導電通孔包括不同於第一材料的第二材料。
在一些實施例中,本申請案提供一種積體晶片,包含:基底;內連線結構,上覆於基底,其中內連線結構包含安置於介電結構內的多個導電線及多個導電通孔;記憶體堆疊陣列,安置於呈多個列及多個行的介電結構內,其中記憶體堆疊分別包含上覆於選擇器的記憶胞;多個位元線,安置於介電結構內且在第一方向上沿陣列的對應行延伸,其中位元線上覆於記憶體堆疊且與對應行中的陣列的記憶胞電性耦接;多個字元線,安置於介電結構內且在第二方向上沿陣列的對應列延伸,其中第二方向與第一方向正交,且其中字元線下伏於記憶體堆疊且與對應列中的陣列的記憶胞電性耦接;且其中各字元線的底部表面與導電通孔及導電線完全分離。在一實施例中,多個導電通孔包括自位元線上方延伸以直接接觸對應字元線的頂部表面的多個上部導電通孔。在一實施例中,介電結構包含安置於字元線與安置於字元線之下的導電通孔及導電線之間的蝕刻終止層,且其中蝕刻終止層的頂部表面直接接觸各字元線的整個底部表面。在一實施例中,蝕刻終止層的頂部表面為實質上平坦的。在一實施例中,內連線結構不含直接接觸字元線的底部表面的導電通孔。在一實施例中,積體晶片更包含:第二陣列,包括呈多個列及多個行的多個第二記憶 體堆疊,其中第二記憶體堆疊分別包括上覆於第二選擇器的第二記憶胞,其中位元線沿第二陣列的對應行延伸且與對應行中的第二陣列的第二記憶胞電性耦接;以及上部字元線,沿第二陣列的對應列延伸且與對應列中的第二陣列的第二記憶胞電性耦接,其中上部字元線在第二方向上延伸,且其中位元線安置於上部字元線與字元線之間。在一實施例中,導電通孔及導電線包括鋁及/或銅,且其中位元線及字元線包括鎢。
在一些實施例中,本申請案提供一種用於形成記憶體元件的方法,所述方法包含:在基底上方形成下部內連線結構,其中下部內連線結構包含安置於介電結構內的導電通孔及導電線;沿下部內連線結構的上部表面形成蝕刻終止層;在蝕刻終止層上方形成多個字元線以使得導電通孔及導電線與字元線的底部表面偏移,其中字元線沿第一方向延伸且彼此平行;沿字元線分別的頂部表面形成多個記憶體堆疊;在記憶體堆疊上方形成多個位元線以使得位元線沿與第一方向正交的第二方向延伸,其中位元線彼此平行;在位元線上方形成上部ILD層;以及在上部ILD層內形成多個上部導電通孔以使得上部導電通孔接觸對應字元線的頂部表面,其中上部導電通孔分別的頂部表面安置於位元線上方。在一實施例中,所述方法更包含:在基底上形成多個半導體元件,其中下部內連線結構內的導電通孔及導電線電性耦接至半導體元件;其中在形成記憶體堆疊及位元線之前,字元線與半導體元件電性隔離,且其中在形成上部導電通孔之後,字元線電性耦接至半導體元件。在一實施例中,形成蝕刻終止層包含:沿下部內連線結構的頂部表面沉積介電材料;以及對介電材料執行平坦化製 程,藉此定義具有實質上平坦頂部表面的蝕刻終止層。在一實施例中,形成多個字元線包含:沿蝕刻終止層的頂部表面沉積字元線層;以及對字元線層執行乾式蝕刻製程以定義多個字元線。
前文概述若干實施例的特徵,以使得所屬領域中具通常知識者可更佳地理解本揭露的態樣。所屬領域中具通常知識者應瞭解,其可易於使用本揭露作為設計或修改用於實現本文中所引入的實施例的相同目的及/或達成相同優點的其他製程及結構的基礎。所屬領域中具通常知識者亦應認識到,此類等效構造並不脫離本揭露的精神及範疇,且所屬領域中具通常知識者可在不脫離本揭露的精神及範疇的情況下在本文中作出各種改變、替代以及更改。
100:記憶體元件
102:基底
104:內連線結構
104lr:下部區
106:半導體元件
108:源極/汲極區
110:閘極結構
112:隔離結構
114:內連線介電結構
116:導電通孔
118:導電線
120:字元線
122:臨限值選擇器
124:記憶胞
126:一選擇器-一記憶胞堆疊
128:位元線
116u:上部導電通孔
118u:上部導電線
120bs:底部表面
120ts:頂部表面
A-A':線

Claims (8)

  1. 一種積體晶片,包括:基底;介電結構,上覆於所述基底且包括蝕刻終止層;第一記憶體堆疊,位於所述介電結構中;第二記憶體堆疊,位於所述介電結構中,其中所述第一記憶體堆疊與所述第二記憶體堆疊分別包括上覆於選擇器的記憶胞;第一位元線,位於所述介電結構中且上覆所述第一記憶體堆疊;第二位元線,位於所述介電結構中且上覆所述第二記憶體堆疊;以及字元線,位於所述蝕刻終止層與所述第一記憶體堆疊之間,其中所述字元線由所述所述第一記憶體堆疊橫向且連續地延伸至所述第二記憶體堆疊,且其中所述蝕刻終止層跨越所述字元線的整個底部表面而直接接觸所述字元線的所述整個底部表面。
  2. 如請求項1之積體晶片,其中所述介電結構更包括:介電層,上覆於所述蝕刻終止層,其中所述第一記憶體堆疊與所述第二記憶體堆疊以及所述字元線安置於所述介電層內。
  3. 如請求項1之積體晶片,其中所述字元線的寬度大於所述第一記憶體堆疊與所述第二記憶體堆疊的寬度。
  4. 如請求項1之積體晶片,更包括多個上部導電通孔,自所述第一記憶體堆疊與所述第二記憶體堆疊的上方延伸以接觸所述字元線的頂部表面,其中所述字元線包括第一材料,且所述上部導電通孔包括不同於所述第一材料的第二材料。
  5. 一種積體晶片,包括:基底;內連線結構,上覆於所述基底,其中所述內連線結構包含安置於介電結構內的多個導電線及多個導電通孔;第一陣列,包括安置於所述介電結構內且呈多個列及多個行的多個第一記憶體堆疊,其中所述第一記憶體堆疊分別包括上覆於選擇器的記憶胞;多個位元線,安置於所述介電結構內且在第一方向上沿所述第一陣列的對應行延伸,其中所述位元線上覆於所述第一記憶體堆疊且與所述對應行中的所述第一陣列的所述記憶胞電性耦接;以及多個字元線,安置於所述介電結構內且在第二方向上沿所述第一陣列的對應列延伸,其中所述第二方向與所述第一方向正交,且其中所述字元線下伏於所述第一記憶體堆疊且與所述對應列中的所述第一陣列的所述記憶胞電性耦接,其中各字元線的底部表面與所述導電通孔及所述導電線完全分離,所述介電結構包含安置於所述字元線與安置於所述字元線之下的所述導電通孔及所述導電線之間的蝕刻終止層,且其中所述蝕刻終止層的頂部表面直接接觸各所述字元線的整個底部表面。
  6. 如請求項5之積體晶片,其中所述多個導電通孔包括多個上部導電通孔,所述上部導電通孔自高於所述位元線的位置延伸至對應字元線,以直接接觸對應字元線的頂部表面。
  7. 一種用於形成記憶體元件的方法,所述方法包括: 在基底上方形成下部內連線結構,其中所述下部內連線結構包含安置於介電結構內的導電通孔及導電線;沿所述下部內連線結構的上部表面形成蝕刻終止層;在所述蝕刻終止層上方形成多個字元線以使得所述導電通孔及所述導電線與所述字元線的底部表面偏移,其中所述字元線沿第一方向延伸且彼此平行,且其中所述蝕刻終止層跨越所述字元線的整個底部表面而直接接觸所述字元線的所述整個底部表面;沿所述字元線分別的頂部表面形成多個記憶體堆疊;在所述記憶體堆疊上方形成多個位元線以使得所述位元線沿與所述第一方向正交的第二方向延伸,其中所述位元線彼此平行;在所述位元線上方形成上部ILD層;以及在所述上部ILD層內形成多個上部導電通孔以使得所述上部導電通孔接觸對應字元線的頂部表面,其中所述上部導電通孔分別的頂部表面安置於所述位元線上方。
  8. 如請求項7之用於形成記憶體元件的方法,更包括:在所述基底上形成多個半導體元件,其中所述下部內連線結構內的所述導電通孔及所述導電線電性耦接至所述半導體元件;以及其中在形成所述記憶體堆疊及所述位元線之前,所述字元線與所述半導體元件電性隔離,且其中在形成所述上部導電通孔之後,所述字元線電性耦接至所述半導體元件。
TW109123014A 2020-03-17 2020-07-08 積體晶片及用於形成其的方法 TWI789603B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/821,208 US11211120B2 (en) 2020-03-17 2020-03-17 Bit line and word line connection for memory array
US16/821,208 2020-03-17

Publications (2)

Publication Number Publication Date
TW202137489A TW202137489A (zh) 2021-10-01
TWI789603B true TWI789603B (zh) 2023-01-11

Family

ID=76509575

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109123014A TWI789603B (zh) 2020-03-17 2020-07-08 積體晶片及用於形成其的方法

Country Status (5)

Country Link
US (3) US11211120B2 (zh)
KR (1) KR102452013B1 (zh)
CN (1) CN113053854A (zh)
DE (1) DE102020108066A1 (zh)
TW (1) TWI789603B (zh)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200945643A (en) * 2008-03-11 2009-11-01 Samsung Electronics Co Ltd Resistive memory device and method of forming the same
TW201019416A (en) * 2008-10-30 2010-05-16 Micron Technology Inc Memory devices and formation methods
CN105321952A (zh) * 2014-06-23 2016-02-10 三星电子株式会社 三维半导体存储装置及其制造方法
CN108377660A (zh) * 2015-12-22 2018-08-07 桑迪士克科技有限责任公司 用于三维存储器器件的贯穿存储器层级通孔结构
TW202008514A (zh) * 2018-07-31 2020-02-16 台灣積體電路製造股份有限公司 相變記憶體結構、記憶體器件與其形成方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8502182B2 (en) 2009-02-06 2013-08-06 Micron Technology, Inc. Memory device having self-aligned cell structure
US8569734B2 (en) 2010-08-04 2013-10-29 Micron Technology, Inc. Forming resistive random access memories together with fuse arrays
KR20130092925A (ko) * 2012-02-13 2013-08-21 에스케이하이닉스 주식회사 가변 저항 메모리 소자 및 이의 제조 방법
US9111857B2 (en) 2012-09-21 2015-08-18 Micron Technology, Inc. Method, system and device for recessed contact in memory array
US9190454B2 (en) 2013-03-19 2015-11-17 Kabushiki Kaisha Toshiba Memory device
KR102471157B1 (ko) * 2017-11-09 2022-11-25 삼성전자주식회사 메모리 소자
US11088201B2 (en) * 2018-06-29 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Magnetic tunneling junction (MTJ) element with an amorphous buffer layer and its fabrication process
US10748927B1 (en) * 2019-02-05 2020-08-18 Sandisk Technologies Llc Three-dimensional memory device with drain-select-level isolation structures and method of making the same
US10790300B2 (en) * 2019-03-01 2020-09-29 Sandisk Technologies Llc Three-dimensional memory device having an epitaxial vertical semiconductor channel and method for making the same
US10861871B2 (en) * 2019-03-14 2020-12-08 Sandisk Technologies Llc Three-dimensional memory array including self-aligned dielectric pillar structures and methods of making the same
US10707417B1 (en) * 2019-05-02 2020-07-07 International Business Machines Corporation Single-sided liner PCM cell for 3D crossbar PCM memory

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200945643A (en) * 2008-03-11 2009-11-01 Samsung Electronics Co Ltd Resistive memory device and method of forming the same
TW201019416A (en) * 2008-10-30 2010-05-16 Micron Technology Inc Memory devices and formation methods
CN105321952A (zh) * 2014-06-23 2016-02-10 三星电子株式会社 三维半导体存储装置及其制造方法
CN108377660A (zh) * 2015-12-22 2018-08-07 桑迪士克科技有限责任公司 用于三维存储器器件的贯穿存储器层级通孔结构
TW202008514A (zh) * 2018-07-31 2020-02-16 台灣積體電路製造股份有限公司 相變記憶體結構、記憶體器件與其形成方法

Also Published As

Publication number Publication date
US20210295912A1 (en) 2021-09-23
US11211120B2 (en) 2021-12-28
US20220115066A1 (en) 2022-04-14
TW202137489A (zh) 2021-10-01
CN113053854A (zh) 2021-06-29
DE102020108066A1 (de) 2021-09-23
KR20210117112A (ko) 2021-09-28
US11715519B2 (en) 2023-08-01
KR102452013B1 (ko) 2022-10-06
US20230326522A1 (en) 2023-10-12

Similar Documents

Publication Publication Date Title
JP7345567B2 (ja) 3次元相変化メモリデバイス
JP7394881B2 (ja) 3次元相変化メモリデバイスを形成するための方法
US7675770B2 (en) Phase change memory device
TWI723356B (zh) 半導體裝置、其形成方法及應用
TWI763355B (zh) 記憶體元件及其製造方法
TW202109767A (zh) 記憶胞、記憶體器件及用於形成記憶體器件的方法
KR20220142336A (ko) 반도체 메모리 디바이스들 및 제조 방법들
US10957370B1 (en) Integration of epitaxially grown channel selector with two terminal resistive switching memory element
US20240203472A1 (en) Circuit design and layout with high embedded memory density
US20230209836A1 (en) Memory device and method for fabricating the same
TW202040574A (zh) 電阻式隨機存取記憶體裝置
CN111613723A (zh) 制造记忆体装置的方法
TWI789603B (zh) 積體晶片及用於形成其的方法
US8791010B1 (en) Silver interconnects for stacked non-volatile memory device and method
TWI786644B (zh) 具有平面薄膜電晶體(tft)選擇器的高密度記憶體裝置以及其製造方法
US11404480B2 (en) Memory arrays including continuous line-shaped random access memory strips and method forming same
TW202301654A (zh) 積體晶片、記憶體裝置及其形成方法
TWI844998B (zh) 記憶體裝置及其製造方法
US11647682B2 (en) Memory array, semiconductor chip and manufacturing method of memory array
TW202243298A (zh) 記憶體裝置及其形成方法