TW202006805A - 積體電路裝置的形成方法 - Google Patents

積體電路裝置的形成方法 Download PDF

Info

Publication number
TW202006805A
TW202006805A TW108122250A TW108122250A TW202006805A TW 202006805 A TW202006805 A TW 202006805A TW 108122250 A TW108122250 A TW 108122250A TW 108122250 A TW108122250 A TW 108122250A TW 202006805 A TW202006805 A TW 202006805A
Authority
TW
Taiwan
Prior art keywords
layer
etch stop
conductive
dielectric layer
interlayer dielectric
Prior art date
Application number
TW108122250A
Other languages
English (en)
Inventor
楊岱宜
蘇莉玲
吳永旭
陳欣蘋
莊正吉
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202006805A publication Critical patent/TW202006805A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

提供具有內連線結構的積體電路與其形成方法之例子。在一些例子中,方法包括接收工件,其具有內連線結構,且內連線結構包括:第一導電結構;第二導電結構,位於第一導電結構旁邊;以及層間介電層,位於第一導電結構與第二導電結構之間。選擇性沉積蝕刻停止層的導電材料於第一導電結構上與第二導電結構上,而不沉積蝕刻停止層的導電材料於層間介電層上;以及移除層間介電層,以形成間隙於第一導電結構與第二導電結構之間。

Description

積體電路裝置的形成方法
本發明實施例關於內連線結構,更特別關於內連線結構中的氣隙。
半導體積體電路產業已經歷快速成長。在積體電路演進中,功能密度(如單位晶片面積的內連線裝置數目)通常隨著幾何尺寸(製程所能產生的最小構件或線路)縮小而增加。尺寸縮小的製程通常有利於增加產能並降低相關成本。然而尺寸縮小亦會使含有這些積體電路的裝置之設計與製作的複雜性增加。製造業的同步進展,可由精確且可信的方式製作越來越複雜的設計。
在製作裝置與製作耦接裝置的導體網路上具有進展。在此考量下,積體電路可包含內連線結構以電性耦接電路裝置(比如鰭狀場效電晶體、平面場效電晶體、記憶裝置、雙極接面電晶體、發光二極體、其他主動及/或被動裝置、或類似裝置)。內連線結構可包括堆疊的任何數目的介電層,且導電線路水平運行於介電層中。通孔可垂直延伸,以連接一層中的導電線路至相鄰層中的導電線路。類似地,接點可垂直延伸於導電線路與基板級結構之間。線路、通孔、與接點一起傳輸裝置之間的訊號、電源、與地線,並使其可操作如電路。
在一些實施例中,積體電路裝置的形成方法包括接收工件,其具有內連線結構,且內連線結構包括:第一導電結構;第二導電結構,位於第一導電結構旁邊;以及層間介電層,位於第一導電結構與第二導電結構之間。選擇性沉積蝕刻停止層的導電材料於第一導電結構上與第二導電結構上,而不沉積蝕刻停止層的導電材料於層間介電層上;以及移除層間介電層,以形成間隙於第一導電結構與第二導電結構之間。
在其他實施例中,方法包括接收基板與位於基板上的內連線結構。內連線結構包括內連線介電層,以及多個導電結構位於內連線介電層中。形成蝕刻停止層於內連線結構上,其包括:選擇性地形成蝕刻停止導電層於導電結構上,並選擇性地形成蝕刻停止介電層於內連線介電層上。形成間隙於導電結構之間。
在其他實施例中,裝置包括基板;層間介電層,位於基板上;多個導電結構,位於層間介電層中;蝕刻停止層,位於層間介電層與導電結構上;以及間隙,延伸於兩個導電結構之間。在這些實施例中,蝕刻停止層包括導電蝕刻停止材料位於導電結構上,以及介電蝕刻停止材料位於層間介電層上。
下述內容提供的不同實施例或實例可實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。此外,本發明實施例之結構形成於另一結構上、連接至另一結構、及/或耦接至另一結構中,結構可直接接觸另一結構,或可形成額外結構於結構及另一結構之間(即結構未接觸另一結構)。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。此外,本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
積體電路包括數量不斷增加的主動與被動電路裝置形成於基板或晶圓上,且基板或晶圓具有複雜的內連線結構於頂部以電性耦接裝置。然而隨著內連線中的導電結構越來越小且越來越近,內連線也越來越難以製作,且來自相鄰導體的負作用也變得更明顯。舉例來說,隨著導電線路之間的空間縮小,可能會全面增加干擾、雜訊、與寄生耦合電容。
採用低介電常數(相對於氧化矽的介電常數)的內連線介電層,可降低這些效應。本發明的一些例子更形成氣隙以減少內連線的介電常數,其亦改善絕緣品質。舉例來說,氣隙可減少寄生耦合電容,因此降低驅動訊號穿過導電線路所需的能量。這可採用較低能耗的電路裝置。氣隙亦可降低相鄰導電線路所產生的雜訊,否則可能導致訊號誤差。在這些與其他例子中,此技術可減少誤差、使導體距離更小、降低電路能耗、並減少整體電路體積。
由於導電線路對內連線電容的貢獻大於通孔對內連線電容的貢獻,氣隙可形成於導電線路之間而不形成於通孔之間。此技術不形成氣隙於通孔之間,可避免損傷通孔並可避免通孔橋接、時間相關的介電崩潰、與其他通孔缺陷。本發明一些例子更採用選擇性沉積以改善製作方法,其選擇性地沉積蝕刻停止層,使蝕刻停止層的導電材料位於導電線路上,而蝕刻停止層的介電材料位於內連線介電層上。藉由形成具有導電材料的蝕刻停止層(通孔可經由此導電材料耦接),技術可省略蝕刻停止層開口步驟,並改善通孔層疊的誤差容忍度。在這些與其他方式中,技術可形成更小的內連線結構,其具有更高的可信度與一致性。值得注意的是,這些優點僅用以舉例,且任何特定實施例不必具有特定優點。
本發明實施例提供具有氣隙的積體電路內連線結構之例子。形成電路與內連線結構所用的內連線結構與技術的例子,將搭配圖1A至19說明。在此考量下,圖1A與1B係本發明多種實施例中,製作具有內連線結構之工件200的方法100之流程圖。在方法100之前、之中、與之後可進行額外步驟,且方法100的其他實施例可取代或省略一些所述步驟。圖2A與13-18係本發明多種實施例中,採用方法100製作的工件200之透視圖。圖2B、3至12、與19係本發明多種實施例中,以方法100製作的工件200之剖視圖。
如圖1A的步驟102與圖2A及2B所示,接收工件200且其包括基板202,而內連線結構204的一部份位於基板202上。內連線結構204以圖2A中的透明標記表示,以避免擋住基板202的下方結構。基板202指的是電路裝置可形成其上的任何結構。在多種例子中,基板202包括半導體元素(單一元素)如結晶結構的矽或鍺;半導體化合物如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;半導體合金如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦;非半導體材料如鈉鈣玻璃、熔融二氧化矽、熔融石英、及/或氟化鈣;及/或上述之組合。
基板202可具有一致組成,或可包含多層。可選擇性蝕刻一些基板202以形成鰭狀物。這些層狀物可具有類似或不同的組成。在多種實施例中,一些基板層具有不一致的組成,可誘發裝置應力以調整裝置效能。層狀基板的例子包含絕緣層上矽的基板202。在這些例子中,基板202的一層可包括絕緣層如半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、其他合適的絕緣材料、及/或上述之組合。
摻雜區如井可形成於基板202上。在此考量下,基板202的一些部份可摻雜p型摻質如硼、二氟化硼、或銦,而基板202的其他部份可摻雜n型摻質如磷或砷,及/或摻雜其他合適摻質(包括上述之組合)。
形成於基板202上的電路裝置可為鰭狀場效電晶體、平面場效電晶體、記憶裝置、雙極接面電晶體、發光二極體、或其他主動及/或被動裝置。在一些例子中,將形成於基板202上的裝置延伸出基板202。舉例來說,鰭狀場效電晶體及/或其他非平面裝置可形成於基板202上的鰭狀物206上。鰭狀物206可視作任何隆起結構,且可包含鰭狀場效電晶體裝置的鰭狀物206,與用以形成其他隆起的主動與被動裝置於基板202上的鰭狀物206。鰭狀物206與基板202可具有類似或不同的組成。舉例來說,一些實施例的基板202主要包括矽,而鰭狀物包括一或多個層狀物,其主要為鍺或矽鍺半導體。在一些實施例中,基板202包括矽鍺半導體,而鰭狀物206包括矽鍺半導體,且鰭狀物206的矽鍺比例不同於基板202的矽鍺比例。
鰭狀物206的形成方法可為蝕刻基板202的部份、沉積多種層狀物於基板202上並蝕刻層狀物、及/或其他合適技術。舉例來說,可採用一或多道光微影製程圖案化鰭狀物206,比如雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合光微影與自對準製程,其產生的圖案間距小於採用單一的直接光微影製程所產生的圖案間距。舉例來說,一實施例形成犧牲層於基板202上,並採用光微影製程圖案化犧牲層。採用自對準製程,沿著圖案化的犧牲層之側部形成間隔物。接著移除犧牲層並圖案化鰭狀物,且圖案化方法採用保留的間隔物作為遮罩,並移除間隔物未覆蓋的基板202的材料以保留鰭狀物。
工件200亦可包含隔離介電層208於基板202上的鰭狀物206之間,以形成隔離結構如淺溝槽隔離結構。隔離介電層208可包含介電材料如半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體碳氮化物、半導體碳氮氧化物、金屬氧化物、或類似物。隔離介電層208的形成方法可為任何合適製程。在一些例子中,隔離介電層208的沉積方法採用原子層沉積、電漿增強原子層沉積、化學氣相沉積、電漿增強化學氣相沉積、高密度電漿化學氣相沉積、及/或其他合適的沉積製程。在沉積之後,可回蝕刻隔離介電層208,使鰭狀物206的最上側部份凸起高於隔離介電層208。在多種例子中,鰭狀物206延伸高於隔離介電層208的最上側部份之距離介於約100nm至約500nm之間。
鰭狀物206可包含源極/汲極結構210,與位於源極/汲極結構210之間的通道區212。源極/汲極結構210與通道區212可摻雜成相反型態。對n型通道裝置而言,源極/汲極結構210摻雜n型摻質,而通道區212摻雜p型摻質。對p型通道裝置而言,源極/汲極結構210摻雜p型摻質,而通道區212摻雜n型摻質。
一或多個閘極結構214可位於通道區212上,並沿著通道區212的側部。施加電壓至閘極結構214,可控制穿過源極/汲極結構210之間的通道區之載子流(如n型通道裝置所用的電子與p型通道裝置所用的電洞)。為了避免擋住工件200的其他結構,在圖2A中以透明標記顯示閘極結構214。
合適的閘極結構214包括多晶矽與金屬閘極。例示性的閘極結構214包括位於通道區212上的界面層216,其含有界面材料如半導體氧化物、半導體氮化物、半導體氮氧化物、其他半導體介電層、其他合適的界面材料、及/或上述之組合。閘極介電層218位於界面層216上,並包含一或多種介電材料如高介電常數的介電材料(比如氧化鉿、氧化鉿矽、氮氧化鉿矽、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、氧化鋯、氧化鋁、氧化鉿-氧化鋁合金、或類似物)、半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、非晶碳、四乙氧基矽烷的氧化物、其他合適的介電材料、及/或上述之組合。
閘極220位於閘極介電層218上,且包含導電材料的層狀物。例示性的閘極220包括蓋層、位於蓋層上的一或多個功函數層、以及位於功函數層上的閘極填充層。
在一些例子中,閘極結構214包括閘極蓋222於閘極介電層218與閘極220的頂部上。閘極蓋222可包含介電材料(如半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體碳氮氧化物、或類似物)、多晶矽、旋轉塗佈玻璃、四乙氧基矽烷的氧化物、電漿增強化學氣相沉積的氧化物、高深寬比製程形成的氧化物、及/或其他合適材料。
側壁間隔物224位於閘極結構214的側表面上,並用於使源極/汲極結構210偏離並控制源極/汲極接面輪廓。在多種例子中,側壁間隔物224包括一或多層的介電材料,比如半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體碳氮氧化物、旋轉塗佈玻璃、四乙氧基矽烷的氧化物、電漿增強化學氣相沉積的氧化物、高深寬比製程形成的氧化物、及/或其他合適材料。
工件200亦可包含底接點蝕刻停止層226,其位於源極/汲極結構210上、位於閘極結構214上、並沿著側壁間隔物224的側部。底接點蝕刻停止層226可包括介電層(如半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、或類似物)及/或其他合適材料。在多種實施例中,底接點蝕刻停止層226包括氮化矽、氧化矽、氮氧化矽、及/或碳化矽。
內連線結構204電性耦接電路結構如源極/汲極結構210與閘極結構214。內連線結構204包含多個導電結構插置於層間介電層(如層間介電層228)的層狀物之間。層間介電層228可包含任何合適介電材料,比如半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、四乙氧基矽烷氧化物、磷矽酸鹽玻璃、硼磷矽酸鹽玻璃、氟化矽酸鹽玻璃、摻雜碳的氧化矽、Black Diamond®、乾凝膠、氣膠、非晶氟化碳、聚對二甲苯、苯并環丁烯、SiLK® (密西根州的Midland之Dow Chemical的註冊商標)、聚醯亞胺、其他合適材料、及/或上述之組合。層間介電層228可支撐並電性隔離導電結構。
在隔有介電層如層間介電層228之平行的導體(如導電線路、接點、及/或通孔)之間產生電容。此電容會減慢內連線結構204傳遞訊號的速度。為了解決此問題,內連線的層間介電層228可包含低介電常數的材料(比如介電常數低於氧化矽的介電常數的材料)。這些材料的介電常數較低,可減少導電結構之間的寄生耦合電容、干擾、與雜訊。為了進一步降低內連線結構204的介電常數,移除層間介電層228的部份以形成氣隙。
內連線結構204的最下側的層間介電層228支撐並電性隔離閘極結構214與耦接至基板結構的接點,比如分別延伸至並電性耦接至源極/汲極結構210與閘極結構214的源極/汲極接點230與閘極接點232。源極/汲極接點230與閘極接點232可各自包含接點襯墊層234與接點填充層236。接點襯墊層234在沉積接點填充層236時可作為晶種層,且可促進接點填充層236黏著至工件200的其餘部份。接點襯墊層234亦可作為阻障層,以避免接點材料擴散至工件200中。接點襯墊層234可包含任何合適的導電材料,包括金屬(如鈦、鉭、鈷、鎢、鋁、鎳、銅、或類似物)、金屬氮化物、金屬與矽的氮化物、其他合適材料、及/或上述之組合。在此實施例中,接點襯墊層234可包含氮化鈦。接點填充層236可包含任何合適材料如金屬(比如鈷、鎢、鋁、鉭、鈦、鎳、銅、或類似物)、金屬氧化物、金屬氮化物、其他合適金屬、及/或上述之組合。在一些例子中,接點填充層236包括鈷及/或鎢。
內連線結構204的後續層間介電層228可包含導電線路238,其水平地延伸於給定層狀物及/或通孔中,且給定層狀物及/或通孔垂直延伸以耦接不同層中的導電線路238。導電線路238可各自包含襯墊層240、填充材料242、與位於襯墊層240上的線路蓋244。襯墊層240可與接點襯墊層234實質上類似,且可包含一或多種金屬、金屬氮化物、金屬與矽的氮化物、其他合適材料、及/或上述之組合。在此實施例中,襯墊層240包括氮化鈦。填充材料242可與接點填充層236實質上類似,且可包含一或多種金屬、金屬氧化物、金屬氮化物、其他合適材料、及/或上述之組合。在此實施例中,填充材料242包括鈷及/或鎢。
線路蓋244可包含任何合適的導電材料,比如金屬、金屬氧化物、金屬氮化物、及/或上述之組合,且線路蓋244的材料可與填充材料242及/或襯墊層240的材料相同或不同。在一些例子中,線路蓋244包括金屬,與增加線路蓋244的蝕刻選擇性之摻質。線路蓋244可具有任何合適厚度。在多種例子中,線路蓋244的厚度介於約1nm至約5nm之間。
導電線路238與連接導電線路238的通孔可一層接一層的形成,而不同層中的結構對準(如層疊)會明顯影響完成的電路之功能與可信度。一些例子接著採用選擇性沉積以自對準材料,進而減少層疊誤差的影響。
為形成新的層狀物,可形成蝕刻停止層於存在的層間介電層228及其中的任何導電線路238上。蝕刻停止層與周圍的層間介電層228可具有不同組成,且可具有不同蝕刻選擇性,以在圖案化層間介電層228時避免過蝕刻。在一些例子中,一致的蝕刻停止層形成於下方的層間介電層228與導電線路238上。此蝕刻停止層可包括半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、及/或其他合適的介電材料。此種蝕刻停止層的形成方法可採用任何合適製程,包括原子層沉積、電漿增強原子層沉積、化學氣相沉積、電漿增強化學氣相沉積、高密度電漿化學氣相沉積、及/或其他合適的沉積製程,且蝕刻停止層可具有任何合適厚度。為了形成耦接至導電線路238的通孔,可選擇性蝕刻導電線路238的一些部份上的蝕刻停止層。
在額外或其他實施例中,蝕刻停止層可包含選擇性沉積於導電線路238上的導電材料,與選擇性沉積於層間介電層228上的介電材料。在此設置中,通孔可經由蝕刻停止層的導電材料耦接至導電線路238,其可省略蝕刻步驟並減少一些通孔層疊問題。
為形成含有導電材料的蝕刻停止層,在工件200上進行表面處理,如圖1A的步驟104與圖3所示。表面處理可設置以促進存在的導電線路238的材料(如線路蓋244)與蝕刻停止線路蓋的材料之間的接合。表面處理可改變存在的導電線路238至任何合適性質,以促進上述接合。在一些例子中,表面處理可增加或減少線路蓋244的上表面之親水性,使其更符合後續形成的蝕刻停止線路蓋的親水性。這可包括增加或減少線路蓋244的上表面之極性。在多種例子中,表面處理採用電漿及/或濕式試劑,可調整線路蓋244的性質以促進接合。此外,處理可設置以抑制或至少不促進蝕刻停止線路蓋與工件200的其他構件(如存在的層間介電層228)之間的接合。因此處理可讓後續的沉積製程對材料的敏感性提高。
如圖1A的步驟106與圖4所示,蝕刻停止線路蓋402選擇性地形成於導電線路238上(比如形成於下方導電線路238的線路蓋244上),而不形成於工件200的其他材料(比如層間介電層228)上。具體而言,蝕刻停止線路蓋402設置以接合至工件200的選定材料,而不接合至其他材料。步驟104的表面處理可促進蝕刻停止線路蓋402的選擇性接合。蝕刻停止線路蓋402的形成方法可為任何合適製程,包括旋轉塗佈、浸塗、氣相沉積、化學氣相沉積、電漿增強化學氣相沉積、高密度電漿化學氣相沉積、原子層沉積、電漿增強原子層沉積、及/或其他合適技術。蝕刻停止線路蓋402可具有任何合適的厚度404。在多種例子中,厚度404介於約10Å至約50Å之間。
蝕刻停止線路蓋402可包含任何合適的導電材料如金屬、金屬氧化物、金屬氮化物、其他合適材料、及/或上述之組合。在一些例子中,蝕刻停止線路蓋402包括氧化鋁及/或氧化鋯。當蝕刻停止線路蓋402包括金屬氧化物時,可由單一步驟沉積金屬氧化物,或者沉積金屬組成後採用合適製程(如採用氧源如水、氧氣、臭氧、或類似物的熱氧化)氧化金屬組成。
介電蝕刻停止材料可選擇性地沉積於工件的其餘部份上(如沉積於層間介電層228上)。如圖1A的步驟108與圖5所示,在工件200上進行表面處理,其設置以促進層間介電層228與介電蝕刻停止材料之間的接合。表面處理可改變層間介電層228至任何合適性質,以促進上述接合。在一些例子中,表面處理可增加或減少層間介電層228的上表面之親水性,使其更符合介電蝕刻停止材料的親水性。在多種例子中,表面處理採用電漿及/或濕式試劑,可調整層間介電層228的性質以促進接合。此外,上述處理可設置以抑制或至少不促進介電蝕刻停止材料與工件200的其他構件(如蝕刻停止線路蓋402)之間的接合。
如圖1A的步驟110與圖6所示,介電蝕刻停止材料602形成於層間介電層228上。在一些例子中,介電蝕刻停止材料602選擇性地形成於層間介電層228上,而不形成於工件200的其他材料(如蝕刻停止線路蓋402)上。在一些例子中,介電蝕刻停止材料形成於層間介電層228與蝕刻停止線路蓋402上,且可由化學機械研磨或其他合適製程移除蝕刻停止線路蓋402上的介電蝕刻停止材料602的任何部份。介電蝕刻停止材料602的形成方法可為旋轉塗佈、浸塗、氣相沉積、化學氣相沉積、電漿增強化學氣相沉積、高密度電漿化學氣相沉積、原子層沉積、電漿增強原子層沉積、及/或其他合適技術。介電蝕刻停止材料602可具有任何合適厚度604,在多種例子中,厚度604介於約10Å至約50Å之間。
介電蝕刻停止材料602可包含任何合適的介電材料,比如半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體碳氮化物、半導體碳氧氮化物、金屬氧化物、金屬氮化物、及/或上述之組合。在一些例子中,介電蝕刻停止材料602包含氧化矽、氮化矽、及/或介電氧化鋁。
在沉積介電蝕刻停止材料602之後,可進行化學機械研磨製程。如上所述,化學機械研磨製程可移除蝕刻停止線路蓋402上的介電蝕刻停止材料602之任何部份,並可平坦化工件200,使介電蝕刻停止材料602與蝕刻停止線路蓋402的頂部具有實質上相同的高度。
如圖1A的步驟112與圖7所示,形成通孔級層間介電層228A於蝕刻停止層(如蝕刻停止線路蓋402與介電蝕刻停止材料602)上。通孔級層間介電層228A可與存在的層間介電層228的組成實質上類似,且可包含半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、四乙氧基矽烷氧化物、磷矽酸鹽玻璃、硼磷矽酸鹽玻璃、氟化矽酸鹽玻璃、摻雜碳的氧化矽、Black Diamond®、乾凝膠、氣膠、非晶氟化碳、聚對二甲苯、苯并環丁烯、SiLK®、聚醯亞胺、其他合適材料、及/或上述之組合。在一些例子中,通孔級層間介電層228A包括低介電常數的介電材料如碳氫氧化矽。通孔級層間介電層228A的形成方法可採用任何合適製程,包括化學氣相沉積、電漿增強化學氣相沉積、高密度電漿化學氣相沉積、原子層沉積、電漿增強原子層沉積、旋轉塗佈沉積、及/或其他合適的沉積製程,使通孔級層間介電層228A具有任何合適厚度。
可採用單鑲嵌或雙鑲嵌金屬化步驟,形成通孔於通孔級層間介電層228A中。此技術亦適用於任何型態的金屬化步驟。
在雙鑲嵌金屬化的例子中,如圖1A的步驟114與圖8所示,中間級蝕刻停止層802形成於通孔級層間介電層228A上。中間級蝕刻停止層802可包含半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、及/或其他合適的介電材料。中間級蝕刻停止層802的組成可與周圍材料(如通孔級層間介電層228A)的組成不同且具有不同的蝕刻選擇性,可在形成凹陷於周圍材料中時避免過蝕刻。在多種例子中,中間級蝕刻停止層802包含氮化矽、碳氮化矽、及/或碳化矽。中間級蝕刻停止層802的形成方法可採用任何合適製程,比如原子層沉積、電漿增強原子層沉積、化學氣相沉積、電漿增強化學氣相沉積、高密度電漿化學氣相沉積、及/或其他合適的沉積製程。中間級蝕刻停止層802可具有任何合適厚度。舉例來說,中間級蝕刻停止層的厚度介於約1nm至約5nm之間。
如圖1A的步驟116與圖9所示,線路級層間介電層228B形成於中間級蝕刻停止層802上。此步驟可與步驟112所述之方法實質上相停,且線路級層間介電層228B可與通孔級層間介電層228A實質上類似。
如圖1A的步驟118與圖10所示,形成凹陷1002於通孔級層間介電層228A、線路級層間介電層228B、與中間級蝕刻停止層802中,以用於形成導電線路與通孔。在雙鑲嵌製程中,可採用一或多道蝕刻製程形成通孔與導電線路所用的一組凹陷1002,並在相同製程中沉積這些結構所用的導電材料。
在一例中,形成第一光阻於線路級層間介電層228B上,並由光微影製程圖案化第一光阻,可選擇性露出線路級層間介電層228B將蝕刻以定義通孔的部份。光微影系統以特定圖案中的射線曝光光阻,且特定圖案取決於光罩。穿過光罩或由光罩反射的光可撞擊光阻,將形成於光罩上的圖案轉移至光阻。在額外或其他實施例中,可採用直寫或無光召微影技術曝光光阻,比如雷射圖案化、電子束圖案化、及/或離子束圖案化。一旦曝光光阻後即顯影光阻,以保留光阻的曝光部份或未曝光部份。例示性的圖案化製程包括軟烘烤光阻、對準光罩、曝光、曝光後烘烤、顯影光阻、沖洗光阻、與乾燥光阻(如硬烘烤)。
可採用任何合適的蝕刻技術如濕蝕刻、乾蝕刻、反應性離子蝕刻、及/或其他蝕刻方法,蝕刻光阻露出的線路級層間介電層228B的部份,與直接位於其下的中間級蝕刻停止層802的部份。在一些實施例中,蝕刻製程包括乾蝕刻,其採用氧為主的蝕刻劑、氟為主的蝕刻劑(如四氟化碳、六氟化硫、二氟甲烷、氟仿、及/或六氟乙烷)、氯為主的蝕刻劑(如氯氣、氯仿、四氯化碳、及/或三氯化硼)、溴為主的蝕刻劑(如溴化氫及/或溴仿)、碘為主的蝕刻劑、其他合適的蝕刻劑氣體或電漿、及/或上述之組合。可改變蝕刻技術與蝕刻劑,以選擇性蝕刻線路級層間介電層228B與中間級蝕刻停止層802的不同材料。
通孔蝕刻製程亦可蝕刻通孔級層間介電層228A的下方部份,或者在後續的線路蝕刻時蝕刻通孔級層間介電層228A的這些部份。在通孔蝕刻製程之後,可移除任何殘留光阻。
接著形成第二光阻於工件200上,並進行光微影製程圖案化第二光阻,可選擇性地露出線路級層間介電層228B將蝕刻的部份,以定義導電線路。採用任何合適的蝕刻技術如濕蝕刻、乾蝕刻、反應性離子蝕刻、及/或其他蝕刻方法,以蝕刻光阻所露出的線路級層間介電層228B的部份。在蝕刻之後,可移除任何殘留光阻。光微影圖案化與蝕刻形成圖10所示的凹陷1002,但可採用任何其他合適技術以形成凹陷1002。
如圖1B的步驟120與圖11所示,形成導電結構如導電線路238與通孔1102於凹陷中。在一些例子中,形成導電結構的方法一開始形成襯墊層240於凹陷1002中。襯墊層240為通孔的部份,且襯墊層240物理並電性耦接至下方結構(如接點或導電線路)。襯墊層240可與前述的襯墊層240實質上類似,且可包含任何合適的導電材料,包括金屬、金屬氮化物、金屬與矽的氮化物、其他合適材料、及/或上述之組合。在多種例子中,襯墊層240包括氮化鈦及/或氮化鉭。襯墊層240的形成方法可採用任何合適製程,包括原子層沉積、電漿增強原子層沉積、化學氣相沉積、電漿增強化學氣相沉積、高密度電漿化學氣相沉積、及/或其他合適的沉積製程,且襯墊層240可具有任何合適厚度。在多種例子中,襯墊層240的厚度介於約1nm至約5nm之間。
接著形成填充材料242於襯墊層240上的凹陷1002中。填充材料242可與上述的填充材料242類似,且可包含任何合適的導電材料如金屬、金屬氧化物、金屬氮化物、其他合適材料、及/或上述之組合。在多種實施例中,填充材料242包括銅、鈷、或鎢。填充材料242的形成方法可採用任何合適製程,包括原子層沉積、電漿增強原子層沉積、化學氣相沉積、電漿增強化學氣相沉積、高密度電漿化學氣相沉積、物理氣相沉積、及/或其他合適的沉積製程。
可在工件200上進行化學機械研磨製程,以移除延伸於線路級層間介電層228B的頂部上之填充材料242及/或襯墊層240的任何材料。
形成線路蓋244於導電結構上的方法,可先使襯墊層240與填充材料242凹陷。這可包含採用合適的蝕刻技術如濕蝕刻、乾蝕刻、反應性離子蝕刻、及/或其他蝕刻法,蝕刻襯墊層240與填充材料242,使襯墊層240與填充材料242的頂部低於線路級層間介電層228B的頂部。接著可沉積線路蓋244於凹陷的襯墊層240與填充材料242上。線路蓋244可與前述的線路蓋244實質上類似,且其沉積方法可為任何合適製程如原子層沉積、電漿增強原子層沉積、化學氣相沉積、電漿增強化學氣相沉積、高密度電漿化學氣相沉積、及/或其他合適的沉積製程。線路蓋244可包含任何合適導電材料,比如金屬、金屬氧化物、金屬氮化物、其他合適材料、及/或上述之組合。線路蓋244可具有任何合適厚度。在多種例子中,線路蓋244的厚度介於約1nm至約5nm之間。在沉積線路蓋244的材料之後,可在工件200上進行化學機械研磨製程,以移除延伸於線路級層間介電層228B之頂部上的任何材料。如圖11所示,保留的襯墊層240、填充材料242、與線路蓋244形成導電線路238與通孔1102。
如圖1B的步驟122與圖12所示,可形成另一蝕刻停止層於導電線路238及線路級層間介電層228B上。與之前的蝕刻停止層類似,此蝕刻停止層可包括選擇性沉積於導電線路238上的蝕刻停止線路蓋402,以及選擇性沉積於線路級層間介電層228B上的介電蝕刻停止材料602。蝕刻停止層的形成方法,可與圖1A之步驟104至110所述的方法實質上相同。在這些例子中,蝕刻停止線路蓋402包括氧化鋁及/或氧化鋯,且其厚度介於約10Å至約50Å之間。介電蝕刻停止材料602包括氧化矽、氮化矽、及/或介電氧化鋁,且其厚度介於約10Å至約50Å之間。
在沉積介電蝕刻停止材料602之後,可進行化學機械研磨製程。化學機械研磨製程可移除沉積於蝕刻停止線路蓋402上的介電蝕刻停止材料602之任何部份,並平坦化工件200,使介電蝕刻停止材料602與蝕刻停止線路蓋402的上表面具有實質上相同的高度。
如上所述,導電線路238及/或通孔1102之間的電容會增加訊號傳遞延遲,並使驅動電路裝置的負載增加。如此一來,會增加電路裝置的尺寸與能耗。為減少電容,可形成氣隙於層間介電層中以降低介電常數。製程接著產生氣隙於線路級層間介電層228B中。
如圖1B的步驟124與圖13所示,形成光阻材料1302於工件200上,並圖案化光阻材料1302以露出工件200將形成氣隙的區域。光阻材料1302在圖13中以透明標記顯示,以避免擋住工件200的下方結構。如圖13所示,氣隙可形成於內連線結構204的一些部份中,而不形成於內連線結構204的其他部份中。氣隙的位置取決於導電線路238的配置。在一些例子中,在導電線路238配置為最小間距以減少電容的區域中形成氣隙,並在導電線路238的密度較低處省略氣隙,以避免損傷工件200的完整性。
用於定義氣隙的光阻材料1302,可包含任何對微影敏感的材料或組成。在一些例子中,光阻材料1302為三層光阻,其包括底層、中間層、與頂層,其各自具有不同或至少獨立的材料。舉例來說,底層可包含碳氫氧化物材料,中間層可包含碳氫氧化矽聚合物材料,而頂層可包括具有光敏組成的碳氫氧化物材料,且光敏組成造成頂層曝光至射線時產生性質改變。此性質改變可用於選擇性移除光阻材料1302的曝光部份(若採用正型光阻)或未曝光部份(若採用負型光阻)。
可採用任何合適的微影技術(包括光微影及/或直寫微影),以圖案化光阻材料1302。例示性的光微影圖案化製程包括軟烘烤光阻材料1302、對準光罩、曝光、曝光後烘烤、顯影光阻材料1302、沖洗、與乾燥(如硬烘烤)。例示性的直寫圖案化製程包括以電子束或其他能量源掃描光阻材料1302的表面,並改變能量源強度以改變光阻材料1302的不同區域所接收的劑量。
顯影的光阻材料1302包含凹陷1304,其露出工件200將形成氣隙的區域中的介電蝕刻停止材料602。凹陷1304亦可露出蝕刻停止線路蓋402的部份。然而蝕刻選擇性的差異可蝕刻介電蝕刻停止材料602,而不蝕刻蝕刻停止線路蓋402。在此方式中,蝕刻停止線路蓋402可在閘極寬度方向中形成更寬的凹陷1002,比如方向1306中的寬度只比線路與線路的間距略小,即可舒緩層疊需求。
如圖1B的步驟126與圖14所示,定向自組裝層1402形成於光阻材料1302的凹陷1304中。定向自組裝層1402用於形成遮罩,而遮罩可定義線路級層間介電層228B的氣隙。定向自組裝材料經由微相分離,利用一些材料傾向以規則且重複地對準圖案,比如球形,圓柱形,層狀(分層)、及/或雙連續的螺旋形配置。微相分離層的形態取決於採用的組成材料、組成材料的相對量、製程變數如溫度、以及其他參數。在一些實施例中,定向自組裝層1402的不同組成材料對特定蝕刻劑的敏感性不同。可移除個別組成材料,而保留的材料可作為圖案化下方層的遮罩。
定向自組裝層1402可包含任何合適的定向自組裝材料,比如陽極金屬氧化物、聚苯乙烯與聚甲基丙烯酸甲酯、及/或其他合適材料,且其形成方法可為任何合適製程如旋轉塗佈沉積、化學氣相沉積、電漿增強化學氣相沉積、高密度電漿化學氣相沉積、原子層沉積、電漿增強原子層沉積、及/或其他合適製程。在一些例子中,定向自組裝層1402包括陽極金屬氧化物,其厚度介於約100Å至約300Å之間,且其形成方法可採用旋轉塗佈製程。在一些例子中,定向自組裝層1402包含聚苯乙烯與聚甲基苯甲酸甲酯,定向自組裝層1402的厚度介於約100Å至約300Å之間,且其形成方法可採用旋轉塗佈製程。
如圖1B的步驟128與圖15所示,可在定向自組裝層1402上進行一或多道製程,以誘發微相分離。具體製程可視定向自組裝層1402的聚合物組成而定,且可包含加熱、冷卻、導入溶劑、施加磁場、及/或其他技術。在例示性的實施例中,定向自組裝層1402含有聚苯乙烯與聚甲基丙烯酸甲酯,並在約100℃至約400℃之間的溫度下退火定向自組裝層1402,以誘發微相分離。這會造成組成聚合物的區塊分離並對準。在圖15的例子中,微相分離形成聚合物1402A的區塊與聚合物1402B的區塊。在多種實施例中,聚合物1402A的區塊其寬度1502介於約10nm至約30nm之間,而聚合物1402B的區塊其寬度1504介於約10nm至約30nm之間。應理解的是,聚合物1402A的區塊其寬度1502與聚合物1402B的區塊其寬度1504不必相等,且可各自變化。在步驟126沉積定向自組裝層1402時可控制聚合物的區塊寬度,其取決於沉積參數如選用的組成聚合物、組成聚合物的相對濃度、採用的溶劑、及/或本技術領域中具有通常知識者所知的其他沉積參數與技術。具體而言,區塊尺寸取決於組成聚合物的分子量及/或組成聚合物的相對分子量。在步驟128的微相分離時,亦可由參數如溫度、採用的溶劑、施加的磁場、環境氣體、及/或其他合適參數控制區塊尺寸。
如圖1B的步驟130與圖16所示,選擇性地移除聚合物(如聚合物1402B)的區塊。選擇性移除製程不會移除聚合物1402A的區塊。移除製程可包含任何合適的蝕刻製程,比如乾蝕刻、濕蝕刻、反應性離子蝕刻、及/或其他蝕刻方法。在一些實施例中,移除製程包括採用溶劑如丙酮、苯、氯仿、二氯甲烷、及/或其他合適溶劑。在例示性的實施例中,聚甲基丙烯酸甲酯對氧氣電漿蝕刻的敏感性,大於聚苯乙烯對氧氣電漿蝕刻的敏感性。綜上所述,氧氣電漿蝕刻用於聚甲基丙烯酸甲酯與聚苯乙烯的定向自組裝層1402,可移除聚甲基丙烯酸甲酯並保留聚苯乙烯以作為遮罩。
如圖1B的步驟132與圖17所示,選擇性地蝕刻介電蝕刻停止材料602與線路級層間介電層228B的露出部份,以產生氣隙於線路級層間介電層228B中。蝕刻可包含任何合適的蝕刻技術,比如濕蝕刻、乾蝕刻、反應性離子蝕刻、及/或其他蝕刻法。在一些實施例中,蝕刻後進行清潔製程如採用稀釋氫氟酸溶液的濕式清潔。蝕刻技術與蝕刻劑可擇以蝕刻介電蝕刻停止材料602及線路級層間介電層228B,而不明顯地蝕刻周圍結構如蝕刻停止線路蓋402與導電線路238。
具體而言,步驟132的蝕刻可設置為在露出中間級蝕刻停止層802時即停止蝕刻,使中間級蝕刻停止層802形成氣隙的底部,而通孔級層間介電層228A中不形成氣隙,由於導電線路238是內連線電容的最大來源,形成氣隙於導電線路238之間(比如形成於線路級層間介電層228B中)所減少的電容,明顯大於形成氣隙於其他處(比如形成於通孔級層間介電層228A中)所減少的電容。此外,此技術不形成氣隙於通孔級層間介電層228A中,可避免損傷通孔進而產生通孔橋接、時間相關的介電崩潰、及/或其他通孔缺陷。
如圖1B的步驟134與圖18及19所示,可移除光阻材料1302與定向自組裝層1402的殘留部份。上述移除方法可為蝕刻(如濕蝕刻、乾蝕刻、或反應性離子蝕刻)、化學機械研磨、及/或其他合適技術。
如圖1B的步驟136所示,方法100確認是否要形成內連線結構204的額外層。若要形成額外層,則方法100可回到步驟112並重複步驟112至136,以形成後續的層狀物。具體而言,若在沉積材料於線路級層間介電層228B與蝕刻停止層上時存在氣隙(比如在步驟112中沉積通孔級層間介電層228A時),沉積技術可設置為具有較低填隙能力,以避免沉積材料至氣隙1902中,如圖19所示。合適的非順應性沉積製程包括化學氣相沉積、電漿增強化學氣相沉積、高密度電漿化學氣相沉積、或旋轉塗佈。
當圖1B的步驟136確認已形成內連線的所有層狀物,則進行方法100的步驟138,對工件200進行後續製作。在多種例子中,此步驟包括金屬化、切割、封裝、與其他製程
上述例子形成定向自組裝層1402於線路級層間介電層228B的頂部上,兒其他例子形成定向自組裝層於線路級層間介電層228B的凹陷中(因此形成於導電線路238之間)。定向自組裝層的一些部份可保留於導電線路238之間,以定義氣隙。圖20係本發明多種實施例中,製作工件2100之方法2000的流程圖,且工件2100具有定向自組裝層以定義氣隙。在方法2000之前、之中、與之後可提供額外步驟,且方法2000的其他實施例可置換或省略一些所述步驟。圖21至25係本發明多種實施例中,進行方法2000所製作的工件2100之透視圖。圖26係本發明多種實施例中,進行方法2000所製作的工件2100之剖視圖。
如圖20的步驟2002與圖21所示,在工件2100上進行圖1A與1B中步驟102至122的製程,實質上同上述。工件2100與工件200實質上類似,除非另外註明。舉例來說,工件2100包括具有導電線路238與通孔1102的內連線結構204於介電層(比如通孔級層間介電層228A、線路級層間介電層228B、中間級蝕刻停止層、或類似物)中。工件2100亦可包含蝕刻停止層,其依序包含蝕刻停止線路蓋402與介電蝕刻停止材料602。
如圖20的步驟2004與圖21所示,形成光阻材料2102於工件2100上,並圖案化光阻材料2102以露出工件2100即將形成氣隙的區域。此步驟可與圖1B的步驟124所述的方法實質上相同,且顯影的光阻材料2102所含的凹陷2104露出工件2100將形成氣隙的區域中的介電蝕刻停止材料602。
如圖20的步驟2006與圖22所示,選擇性地蝕刻介電蝕刻停止材料602與線路級層間介電層228B的露出部份,以產生氣隙於線路級層間介電層228B中。此步驟可與圖1B的步驟132所述的方法類似,且蝕刻可包含任何合適的蝕刻技術如濕蝕刻、乾蝕刻、反應性離子蝕刻、及/或其他蝕刻方法。在一些例子中,蝕刻後進形成清潔製程,比如採用稀釋氫氟酸溶液的濕式清潔。步驟2006的蝕刻可設置為在露出中間級蝕刻停止層時即停止蝕刻,使氣隙不形成於通孔級層間介電層228A中。在蝕刻製程之後,可移除光阻材料2102。
如圖20的步驟2008與圖23所示,定向自組裝層2302形成於工件2100上及導電線路238之間。此步驟與圖1B的步驟126所述的方法實質上相同,且定向自組裝層2302可包含定相自組裝材料,比如陽極金屬氧化物、聚苯乙烯與聚甲基丙烯酸甲酯、及/或其他合適材料。定向自組裝層2302的形成方法可為任何合適製程,比如旋轉塗佈沉積、化學氣相沉積、電漿增強化學氣相沉積、高密度電漿化學氣相沉積、原子層沉積、電漿增強原子層沉積、及/或其他合適製程,且定向自組裝層2302可具有任何合適厚度。
如圖20的步驟2010與圖24所示,可在定向自組裝層2302上進行一或多道製程以誘發微相分離。此步驟可與圖1B的步驟128所述的方法實質上相同,且製程可包含加熱、冷卻、導入溶劑、施加磁場、及/或其他技術。在圖24的例子中,微相分離形成聚合物2302A的區塊與聚合物2302B的區塊。在多種實施例中,聚合物2302A的區塊其寬度2402介於約10nm至約30nm之間,而聚合物2302B的區塊其寬度2404介於約10nm至約30nm之間。
如圖20的步驟2012與圖25及26所示,選擇性地移除聚合物(如聚合物2302B)的區塊。此步驟與圖1B的步驟130所述的方法實質上相同,且選擇性移除製程不會移除聚合物2302A的區塊。移除聚合物2302B,可保留氣隙2602於保留的聚合物2302A所定義的內連線結構204中。
如圖20的步驟2014所示,方法2000確認是否要形成內連線結構204的額外層。若要形成額外層,則方法2000可回到步驟112 (包含於圖20的步驟2002)並重複圖1A與1B的步驟112至136,以形成後續的層狀物。若在沉積材料於線路級層間介電層228B與蝕刻停止層上時存在氣隙(比如在步驟112中沉積通孔級層間介電層228A時),沉積技術可設置為具有較低填隙能力,以避免沉積材料至氣隙2602中,如圖26所示。合適的非順應性沉積製程包括化學氣相沉積、電漿增強化學氣相沉積、高密度電漿化學氣相沉積、或旋轉塗佈。
當圖20之步驟2014確認已形成內連線的所有層狀物,則進行方法2000的步驟2016,對工件2100進行後續製作。在多種例子中,此步驟包括金屬化、切割、封裝、與其他製程。
因此本發明實施例提供具有內連線結構的積體電路與其形成方法。在一些實施例中,積體電路裝置的形成方法包括接收工件,其具有內連線結構,且內連線結構包括:第一導電結構;第二導電結構,位於第一導電結構旁邊;以及層間介電層,位於第一導電結構與第二導電結構之間。選擇性沉積蝕刻停止層的導電材料於第一導電結構上與第二導電結構上,而不沉積蝕刻停止層的導電材料於層間介電層上;以及移除層間介電層,以形成間隙於第一導電結構與第二導電結構之間。在這些實施例中,沉積導電材料的步驟包括在第一導電結構與第二導電結構上進行處理,以促進導電材料與第一導電結構及第二導電結構之間的接合。在這些實施例中,處理依據導電材料的親水性改變第一導電結構的上表面親水性。在這些實施例中,沉積蝕刻停止層的介電材料於層間介電層上,且移除層間介電層的步驟移除蝕刻停止層的介電材料。在這些實施例中,沉積蝕刻停止層的介電材料之步驟,設置為避免沉積介電材料於蝕刻停止層的導電材料上。在這些實施例中,沉積介電材料的步驟包括在層間介電層上進行處理,以依據介電材料的親水性改變層間介電層的上表面親水性。在這些實施例中,層間介電層為線路級層間介電層,而內連線結構更包括通孔級層間介電層位於線路級層間介電層下,並位於間隙的最底側表面下。第一導電結構包括延伸穿過通孔級層間介電層的通孔。在這些實施例中,內連線結構更包括中間級蝕刻停止層位於通孔級層間介電層與線路級層間介電層之間。移除線路級層間介電層的步驟設置為使中間級蝕刻停止層定義間隙的最底側表面。在這些實施例中,移除層間介電層的步驟包括形成光阻材料於工件上,並圖案化光阻材料以定義凹陷於層間介電層上。形成定向自組裝材料於凹陷中,並誘發定向自組裝材料使其分離成第一材料的第一區與第二材料的第二區。移除第二材料,並對移除的第二材料下方的層間介電層的一部份進行蝕刻。在這些實施例中,移除第二材料的步驟露出蝕刻停止層的導電材料之一部份,且蝕刻層間介電層的部份之步驟設置為避免蝕刻導電材料的露出部份。在這些實施例中,層間介電層為第一層間介電層,並形成第二層間介電層於第一導電結構、第二導電結構、與間隙上。形成第二層間介電層的步驟設置為避免填入間隙。
在其他實施例中,方法包括接收基板與位於基板上的內連線結構。內連線結構包括內連線介電層,以及多個導電結構位於內連線介電層中。形成蝕刻停止層於內連線結構上,其包括:選擇性地形成蝕刻停止導電層於導電結構上,並選擇性地形成蝕刻停止介電層於內連線介電層上。形成間隙於導電結構之間。間隙的形成方法可為移除內連線介電層的一部份。在這些實施例中,形成蝕刻停止層的步驟更包括在形成蝕刻停止導電層之前,在導電結構上進行處理以促進蝕刻停止導電層與導電結構之間的接合。在這些實施例中,形成間隙的步驟包括:移除導電結構之間的內連線介電層以形成開口;沉積定向自組裝材料於導電結構之間的開口中;誘發定向自組裝材料使其分離成第一材料與第二材料;選擇性移除第二材料以形成間隙。在這些實施例中,選擇性移除第二材料之後,間隙與導電結構之間隔有第一材料。在這些實施例中,移除內連線介電層的部份以形成間隙的步驟包括:形成定向自組裝材料於蝕刻停止層上,並誘發定向自組裝材料使其分離成第一材料與第二材料於內連線介電層的部份上。移除第二材料,並對移除第二材料所露出的蝕刻停止介電層的一部份與內連線介電層的一部份進行蝕刻。在這些實施例中,處理依據蝕刻停止導電層的親水性改變導電結構的親水性。在這些實施例中,蝕刻停止導電層包括氧化鋁或氧化鋯。
在其他實施例中,裝置包括基板;層間介電層,位於基板上;多個導電結構,位於層間介電層中;蝕刻停止層,位於層間介電層與導電結構上;以及間隙,延伸於兩個導電結構之間。在這些實施例中,蝕刻停止層包括導電蝕刻停止材料位於導電結構上,以及介電蝕刻停止材料位於層間介電層上。在這些實施例中,導電蝕刻停止材料的上表面與介電蝕刻停止材料的上表面實質上齊平。在這些實施例中,蝕刻停止層為第一蝕刻停止層,且裝置更包括第二蝕刻停止層位於基板與層間介電層之間。第二蝕刻停止層定義間隙底部。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
100、2000‧‧‧方法 102、104、106、108、110、112、114、116、118、120、122、124、126、128、130、132、134、136、138、140、2002、2004、2006、2008、2010、2012、2014、2016‧‧‧步驟 200、2100‧‧‧工件 202‧‧‧基板 204‧‧‧內連線結構 206‧‧‧鰭狀物 208‧‧‧隔離介電層 210‧‧‧源極/汲極結構 212‧‧‧通道區 214‧‧‧閘極結構 216‧‧‧界面層 218‧‧‧閘極介電層 220‧‧‧閘極 222‧‧‧閘極蓋 224‧‧‧側壁間隔物 226‧‧‧底接點蝕刻停止層 228‧‧‧層間介電層 228A‧‧‧通孔級層間介電層 228B‧‧‧線路級層間介電層 230‧‧‧源極/汲極接點 232‧‧‧閘極接點 234‧‧‧接點襯墊層 236‧‧‧接點填充層 238‧‧‧導電線路 240‧‧‧襯墊層 242‧‧‧填充材料 244‧‧‧線路蓋 402‧‧‧蝕刻停止線路蓋 404、604‧‧‧厚度 602‧‧‧介電蝕刻停止材料 802‧‧‧中間級蝕刻停止層 1002、1304、2104‧‧‧凹陷 1102‧‧‧通孔 1302、2102‧‧‧光阻材料 1306‧‧‧方向 1402、2302‧‧‧定向自組裝層 1402A、1402B、2302A、2302B‧‧‧聚合物 1502、1504、2402、2404‧‧‧寬度 1902、2602‧‧‧氣隙
圖1A與1B係本發明多種實施例中,具有內連線結構的工件之製作方法的流程圖。 圖2A係本發明實施例中,經由製作方法形成的工件之透視圖。 圖2B與圖3至12係本發明多種實施例中,經由製作方法形成的工件之剖視圖。 圖13至18係本發明多種實施例中,經由製作方法形成的工件之透視圖。 圖19係本發明多種實施例中,經由製作方法形成的工件之剖視圖。 圖20係本發明多種實施例中,具有定義氣隙的定向自組裝層之工件的製作方法之流程圖。 圖21至25係本發明多種實施例中,經由製作方法形成的工件之透視圖。 圖26係本發明多種實施例中,經由製作方法形成的工件之剖視圖。
200‧‧‧工件
202‧‧‧基板
204‧‧‧內連線結構
210‧‧‧源極/汲極結構
212‧‧‧通道區
214‧‧‧閘極結構
216‧‧‧界面層
218‧‧‧閘極介電層
220‧‧‧閘極
222‧‧‧閘極蓋
224‧‧‧側壁間隔物
226‧‧‧底接點蝕刻停止層
228‧‧‧層間介電層
228A‧‧‧通孔級層間介電層
228B‧‧‧線路級層間介電層
230‧‧‧源極/汲極接點
232‧‧‧閘極接點
234‧‧‧接點襯墊層
236‧‧‧接點填充層
238‧‧‧導電線路
240‧‧‧襯墊層
242‧‧‧填充材料
244‧‧‧線路蓋
402‧‧‧蝕刻停止線路蓋
602‧‧‧介電蝕刻停止材料
802‧‧‧中間級蝕刻停止層
1102‧‧‧通孔
1902‧‧‧氣隙

Claims (1)

  1. 一種積體電路裝置的形成方法,包括: 接收一工件,其具有一內連線結構,且該內連線結構包括: 一第一導電結構; 一第二導電結構,位於該第一導電結構旁邊;以及 一層間介電層,位於該第一導電結構與該第二導電結構之間; 選擇性沉積一蝕刻停止層的導電材料於該第一導電結構上與該第二導電結構上,而不沉積該蝕刻停止層的導電材料於該層間介電層上;以及 移除該層間介電層,以形成一間隙於該第一導電結構與該第二導電結構之間。
TW108122250A 2018-06-29 2019-06-26 積體電路裝置的形成方法 TW202006805A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862691809P 2018-06-29 2018-06-29
US62/691,809 2018-06-29
US16/380,386 US11244898B2 (en) 2018-06-29 2019-04-10 Integrated circuit interconnect structures with air gaps
US16/380,386 2019-04-10

Publications (1)

Publication Number Publication Date
TW202006805A true TW202006805A (zh) 2020-02-01

Family

ID=69007731

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108122250A TW202006805A (zh) 2018-06-29 2019-06-26 積體電路裝置的形成方法

Country Status (3)

Country Link
US (2) US11244898B2 (zh)
CN (1) CN110660732A (zh)
TW (1) TW202006805A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI763393B (zh) * 2020-03-31 2022-05-01 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
US11682707B2 (en) 2020-03-31 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Contact formation method and related structure

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3514833B1 (en) * 2018-01-22 2022-05-11 GLOBALFOUNDRIES U.S. Inc. A semiconductor device and a method
US10957604B2 (en) * 2018-10-31 2021-03-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10692775B2 (en) * 2018-11-09 2020-06-23 Applied Materials, Inc. Fin damage reduction during punch through implantation of FinFET device
US10930551B2 (en) 2019-06-28 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for fabricating a low-resistance interconnect
US11232986B2 (en) * 2019-10-11 2022-01-25 Samsung Electronics Co., Ltd. Integrated circuit devices including enlarged via and fully aligned metal wire and methods of forming the same
US10896848B1 (en) * 2019-10-15 2021-01-19 Nanya Technology Corporation Method of manufacturing a semiconductor device
KR20210137276A (ko) * 2020-05-07 2021-11-17 삼성전자주식회사 반도체 소자
US11380794B2 (en) * 2020-05-08 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device having contact plugs with re-entrant profile
US11557510B2 (en) * 2020-07-30 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Spacers for semiconductor devices including backside power rails
US11456209B2 (en) 2020-07-31 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Spacers for semiconductor devices including a backside power rails
KR20220040074A (ko) * 2020-09-23 2022-03-30 삼성전자주식회사 에어 갭을 포함하는 반도체 소자 제조 방법
US11955382B2 (en) * 2020-12-03 2024-04-09 Applied Materials, Inc. Reverse selective etch stop layer
US11856751B2 (en) * 2021-03-12 2023-12-26 Taiwan Semiconductor Manufacturing Company Limited Drain sharing for memory cell thin film access transistors and methods for forming the same
US11984351B2 (en) 2021-04-13 2024-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cavity in metal interconnect structure
US11842966B2 (en) * 2021-06-23 2023-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated chip with inter-wire cavities

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6555467B2 (en) * 2001-09-28 2003-04-29 Sharp Laboratories Of America, Inc. Method of making air gaps copper interconnect
US7094689B2 (en) * 2004-07-20 2006-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Air gap interconnect structure and method thereof
DE102008059650B4 (de) * 2008-11-28 2018-06-21 Globalfoundries Inc. Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
US8349731B2 (en) * 2011-03-25 2013-01-08 GlobalFoundries, Inc. Methods for forming copper diffusion barriers for semiconductor interconnect structures
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
EP3796371A3 (en) * 2013-09-27 2021-10-06 INTEL Corporation Self-aligned via and plug patterning for back end of line (beol) interconnects
US9040417B2 (en) * 2013-10-04 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9054164B1 (en) * 2013-12-23 2015-06-09 Intel Corporation Method of forming high density, high shorting margin, and low capacitance interconnects by alternating recessed trenches
US10170358B2 (en) * 2015-06-04 2019-01-01 International Business Machines Corporation Reducing contact resistance in vias for copper interconnects
US10163797B2 (en) * 2015-10-09 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Forming interlayer dielectric material by spin-on metal oxide deposition
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10157777B2 (en) * 2016-05-12 2018-12-18 Globalfoundries Inc. Air gap over transistor gate and related method
KR102217242B1 (ko) * 2017-03-08 2021-02-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI763393B (zh) * 2020-03-31 2022-05-01 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
US11682707B2 (en) 2020-03-31 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Contact formation method and related structure

Also Published As

Publication number Publication date
US11244898B2 (en) 2022-02-08
CN110660732A (zh) 2020-01-07
US20220157720A1 (en) 2022-05-19
US20200006228A1 (en) 2020-01-02

Similar Documents

Publication Publication Date Title
TW202006805A (zh) 積體電路裝置的形成方法
KR101785163B1 (ko) 반도체 소자 구조물의 상호 연결 구조물의 비아 윤곽을 형성하는 방법
US10727178B2 (en) Via structure and methods thereof
TWI615906B (zh) 半導體裝置及其製造方法
TWI628693B (zh) 半導體裝置及其形成方法
TWI713147B (zh) 半導體裝置的形成方法
KR101401758B1 (ko) 자가정렬된 상호접속 및 블록킹 부분을 갖는 반도체 디바이스
US8962432B2 (en) Semiconductor device with self aligned end-to-end conductive line structure and method for forming the same
TW201947767A (zh) 積體電路裝置
US11594419B2 (en) Reduction of line wiggling
KR20140111913A (ko) 개선된 비아 랜딩 프로파일을 위한 신규한 패터닝 방법
TW201941362A (zh) 製作積體電路裝置的方法
KR101422944B1 (ko) 자가 정렬된 상호연결부들을 갖춘 반도체 디바이스
KR20090038599A (ko) 반도체 소자의 스택 커패시터 및 그의 형성방법
WO2020051826A1 (en) Novel 3d nand memory device and method of forming the same
KR20150132028A (ko) 공기 간극 구조를 구비한 반도체 장치 및 그 제조 방법
WO2014029151A1 (zh) 一种半导体结构及其制造方法
TW202022960A (zh) 積體電路裝置的形成方法
TW202247429A (zh) 元件及其形成方法
TW202137513A (zh) 用於形成在立體記憶體元件中的接觸結構的方法
TWI691072B (zh) 積體電路與其製作方法
US9136164B2 (en) Semiconductor device and fabrication method
TW202303849A (zh) 積體晶片
US9607885B2 (en) Semiconductor device and fabrication method
TWI803209B (zh) 製造半導體元件之方法