KR20150132028A - 공기 간극 구조를 구비한 반도체 장치 및 그 제조 방법 - Google Patents

공기 간극 구조를 구비한 반도체 장치 및 그 제조 방법 Download PDF

Info

Publication number
KR20150132028A
KR20150132028A KR1020150068208A KR20150068208A KR20150132028A KR 20150132028 A KR20150132028 A KR 20150132028A KR 1020150068208 A KR1020150068208 A KR 1020150068208A KR 20150068208 A KR20150068208 A KR 20150068208A KR 20150132028 A KR20150132028 A KR 20150132028A
Authority
KR
South Korea
Prior art keywords
layer
trench
conductive
conductive feature
substrate
Prior art date
Application number
KR1020150068208A
Other languages
English (en)
Other versions
KR101735912B1 (ko
Inventor
치위안 팅
쥬호릉 쉬
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/278,967 external-priority patent/US9496224B2/en
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20150132028A publication Critical patent/KR20150132028A/ko
Application granted granted Critical
Publication of KR101735912B1 publication Critical patent/KR101735912B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5228Resistive arrangements or effects of, or between, wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)

Abstract

일 방법은 반도체 장치의 기판상의 유전체 층에 전도성 특징부를 형성하는 단계를 포함한다. 기판상에 하드 마스크 층과 그 아래의 에칭 정지층이 형성된다. 하드 마스크 층과 그 아래의 에칭 정지층이 이후 패터닝된다. 패터닝된 에칭 정지층이 전도성 특징부의 위에 배치된다. 패터닝된 하드 마스크 층과 패터닝된 에칭 정지층 중 적어도 하나가 전도성 특징부에 인접한 유전체 층에 트렌치가 에칭되는 동안 마스킹 요소로서 사용된다. 이후 에칭된 트렌치의 위에 캡이 형성된다. 캡은 전도성 특징부에 배치된 패터닝된 에칭 정지층에 배치된다.

Description

공기 간극 구조를 구비한 반도체 장치 및 그 제조 방법{SEMICONDUCTOR DEVICE HAVING AIR GAP STRUCTURES AND METHOD OF FABRICATING THEREOF}
본 출원은 본 명세서에 참조로써 그 전체 내용이 인용되고 있는 2014년 5월 15일자로 출원된 미국 출원 제 14/278,967 호의 일부 계속 출원이다.
반도체 집적 회로(IC) 산업은 급속한 성장을 경험하여 왔다. IC 진화의 과정에서, 일반적으로, 기하학적 크기(즉, 제조 공정을 사용하여 생성될 수 있는 크기가 가장 작은 구성 요소(또는 배선)는 감소하여 온 반면 기능 밀도(즉, 칩 영역당 상호 접속 장치의 개수)는 증가하여 왔다. 이러한 스케일링 다운(scaling down) 공정은 일반적으로 생산 효율은 증가시키고 관련 비용은 감소시킴으로써 이득을 제공한다. 이러한 스케일링 다운은 또한, IC의 처리 및 제조 복잡성을 증가시켜 왔으며, 전술한 바와 같은 진보적인 이점들이 실현되기 위해서는, IC 제조 분야에서도 유사한 발전이 요구되고 있는 실정이다.
단지 일 예로서, 회로를 구성하는 구성 요소 사이에서 전기 신호를 운반하기 위해 사용되는 상호 접속부, 즉, 전도성 트레이스(trace)는 보통, 절연 물질에 매입되어 있다. 역사적으로, 이러한 절연 물질로는 이산화 규소가 사용되어 왔다. 그러나, 이산화 규소의 경우, 절연 특성을 나타내는 측정치인 상대 유전율(또는 유전 상수)이 비교적 높은 편이다. 이산화 규소를 대체하는 한편 상호 접속부 사이의 간섭, 잡음 및 기생 정전 용량을 감소시킬 수 있는, 상대 유전율이 더 낮은 유전체 물질을 제공하기 위해, 이산화 규소보다 유전 상수가 낮은, 즉, k 상수가 낮은 소정의 물질이 제안되어 왔다. 사실, 낮은 상대 유전율과 같은 절연 특성을 제공하기 위한 일 방법으로는, 공기의 유전 상수가 낮음을 고려하여, 공기 간극을 형성하는 방법이 있다. 그러나, 공기 간극 구조를 형성하기 위한 제조 공정 및 공기 간극 구조 자체는, 실시예에 따라서는 기존의 구조 및 제조 공정도 대체로 적당하긴 하지만, 모든 면에서 전체적으로 만족스럽지 못한 것으로 입증되고 있다.
본 발명의 일 목적은 공기 간극 구조를 구비한 반도체 장치 및 그 제조 방법을 제공하는 것이다.
본 발명의 일 태양에 따르면, 기판의 유전체 층에 전도성 특징부를 형성하는 단계와, 상기 기판의 위에 하드 마스크 층 및 그 아래의 에칭 정지층을 형성하는 단계와, 상기 하드 마스크 층 및 그 아래의 에칭 정지층을 패터닝하는 단계로서, 패터닝된 에칭 정지층이 상기 전도성 특징부의 위에 배치되도록 하는 단계와, 상기 전도성 특징부에 인접하여 상기 유전체 층에 트렌치를 에칭하는 동안 상기 패터닝된 하드 마스크 층 및 상기 패터닝된 에칭 정지층 중 적어도 하나를 마스킹 요소로서 사용하는 단계, 그리고 상기 에칭된 트렌치의 위에 캡을 형성하여, 상기 캡이 상기 전도성 특징부의 위에 배치된 상기 패터닝된 에칭 정지층의 위에 배치되는 단계를 포함하는 것을 특징으로 하는 반도체 장치 제조 방법이 제공된다.
본 발명은 유전율 감소와 같은 절연 특성을 제공하기 위한 공기 간극 구조를 갖춘 반도체 장치를 제공할 수 있는 효과가 있다.
본 개시의 태양이 첨부 도면과 함께 아래의 상세한 설명을 읽음으로써 가장 잘 이해된다. 산업 분야에서의 표준 실시에 따라, 다양한 특징부가 실제 크기로 도시되어 있지는 않음에 주목하여야 한다. 사실, 다양한 특징부의 치수는 설명의 명료성을 위해 임의로 증가 또는 감소시킬 수도 있다.
도 1은 실시예에 따른 반도체 장치를 제조하기 위한 방법의 일 실시예를 도시한 순서도이다.
도 2 내지 도 11은 실시예에 따르며 도 1의 방법의 하나 이상의 단계에 따라 처리되는 반도체 기판의 단면도이다.
도 12는 실시예에 따라 제조되는 반도체 장치의 다른 실시예이다.
도 13은 실시예에 따라 제조되는 반도체 장치의 또 다른 실시예이다.
도 14는 본 개시의 하나 이상의 태양에 따른 공기 간극을 구비한 반도체 장치의 상면도이다.
도 15는 실시예에 따라 제조되는 반도체 장치의 또 다른 실시예이다.
도 16은 실시예에 따라 제조되는 반도체 장치의 또 다른 실시예이다.
도 17은 실시예에 따른 반도체 장치를 제조하기 위한 방법의 일 실시예를 도시한 순서도이다.
도 18 내지 도 23은 실시예에 따르며 도 17의 방법의 하나 이상의 단계에 따라 처리되는 반도체 기판의 단면도이다.
도 24 내지 도 27은 본 개시의 하나 이상의 태양에 따른 공기 간극을 구비한 반도체 장치의 상면도이다.
아래의 개시는 제공되고 있는 주제의 상이한 특징부를 실시하기 위해 다수의 상이한 실시예 또는 예를 제공한다. 본 개시의 간명성을 위해 특정 예의 구성 요소 및 장치가 아래에 설명된다. 물론, 이러한 구성 요소 및 장치는 단지 예시로서 제공된 것으로서 이로만 제한되어야 하는 것은 아니다. 예를 들어, 아래의 설명에서 제 2 특징부의 위에 또는 제 2 특징부 상에 제 1 특징부를 형성하는 것과 관련하여, 제 1 및 제 2 특징부가 직접 접촉하도록 형성되는 실시예를 포함할 수도 있으며, 또한 제 1 및 제 2 특징부의 사이에 추가의 특징부가 형성될 수도 있어 제 1 및 제 2 특징부가 직접 접촉하지 않을 수도 있는 실시예를 포함할 수도 있다. 또한, 본 개시에서는 다양한 예에 걸쳐 참조 부호 및/또는 문자가 반복 사용될 수도 있다. 이러한 반복은 간명성 및 명료성을 위한 것으로, 논의되고 있는 다양한 실시예 및/또는 구성 사이의 관계를 지시하는 것은 아니다.
또한, "아래(beneath)", "하측(below)", "하부(lower)", "위에(above)", "상부(upper)" 등과 같은 공간적으로 상대적인 개념의 용어가 도면에 도시된 바와 같은 일 구성 요소 또는 특징부와 다른 구성 요소(들) 또는 특징부(들)와의 관계를 설명함에 있어 설명의 용이성을 위해 사용될 수도 있다. 이러한 공간적으로 상대적인 개념의 용어들은 도면에 도시된 방위에 추가하여 사용 또는 작동 시의 장치의 서로 다른 여러 방위를 포함하기 위한 것이다. 장치가 그외 다른 방식으로 배향(90도 또는 그외 다른 방위로 회전)될 수도 있으며, 이에 따라 본 명세서에서 사용되고 있는 바와 같은 공간적으로 상대적인 서술 어구들 또한 마찬가지로 해석될 수도 있다.
도 1에는 공기 간극을 갖는 상호 접속 구조를 포함하는 집적 회로와 같은 반도체 장치를 형성하기 위한 방법(100)이 도시되어 있다. 도 2 내지 도 11에는 도 1의 방법 및 본 개시의 다양한 태양에 따라 구성되며 다양한 제조 국면에서의 반도체(또는 IC) 장치(200)가 단면도로 도시되어 있다. 방법(100) 및 장치(200)가 총괄하여 아래에 설명된다. 그러나, 방법(100)의 실시 이전이나 이후, 또는 실시 동안 추가 단계가 제공될 수 있으며, 본 명세서에 설명되고 있는 이들 단계 중 일부가 그외 다른 단계로 대체되거나 배제될 수도 있다. 유사하게, 추가의 특징부가 장치(200)에 존재할 수도 있으며 및/또는 존재하는 특징부가 추가 실시예에서는 대체되거나 배제될 수도 있다.
도 1을 참조하면, 방법(100)은 기판(예를 들어, 웨이퍼)이 제공되는 블록(102)에서 시작한다. 도 2의 예를 참조하면, 기판(202)이 제공된다. 기판(202)은 실리콘을 포함할 수도 있다. 선택적으로 또는 추가적으로, 기판(202)은 게르마늄과 같은 그외 다른 기본적인 반도체 물질을 포함할 수도 있다. 기판(202)은 탄화 규소, 비화 갈륨, 비화 인듐, 인화 인듐 및/또는 그외 다른 적당한 물질과 같은 화합물 반도체일 수도 있다. 또한, 기판(202)은 실리콘 게르마늄, 실리콘 게르마늄 카바이드, 갈륨 비소인, 갈륨 인듐인 및/또는 그외 다른 적당한 합금 물질과 같은 반도체 합금을 포함할 수도 있다. 일 실시예에서, 기판(202)은 에피텍셜 층(예를 들어, 벌크 기판(bulk substrate)을 덮고 있는 층)을 포함한다.
블록(102)에서 제공되며 예시로서 도시된 기판(202)은 또한, 반도체 장치의 다양한 특징부를 포함한다. 예를 들어, 기판(202)은 이온 주입 및/또는 확산과 같은 공정에 의해 실시되는 p-타입 도핑 영역 및/또는 n-타입 도핑 영역을 포함할 수도 있다. 이들 도핑 영역은 n-우물, p-우물, 저도핑 영역(LDD), 고도핑 소오스/드레인(S/D), 그리고 상보형 금속 산화물 반도체 전계 효과 트랜지스터(MOSFET), 이미징 센서, 발광 다이오드(LED) 및/또는 그외 다른 반도체 장치와 같은 다양한 집적 회로(IC) 장치를 형성하도록 구성되는 다양한 채널 도핑 프로파일을 포함한다. 기판(202)은 기판상에 및/또는 기판 내부에 형성되는 레지스터 또는 커패시터와 같은 그외 다른 기능상 특징부를 추가로 포함할 수도 있다. 기판(202)은, 예를 들어, 쉘로우 트렌치 분리(STI:shallow trench isolation) 특징부와 같은 다양한 장치를 분리하도록 제공되는 분리 특징부를 추가로 포함할 수도 있다. 기판(202) 상에 형성된 다양한 반도체 장치는 채널 영역 위에 놓인 게이트 구조와 같은 그외 다른 특징부를 추가로 포함할 수도 있다.
이후, 방법(100)은 기판상에 전도성 특징부가 형성되는 블록(104)으로 진행한다. 일 실시예에서, 전도성 특징부는 상호 접속 구조의 적어도 하나의 층이다. 일 실시예에서, 상호 접속 구조는 유전체가 개재된 금속 배선, 접점 및 비아(via)와 같은 복수 개의 전도성 특징부를 포함하는 다층 상호 접속 구조이다. 상호 접속 구조는 p-타입 및/또는 n-타입 도핑 영역과 같은 다양한 반도체 특징부 및 그외 다른 기능상 특징부(게이트 전극과 같은)를 전기적으로 결합하여 기능상 집적 회로를 제공하도록 구성될 수도 있다. 일 실시예에서, 상호 접속 구조(예를 들어, MLI)는 전원 및 신호의 입출력을 위해 기판상의 장치를 결합하기 위한 전기 경로(routing)를 제공한다.
예시를 위해 도 2에 바람직한 전도성 특징부(204)가 도시되어 있다. 일 실시예에서, 전도성 특징부(204)는 상호 접속 구조의 일부이다. 예를 들어, 전도성 특징부(204)는 접점, 금속 배선 또는 금속 비아일 수도 있다. 그외 다른 실시예에서, 전도성 특징부는 커패시터의 플레이트와 같은 다른 유형의 특징부일 수도 있다.
전도성 특징부(204)로 예시되어 있는 블록(104)의 전도성 특징부는 알루미늄(Al), 구리(Cu), 텅스텐(W) 및/또는 그외 다른 적당한 물질을 포함할 수도 있다. 일 실시예에서, 전도성 특징부(204)는, 예를 들어, 확산을 방지하거나 접착성을 개선하기 위한 배리어 층을 포함한다. 일 예의 배리어 층은 질화 티타늄(TiN), 질화 탄탈륨(TaN), 질화 텅스텐(WN), 질화 티타늄 실리콘(TiSiN), 질화 탄탈륨 실리콘(TaSiN) 및/또는 그외 다른 적당한 물질을 포함한다. 전도성 특징부(204)는 리소그래피, 에칭, 증착 등과 같은 적당한 공정에 의해 형성될 수도 있다.
전도성 특징부(204)는 기판상에 배치된 유전체 물질에 의해 둘러싸일 수도 있다. 일 실시예에서, 유전체 물질은 k 상수가 낮은 유전체 물질이다. 다양한 예에서, k 상수가 낮은 유전체 물질의 예에는 불화 실리카 글래스(FSG), 탄소 도핑 실리콘 산화물, 블랙 다이아몬드®(캘리포니아(California), 산타 클라라(Santa Clara)의 응용 물질), 크세로겔, 에어로겔, 무정형 불화 탄소, 파릴렌, BCB(비스-벤조사이클로부텐), SiLK(미시간(Michigan), 미드랜드(Midland), 도우 케미컬(Dow Chemical)), 폴리이미드 및/또는 그외 다른 물질이 포함될 수도 있다. 다른 예로서, 로우 k 유전체 물질은 k 상수가 극도로 낮은 유전체 물질(extreme low k dielectric material; ELK)을 포함할 수 있다. 다른 예로서, k 상수가 낮은 유전체 물질은 수소 실세스퀴옥산계의 FOX(유동 가능 산화물)로 불리우는 기존의 도우 코닝(Dow Corning) 유전체 물질의 다공성 버전을 포함한다. 유전체 물질은 스핀-온 코팅 또는 화학적 기상 증착(CVD)과 같은 적당한 공정에 의해 형성될 수도 있다. 화학적 기계 연마(CMP) 공정은 유전체 물질 및/또는 전도성 특징부(204)를 포함하는 표면을 평탄화하도록 사용될 수도 있음에 주목하여야 한다.
일 실시예에서, 이후, 전도성 특징부상에 캡(cap)이 형성된다. 도 2에 도시된 바와 같이, 캡(206)이 전도성 특징부(204)상에 배치된다. 일 실시예에서, 캡(206)은 코발트(Co)를 포함한다. 캡(206)은 화학적 기상 증착(CVD)과 같은 적당한 공정에 의해 형성될 수도 있다. 이러한 공정에 의해 물질이 전도성 특징부(예를 들어, 전술한 바와 같은 배리어 층을 포함)상에 선택적으로 증착될 수도 있다. 장치(200)의 그외 다른 구성에 관한 실시예를 포함하여 기타 실시예에서는 캡(206)이 생략될 수도 있다.
이후, 방법(100)은 기판상에 하드 마스크 층이 형성되는 블록(106)으로 진행한다. 하드 마스크 층은, 블록(104)을 참조하여 전술한 바와 같이, 전도성 특징부 위에 형성될 수도 있다. 이러한 하드 마스크 층은 제 1 하드 마스크 층과 그 아래의 제 2 하드 마스크 층을 포함할 수도 있다. 도 3의 예를 참조하면, 장치(200)에 하드 마스크 층(302, 304)이 배치된다. 본 명세서에서 하드 마스크 층(302)을 제 2 하드 마스크 층이라 하며, 하드 마스크 층(304)을 제 1 하드 마스크 층이라 한다.
일 실시예에서, 제 1 하드 마스크 층(304)은, 예를 들어, SiCN, SiN, SiO2, SiON 및/또는 그외 다른 적당한 조성과 같은 유전체 조성을 갖는다. 일 실시예에서, 제 2 하드 마스크 층(302)은 금속(M)과 산화물(O) 및/또는 질소(N) 성분을 포함한다. 금속 조성의 예에는, Al, Mn, Co, Ti, Ta, W, Ni, Sn 및 Mg가 포함된다. 제 2 하드 마스크 층의 조성은 MxOyNz으로 나타내어질 수도 있다. 일 실시예에서, x는 대략 20 wt% 내지 대략 70 wt%이다. 일 실시예에서, y는 대략 0 wt% 내지 대략 80 wt%이다. 일 실시예에서, z는 대략 0 wt% 내지 대략 80 wt%이다. 일 실시예에서, y 및 z는 x보다 크다. 이에 따라, 예를 들어, 브릿징(bridging) 현상을 방지할 수도 있다. 따라서, 제 2 하드 마스크 층(302)의 바람직한 조성에는, 이로만 제한되는 것은 아니지만, AlON 및 AlN이 포함된다. 일 실시예에서, 제 2 하드 마스크 층(302)은 본 명세서에서 논의되고 있는 조성 중 하나 이상을 포함하며, 예를 들어, 전술한 MxOyNz 조성의 절연 물질을 포함하는 절연 물질로 구성된다.
일반적으로, 제 1 하드 마스크 층(304)과 제 2 하드 마스크 층(302)의 조성은, 제 2 하드 마스크 층(302)이 제 1 하드 마스크 층(304)과 비교하여 더 높은 에칭 선택성을 갖도록 선택된다(즉, 에칭 공정에 의해 제 1 하드 마스크 층은 에칭되고 제 2 하드 마스크 층은 손상되지 않은 상태로 남아 있도록 제 2 하드 마스크 층(302)의 에칭률이 실질적으로 더 낮다). 일 실시예에서, 에칭 선택성은 플라즈마 에칭에 관한 것이다. 일 실시예에서, 에칭 선택성은 후술하는 바와 같은 트렌치를 형성하도록 사용되는 바와 같은 하부의 유전체 층(예를 들어, k 상수가 낮은 유전체)의 에칭에 관한 것이다. 또한, 실시예에 따라, 제 2 하드 마스크 층(302)의 조성은 후술하는 바와 같이 습식 에칭 공정을 통해 제거될 수 있도록 선택된다.
일 실시예에서, 제 2 하드 마스크 층(302)은 대략 5 Å 내지 대략 50 Å의 두께를 갖는다. 제 2 하드 마스크 층(302)은 원자 층 증착(ALD), 화학적 기상 증착(CVD), 물리적 기상 증착(PVD), 코팅 및/또는 그외 다른 적당한 방법과 같은 증착 방법을 사용하여 형성될 수도 있다.
하드 마스크 층을 형성한 후, 방법(100)은 공기 간극 구조 패턴을 획정하는 마스킹(masking) 요소(들)가 형성되는 블록(108)으로 진행한다. 도 14에 공기 간극 구조(1104)의 일 실시예가 상면도로 도시되어 있긴 하지만, 본 개시의 범위 내에서 그외 다른 패턴이 또한 가능하다. 마스크 요소의 형성은 후술하는 바와 같은 복수 개의 단계를 포함할 수도 있다.
일 실시예에서, 패턴을 획정하는 마스킹 요소를 형성하는 단계는, 기판에 배치되며, 특히, 하드 마스크 층에 배치되는 광감성 물질의 형성을 포함한다. 광감성 물질은 적당한 리소그래피 기술을 사용하여 패터닝된다. 패터닝된 광감성 물질은 후속 에칭을 위한 기판의 일부를 획정하여 노출시키는 다양한 개구를 포함한다. 도 4의 예를 참조하면, 하드 마스크 층(304)에 패터닝된 포토레지스트 층(402)이 배치된다. 포토레지스트 층(402)은 후속 에칭을 위한 개구(404)를 포함한다. 개구(404)는 이후 형성되는 공기 간극 구조용 패턴을 획정한다. 특히, 개구(404)는 개개의 전도성 특징부(204)와 정렬되며, 공기 간극 구조는 이러한 전도성 특징부에 인접하게 배치되어야 한다.
일 실시예에서, 패터닝된 포토레지스트 층(402)은 코팅, 노광, 노광-후 베이킹, 그리고 현상을 포함하는 적당한 공정에 의해 형성된다. 예를 들어, 스핀-온 코팅을 사용하여 포토레지스트 코팅이 형성될 수도 있다. 일 예로서, 코팅 포토레지스트 층은 기설정된 패턴을 구비한 마스크를 통과하는 방사선 빔에 의해 선택적으로 노광 처리된다. 일 예로서, 방사선 빔은 자외선(UV)을 포함한다. 노광 공정은 마스크리스 노광(maskless exposing) 또는 노광(writing) 공정과 같은 그외 다른 기술을 포함하도록 추가로 확장될 수도 있다. 노광 공정 이후, 포토레지스트는 열적 베이킹 공정, 즉, 노광-후 베이킹(PEB:post exposure bake)을 이용하여 추가로 처리된다. 이후, 노광 처리된 포토레지스트 층이 현상 처리됨으로써, 현상 공정 동안 노출 레지스트 부분이 용해 세척된다. 전술한 리소그래피 공정이 단지 리소그래피 패터닝 기술과 연관된 하위 세트의 처리 단계만을 제공할 수도 있다. 리소그래피 공정이 적절한 순서로 이루어지는 세정 및 베이킹 공정과 같은 그외 다른 단계를 추가로 포함할 수도 있다. 예를 들어, 현상 처리된 레지스트 층이 하드 베이킹으로 일컬어지는 베이킹 공정을 추가로 거칠 수도 있다. 또한, 다층 레지스트 조성, 반사 방지 코팅 및/또는 그외 다른 적당한 층과 같은 추가의 물질이 사용될 수도 있다.
도 4의 패터닝된 포토레지스트 층(402)으로 도시된 바와 같은 포토레지스트 마스킹 요소를 형성한 후, 패터닝된 포토레지스트 마스킹 요소는 노출 하드 마스크 층을 선택적으로 에칭하도록 사용된다. 도 5의 예를 참조하면, 포토레지스트(402)의 개구(404)를 통해 제 1 하드 마스크 층(304)이 에칭된다. 이러한 에칭은 제 2 하드 마스크 층(302)상에서 정지될 수도 있음에 주목하여야 한다. 일 실시예에서, 제 1 하드 마스크 층(304)의 에칭 선택성에 비해 높은 제 2 하드 마스크 층(302)의 조성의 에칭 선택성을 통해 적절한 에칭 정지가 이루어진다.
제 1 하드 마스크 층의 에칭 이후, 패터닝된 광감성(포토레지스트) 층이 기판으로부터 제거될 수도 있다. 일 실시예에서, 패터닝된 광감성 층이 스트리핑(stripping) 처리된다. 도 6의 예를 참조하면, 포토레지스트 층(402)이 기판으로부터 제거되어 있다. 포토레지스트 층(402)은 습식 스트리핑 또는 O2 플라즈마 에싱(ashing)과 같은 공정에 의해 제거될 수도 있다. 포토레지스트 층(402)의 제거 동안 k 상수가 낮은 유전체 물질 또는 k 상수가 극도로 낮은 유전체 물질과 같은 기판(202)에 형성된 유전체 물질이 스트리핑 공정 및/또는 화학 약품으로 인한 손상 가능성으로부터 보호됨에 주목하여야 한다. 이것은 제거 공정 동안 제 2 하드 마스크 층(302)이 노출 영역을 덮고 있기 때문이다.
포토레지스트 층의 제거 이후, 에칭 공정에 의해 노출된 제 2 하드 마스크 층(예를 들어, 패터닝된 제 1 하드 마스크 층의 아래에 위치하지 않는 부분)이 제거된다. 일 실시예에서, 에칭은 습식 에칭 공정이다. 바람직한 습식 에칭 조성은 산 및/또는 산화제를 포함한다. 일 예로서, 습식 에칭은 불소(F)로 이루어진 산 및/또는 과산화물(H2O2) 산화제를 포함한다. 도 7의 예를 참조하면, 하드 마스크 층(304)의 노출부가 제거되어 있다. 다시 말해, 개구(404)의 아래에 놓인 하드 마스크 층(304)의 부분이, 예를 들어, 전술한 바와 같은 습식 에칭에 의해 기판(202)으로부터 제거된다.
따라서, 도 1 및 도 7을 참조하면, 공기 간극이 소망되는 전도성 특징부(204)의 위에 개구를 획정하는 마스킹 요소(702)가 형성된다. 구체적으로, 도 7에는 패터닝된 제 2 하드 마스크 층(302)을 포함하는 마스킹 요소(702)가 도시되어 있다. 이러한 마스킹 요소는 전술한 블록(108)의 단계 중 하나 이상을 사용하여 형성될 수도 있으며, 형성된 후에는 후술하는 바와 같은 기판(202)의 후속 패터닝 공정에 사용될 수도 있다. 마스킹 요소는 패턴에 따라 그 아래에 놓인 층(들) 및/또는 기판의 일부를 노출시키면서 나머지 부분을 보호한다.
블록(108)에서의 마스킹 요소의 형성 이후, 방법(100)은 공기 간극 구조용 트렌치 또는 트렌치들이 기판에 에칭되는 블록(110)으로 진행한다. 구체적으로, 공기 간극 구조용 트렌치(들)는 전도성 특징부를 둘러싸고 있는 k 상수가 낮은 유전체 층과 같은 기판의 유전체 층에 에칭된다. 일 실시예에서, 블록(108)의 마스킹 요소는 에칭하고자 하는 k 상수가 낮은 유전체 층에 개구를 획정하도록 사용된다. 도 8의 예를 참조하면, 하드 마스크 층(302)을 포함하는 마스킹 요소(702)가 공기 간극 구조를 형성하는 트렌치(802)를 획정하도록 사용된다. 트렌치(802)는 k 상수가 낮은 유전체와 같은 기판(202)의 유전체 물질에 형성된다. 일 실시예에서, 트렌치(802)는 전도성 특징부(204)에 부가적으로 적어도 전도성 특징부의 바닥까지 연장된다. 일 실시예에서, 트렌치(802)는 도 14에 도시된 패턴 및 구성 요소(1104)와 실질적으로 유사하게 형성된다. 일 실시예에서, 트렌치(802)에는 공기가 채워져 있다.
일 실시예에서, 트렌치(802)의 에칭 이후, 습식 세정 공정이 수행된다. 일 실시예에서, 공기 간극을 형성하기 위한 트렌치의 에칭 동안, 제 1 하드 마스크 층(304)이 또한 기판(202)으로부터 제거될 수도 있다. 예를 들어, 일 실시예에서, 제 2 하드 마스크 층(302)은 트렌치(802)의 에칭에 의해 현저하게 에칭되지는 않도록 하는 조성으로 형성되는 반면, 제 1 하드 마스크 층(304)은 전체적으로 또는 부분적으로 기판(202)으로부터 제거되도록 하는 조성으로 형성될 수도 있다.
블록(110)의 에칭 공정 및/또는 트렌치(802)의 형성은 플라즈마 에칭 공정에 의해 이루어질 수도 있다. 일 실시예에서, 전술한 바와 같이, 제 2 및 제 1 하드 마스크 층의 조성은 제 2 하드 마스크 층이 블록(110)의 (예를 들어, 플라즈마) 에칭 공정 및/또는 공기 간극 구조용 트렌치의 에칭과 관련하여 더 높은 에칭 선택성을 갖도록 선택된다. 이에 따라, 블록(110)의 에칭에 의해 제 1 하드 마스크 층(304)이 제거될 수도 있는 반면, 제 2 하드 마스크 층(302)은 비교적 실질적으로 두께 변화없이 유지된다.
일 실시예에서, 기판(202)(유전체 층)의 에칭 이후, 적당한 에칭 공정에 의해 캡(206)이 제거된다. 이러한 실시예에 대해서는 도 12를 참조하여 아래에 더 상세히 설명하기로 한다. 일 실시예에서, 노출 전도성 특징부(204)상의 또는 공기 간극 구조에 인접한 전도성 특징부상의 캡(206)만이 제거된다.
이후, 방법(100)은 전도성 특징부 캡이 형성되는 블록(112)으로 진행한다. 일 실시예에서, 도 2를 참조하여 전술한 캡(206)에 추가하여, 본 단계의 전도성 특징부 캡이 제공된다. 다른 실시예에서, 논의되고 있는 본 단계의 전도성 특징부 캡이 전도성 특징부상에 직접 형성된다. 이러한 캡의 형성은, 도 2를 참조하여 전술한 캡(206)이 방법에서 생략되거나 다른 공정에서 제거됨에 따라 초래할 수도 있다. 이에 대해서는 전술한 설명을 참조한다. 전도성 특징부 캡은 전도성 특징부상에만 선택적으로 형성되거나 증착될 수도 있다. 도 10의 예를 참조하면, 전도성 특징부(204)에 전도성 특징부 캡(1002)이 배치된다. 일 실시예에서, 전도성 특징부 캡(1002)은 장치(200)의 그리고, 예를 들어, 전도성 특징부(204)의 신뢰성을 향상시킨다. 일 실시예에서, 전도성 특징부 캡(1002)은 코발트(Co)로 형성된다.
전술한 캡(206)이 제조 공정 동안 산화될 수도 있음에 주목하여야 한다. 이에 따라, 구체적으로 도시되어 있지는 않지만, 캡(206)과 캡(1002)의 사이에 산화 층이 존재할 수도 있다. 따라서, 일 실시예에서, 증착된 바와 같은 캡은 Co이며, 증착된 바와 같은 캡(1002)도 Co이다. 그러나, 캡(1002)의 증착 전에 캡(206)이 산화됨으로 인해, 캡(206)과 캡(1002)이 합쳐져 형성되는 특징부 내부에(예를 들어, 증착 캡(206)의 표면 영역에) 산화 층이 존재하게 된다.
실시예에 따라, 캡(1002)의 형성 이후, 기판(202)에 배리어 층이 형성될 수도 있다. 이 배리어 층은 도 13을 참조하여 후술하는 배리어 층(1302)과 실질적으로 유사할 수도 있다.
이후, 방법(100)은 기판에 공기 간극 구조용 캡 구조가 형성되는 블록(114)으로 진행한다. 일 실시예에서, 화학적 기상 증착(CVD), 물리적 기상 증착(PVD), 코팅 공정 및/또는 그외 다른 적당한 공정에 의해 공기 간극 캡 구조가 형성된다. 일 실시예에서, 공기 간극 캡 구조는 금속(M), O 및/또는 N 조성을 갖는 세라믹이다. 바람직한 금속으로는 Al, Mn, Co, Ti, Ta, W, Ni, Sn 및 Mg가 있다. 공기 간극 캡 구조의 M, O 및 N은 MxOyNz로 나타내어질 수도 있다. 일 실시예에서, x는 대략 20 wt% 내지 대략 70 wt%이다. 일 실시예에서, y는 대략 0 wt% 내지 대략 80 wt%이다. 일 실시예에서, z는 대략 0 wt% 내지 대략 80 wt%이다. 일 실시예에서, y 및 z는 x보다 크다. 이에 따라, 예를 들어, 브릿징 현상을 방지할 수도 있다. 다른 실시예에서, 공기 간극 캡 구조는, 예를 들어, SiCN, SiN, SiO2, SiON, SiOC, SiOF 및/또는 그외 다른 적당한 유전체 물질과 같은 유전체 막이다. 또 다른 실시예에서, 공기 간극 캡 구조의 물질은 CxHyOz(x, y 및 z는 0 이상이다)와 같은 유기 고분자 막이다.
도 11의 예를 참조하면, 기판(202)상의 공기 간극 캡 구조(1102)가 도시되어 있다. 일 실시예에서, 공기 간극 캡 구조(1102)는 트렌치(802) 내부의 공극용 캡 또는 상부 벽을 형성함으로써, 공기 간극(1104)을 형성한다.
도 11에 공기 간극(1104)이 인접하여 형성된 전도성 특징부(204)를 구비한 반도체 장치의 일 실시예가 도시되어 있긴 하지만, 이로만 제한되는 것은 아니며 도 12 및 도 13에 도시된 바와 같은 그외 다른 실시예가 가능하다. 도 12에는 도 2 내지 도 11의 장치(200)를 참조하여 전술한 바와 실질적으로 유사한 장치(1200)가 도시되어 있으며, 이러한 장치(1200)는 본 명세서에서 주목할 만한 차이점이 있긴 하지만 도 1의 방법(100)의 사용을 포함하는 실질적으로 동일한 방식으로 형성될 수도 있다. 도시된 바와 같은 장치(1200)를 참조하면, 장치(1200)에는 캡(206)이 마련되어 있지 않다. 오히려, 캡 구조(1002)가 전도성 특징부(204)에 직접 형성되어 있다. 이러한 장치(1200)의 경우, 결과로서 얻어지는 캡 구조(전술한 캡(206, 1002)이 합쳐진 구성과 비교되는)의 내부에 산화 층이 존재하지 않는다. 따라서, 일 실시예에서, 캡(1002) 내부의 조성(예를 들어, Co)이 실질적으로 균일하다.
도 13에는 도 2 내지 도 11의 장치(200)를 참조하여 전술한 바와 실질적으로 유사한 장치(1300)가 도시되어 있으며, 이러한 장치(1300)는 본 명세서에서 주목할 만한 차이점이 있긴 하지만 도 1의 방법(100)의 사용을 포함하는 실질적으로 동일한 방식으로 형성될 수도 있다. 도시된 바와 같은 장치(13200)를 참조하면, 장치(1300)에 배리어 층(1302)이 형성되어 있다. 배리어 층(1302)은 일 예로서 대략 10 Å 내지 대략 50 Å의 두께로 형성될 수도 있다. 이러한 배리어 층(1302)은 원자 층 증착(ALD)에 의해 형성될 수도 있다. 일 실시예에서, ALD 공정은 장치(1300)의 특징부의 측벽에 대한 배리어 층(1302)의 적절한 차폐율을 보장한다. 장치(1300)는 전술한 바와 같은 캡(206)을 포함할 수도 또는 포함하지 않을 수도 있다.
일 실시예에서, 배리어 층(1302)은 금속(M), O 및/또는 N 조성을 갖는 세라믹이다. 바람직한 금속으로는 Al, Mn, Co, Ti, Ta, W, Ni, Sn 및 Mg가 있다. 배리어 층(1302)의 M, O 및 N의 조성은 MxOyNz로 나타내어질 수도 있다. 일 실시예에서, x는 대략 20 wt% 내지 대략 70 wt%이다. 일 실시예에서, y는 대략 0 wt% 내지 대략 80 wt%이다. 일 실시예에서, z는 대략 0 wt% 내지 대략 80 wt%이다. 일 실시예에서, y 및 z는 x보다 크다. 이에 따라, 예를 들어, 브릿징 현상을 방지할 수도 있다.
다른 실시예에서, 배리어 층(1302)은, 예를 들어, SiCN, SiN, SiO2, SiON, SiOC, SiOF 및/또는 그외 다른 적당한 유전체 물질과 같은 유전체 막이다. 도 13에는 도 12를 참조하여 전술한 바와 같이 캡(206)을 구비하지 않은 장치(1300)가 도시되어 있음에 주목하여야 한다. 그러나, 장치(1300)의 다른 실시예에서는, 공기 간극(1104)이 인접하여 형성된 전도성 특징부(204)를 포함하여 장치(1300)에 캡(206) 및/또는 캡(1002)이 배치된다.
일 실시예에서, 공기 간극 구조(1104)는 배리어 층(1302)(예를 들어, 유전체)의 충전 또는 재충전에 의해 및/또는, 예를 들어, 공기 간극 캡 구조(1102)를 포함하는 후속 막의 증착에 의해 획정될 수도 있다.
따라서, 본 명세서, 예를 들어, 포토레지스트 마스킹 요소의 스트리핑과 같은 공정 동안 k 상수가 낮은 유전체 층의 보호를 위해 제공되는 공기 간극 구조를 형성하기 위한 방법의 실시예가 설명되고 있음이 이해될 것이다. 또한, "성냥개비 구조(matchstick structure)"를 갖는 전도성 특징부를 포함하는 반도체 장치의 실시예가 제공된다. 실시예에서, 전도성 특징부는 금속 배선 또는 비아와 같은 상호 접속 구조의 특징부이다. 이러한 "성냥개비 구조"는 도 10에 도시되어 있으며 전도성 특징부(204)에 형성된 금속 캡(1002)(및/또는 캡(206))을 포함한다. 이러한 구조가 도시된 도 11, 도 12 및 도 13을 또한 참조하면, 전도성 특징부(204)와 캡(1002)(및/또는 캡(206))으로 이루어진 "성냥개비 구조"가 공기 간극 캡 구조(1102)의 아래에 배치되어 있다. 이러한 구조는 장치 성능 및/또는 신뢰성 면에서 이점을 제공할 수도 있다. 실시예에 따라, 이러한 구조가 비아 랜딩 오버레이(via landing overlay) 문제를 감소시킬 수 있다.
이하 도 15 및 도 16을 참조하면, 추가 실시예의 장치(1500, 1600)의 구조가 각각 도시되어 있다. 이들 장치 중 하나 이상이 도 1의 방법을 사용하여 형성될 수도 있다. 이해가 용이하도록, 장치(1500) 및/또는 장치(1600)에 포함된, 전술한 바와 실질적으로 유사할 수도 있는 구성 요소는 동일한 도면 부호로 지시되어 있다. 전술한 실시예와 마찬가지로, 도 15 및 도 16은 단지 대표적인 구성을 예시하기 위한 것으로서 아래의 특허청구범위에 명확하게 인용되고 있는 범위를 초과하여 제한할 의도가 있는 것은 아님에 주목하여야 한다. 예를 들어, 도 15 및 도 16의 장치를 포함하는 본 명세서에서 설명되고 있는 장치에 제공될 수도 있는 수정으로서, 이로만 제한되는 것은 아니지만, 공기 간극 프로파일이 차이가 날 수도 있다. 예를 들어, 도 15에 도시된 바와 같은 공기 간극(1104)의 경우 상측의 폭보다 하측의 폭이 넓을 수도 있지만, 다른 실시예에서는 상측의 폭이 하측의 폭보다 넓을 수도 있으며, 공기 간극 전체에 걸쳐 폭이 변할 수도 있고 및/또는 공기 간극이 그외 다른 변형예의 프로파일을 가질 수도 있다. 공기 간극(1104)의 프로파일은 또한, 후술하는 바와 같이 배리어 층(1502)의 두께 및/또는 전도성 특징부 캡(1002)의 두께 및 구성에 좌우될 수도 있다.
도 15에는 도 2 내지 도 11 및 도 14의 장치(200), 도 12의 장치(1200), 도 13의 장치(1300)를 참조하여 전술한 바와 실질적으로 유사한 장치(1500)가 도시되어 있으며, 본 명세서에서 주목할 만한 차이점이 있지만 도 1의 방법(100)의 사용을 포함하는 실질적으로 동일한 방식으로 형성될 수도 있다. 용이한 이해를 위해, 도면 부호는 반복 사용된다.
도시된 바와 같은 장치(1500)를 참조하면, 장치(1500)에 배리어 층(1502)이 형성된다. 배리어 층(1502)은 도 13을 참조하여 전술한 바와 같은 배리어 층(1302)과 실질적으로 유사할 수도 있다. 배리어 층(1502)은 일 예로서 두께가 대략 10 Å 내지 대략 50 Å일 수도 있다. 배리어 층(1502)은 원자 층 증착(ALD)에 의해 형성될 수도 있다. 배리어 층(1502)은 유전체일 수도 있다. 바람직한 유전체 물질은, 이로만 제한되는 것은 아니지만, SiCN, SiN, SiO2, SiON, SiOC, SiOF 및/또는 그외 다른 적당한 유전체 물질을 포함한다. 배리어 층(1502)은 증착 시에 하드 마스크 층(302), 캡(1504)(후술하는 바와 같은), 기판(202) 및/또는 장치(1500)의 소정의 유효 표면에 배치된다. 배리어 층(1502)은 실질적으로 두께가 일정한 블랭킷(blanket) 층일 수도 있다. 도 15에 도시된 바와 같이, 배리어 층(1502)은 전도성 특징부 캡(1002) 위의 전도성 특징부(204)의 측벽에 배치되며, 또한 배리어 층(1502)은 기판(202)에 의해 획정되는 공기 간극(1104)의 바닥 벽에 배치된다.
도 15에는 도 12를 참조하여 전술한 바와 같은 캡(206)을 구비한 장치(1500)가 도시되어 있음에 주목하여야 한다. 그러나, 장치(1500)의 다른 실시예에서는, 공기 간극(1104)이 인접하여 마련된 전도성 특징부(204)를 포함하는 장치(1300)에 캡(206) 및/또는 캡(1002)이 배치된다.
장치(1500)는 도 10, 도 11, 도 12, 도 13 및/또는 도 14를 참조하여 전술한 바와 실질적으로 유사할 수도 있는 전도성 특징부 캡(1002)을 포함한다. 전도성 특징부 캡(1002)은 전도성 특징부(예를 들어, 204)에만 선택적으로 형성되거나 증착될 수도 있다. 다시 말해, 전도성 특징부 캡(1002)은 유전체 물질과 같은 기판의 그외 다른 영역에 배치되지 않는다. 전술한 바와 같이, 기판(202)은 기판의 유전체 영역일 수도 있다. 따라서, 일 실시예에서, 기판(202)(유전체)에 의해 획정되는 특징부(1104)의 측벽에는 전도성 특징부 캡(1002)이 배치되지 않는다. 도 15의 예를 참조하면, 전도성 특징부 캡(1002)은 전도성 특징부(204)에 배치된다. 도 15에는 공기 간극 구조(1104)의 깊이를 따라 연장되는 전도성 특징부 캡(1002)이 도시되어 있다. 그러나, 캡이 구조(1104)의 깊이의 일부를 따라 연장되는 그외 다른 실시예가 가능하다. 일 실시예에서, 전도성 특징부 캡(1002)은 코발트(Co)로 형성된다. 또한 도 15에 도시된 바와 같이, 전도성 특징부(204)는 전도성 특징부(204)의 일 측벽에 전도성 특징부 캡(1002)을 포함할 수도 있다(예를 들어, 반대쪽 측벽에는 전도성 특징부 캡이 제공되지 않을 수도 있다. 이에 대해서는 도 15의 가장 좌측의 전도성 특징부(204)를 참조한다). 일 실시예에서, 전도성 특징부(204)는 구리이다. 따라서, 또 다른 실시예에서, 하나(또는 하나 이상)의 전도성 특징부(204)는 구리로 이루어진 측벽, 전도성 특징부 캡(1002), 그리고 유전체 배리어 층(1502)을 획정한다. 캡(206)은 예를 들어, Co로 형성된다. 전술한 캡(206)은 제조 공정 동안 산화될 수도 있다. 이에 따라, 구체적으로 도시되어 있지는 않지만, 캡(206)과 캡(1002)의 사이에 산화 층이 존재할 수도 있다. 따라서, 일 실시예에서, 증착된 바와 같은 캡(206)은 Co로 형성되며, 증착된 바와 같은 캡(1002)은 Co로 형성된다. 그러나, 캡(1002)의 증착 전에 캡(206)이 산화됨으로 인해, 캡(206)과 캡(1002)이 합쳐져 형성되는 특징부 내부에(예를 들어, 증착 캡(206)의 표면 영역에) 산화 층이 존재하게 된다.
이하, 도 16을 참조하면, 장치(1600)의 일부가 도시되어 있다. 장치(1600)는 도 2 내지 도 11 및 도 14의 장치(200), 도 12의 장치(1200), 도 13의 장치(1300), 도 15의 장치(1500)를 참조하여 전술한 바와 실질적으로 유사할 수도 있으며, 본 명세서에서 주목할 만한 차이점이 있긴 하지만 도 1의 방법(100)의 사용을 포함하는 실질적으로 동일한 방식으로 형성될 수도 있다. 용이한 이해를 위해, 도면 부호는 반복 사용된다.
도시된 바와 같은 장치(1600)를 참조하면, 기판(202)(예를 들어, 유전체 영역), 전도성 특징부(204), 하드 마스크 층(302), 배리어 층(1502), 공기 간극(1104), 그리고 공기 간극 캡 구조(1102)는 전술한 바와 실질적으로 유사하다. 그러나, 도 16에는 전도성 특징부(204)의 적어도 하나의 측벽의 일부 아래로 연장하도록 전도성 특징부(204)에 배치되는 캡(1002)이 도시되어 있다. 이러한 구조는 공기 간극(1104)의 프로파일에 영향을 미친다. 예를 들어, 공기 간극(1104)의 폭이 구조(1104)의 중점에서 최대가 된다. 도 16에는 캡(206)을 구비하지 않은 장치(1600)가 도시되어 있음에 주목하여야 한다. 그러나, 장치(1600)의 다른 실시예에서는, 특징부(204) 중 하나 이상에 캡(206)이 포함될 수도 있다. 일 실시예에서, 캡(1002)은 전도성 특징부(204)의 측벽의 대략 25%에 걸쳐 연장된다. 일 실시예에서, 캡(1002)은 전도성 특징부(204)의 측벽의 대략 50%에 걸쳐 연장된다. 일 실시예에서, 캡(1002)은 전도성 특징부(204)의 측벽의 대략 75%에 걸쳐 연장된다. 도 15에 도시된 바와 같이, 일 실시예에서, 캡(1002)은 전도성 특징부(204)의 측벽의 대략 100%에 걸쳐 연장된다. 전도성 특징부(204)의 측벽에 배치된 캡(1002)의 연장 범위가 공기 간극 구조(1004)의 프로파일(예를 들어, 형상, 폭)을 획정함에 주목하여야 한다. 공기 간극 구조(1004)의 프로파일이 분리 성능을 결정할 수도 있다. 따라서, 본 명세서에서 설명되고 있는 더 폭 넓은 범위의 실시예 중 하나에서는 일 방법이 설명되고 있다. 이 방법은 기판상의 유전체 층 내부에서의 전도성 특징부의 형성을 포함한다. 기판에는 또한, 제 1 하드 마스크 층과 그 아래의 제 2 하드 마스크 층이 형성된다. 제 2 하드 마스크 층은 제 1 하드 마스크 층과 비교하여 플라즈마 에칭 공정에 대해 더 높은 에칭 선택성을 갖추어 제 2 하드 마스크 층이 제 1 하드 마스크 층보다 플라즈마 에칭 공정 시에 실질적으로 더 낮은 에칭률을 나타낸다. 방법은 계속하여, 유전체 층에 트렌치를 형성하기 위한 플라즈마 에칭 공정의 실시를 포함한다. 트렌치는 전도성 특징부에 인접하게 위치한다. 이후, 전도성 특징부에 인접하여 공기 간극 구조를 형성하도록 트렌치 위에 캡이 형성된다.
더 폭 넓은 실시예 중 다른 하나에 있어서, 기판상에서의 전도성 특징부 형성을 포함하는 반도체 장치 제조 방법이 설명된다. 전도성 특징부가 위에 형성되어 있는 기판상에 제 1 하드 마스크 층 및 그 아래의 제 2 하드 마스크 층이 형성된다. 제 1 하드 마스크 층에 개구를 형성하기 위해 제 1 하드 마스크 층에 대해 제 1 에칭 공정이 수행된다. 각각의 개구는 전도성 특징부 위에 놓여 있다. 제 1 하드 마스크 층의 개구 아래의 제 2 하드 마스크 층을 제거하기 위해, 제 1 에칭 공정 이후에 제 2 에칭 공정이 수행된다. 제 2 에칭 공정은 습식 에칭이다. 이후, 제 2 에칭 공정에 이어 제 3 에칭 공정이 수행된다. 제 3 에칭 공정에서는 마스킹 요소로서 에칭된 제 2 하드 마스크 층을 이용하여 기판에 트렌치를 에칭하며, 전도성 특징부가 트렌치 사이에 개재된다.
또 다른 실시예에서는, 기판에 배치된 전도성 특징부를 구비하며, 전도성 특징부의 적어도 두 개의 측벽과 전도성 특징부의 상측에 캡 구조가 배치되어 있는 장치가 설명된다. 전도성 특징부 상에 공기 간극 구조용 캡이 배치되어 전도성 특징부에 인접한 공기 간극을 획정한다.
이하, 도 17을 참조하면, 방법(1700)이 기판(예를 들어, 웨이퍼)이 제공되는 블록(1702)에서 시작된다. 도 18의 예를 참조하면, 기판(202)이 제공된다. 기판(202)은 실리콘을 포함할 수도 있다. 선택적으로 또는 추가적으로, 기판(202)은 게르마늄과 같은 그외 다른 기본적인 반도체 물질을 포함할 수도 있다. 기판(202)은 탄화 규소, 비화 갈륨, 비화 인듐, 인화 인듐 및/또는 그외 다른 적당한 물질과 같은 화합물 반도체일 수도 있다. 또한, 기판(202)은 실리콘 게르마늄, 실리콘 게르마늄 카바이드, 갈륨 비소인, 갈륨 인듐인 및/또는 그외 다른 적당한 합금 물질과 같은 반도체 합금을 포함할 수도 있다. 일 실시예에서, 기판(202)은 에피텍셜 층(예를 들어, 벌크 기판을 덮고 있는 층)을 포함한다.
블록(1702)에서 제공되며 예시로서 도시된 기판(202)은 또한, 반도체 장치의 다양한 특징부를 포함한다. 예를 들어, 기판(202)은 이온 주입 및/또는 확산과 같은 공정에 의해 실시되는 p-타입 도핑 영역 및/또는 n-타입 도핑 영역을 포함할 수도 있다. 이들 도핑 영역은 n-우물, p-우물, 저도핑 영역(LDD), 고도핑 소오스/드레인(S/D), 그리고 상보형 금속 산화물 반도체 전계 효과 트랜지스터(MOSFET), 이미징 센서, 발광 다이오드(LED) 및/또는 그외 다른 반도체 장치와 같은 다양한 집적 회로(IC) 장치를 형성하도록 구성되는 다양한 채널 도핑 프로파일을 포함한다. 기판(202)은 기판상에 및/또는 기판 내부에 형성되는 레지스터 또는 커패시터와 같은 그외 다른 기능상 특징부를 추가로 포함할 수도 있다. 기판(202)은, 예를 들어, 쉘로우 트렌치 분리(STI) 특징부와 같은 다양한 장치를 분리하도록 제공되는 분리 특징부를 추가로 포함할 수도 있다. 기판(202) 상에 형성된 다양한 반도체 장치는 채널 영역 위에 놓인 게이트 구조와 같은 그외 다른 특징부를 추가로 포함할 수도 있다.
이후, 방법(1700)은 기판상에 적어도 하나의 전도성 특징부가 형성되는 블록(1704)으로 진행한다. 일 실시예에서, 전도성 특징부는 상호 접속 구조의 적어도 하나의 층이다. 일 실시예에서, 상호 접속 구조는 유전체가 개재된 금속 배선, 접점 및 비아(via)와 같은 복수 개의 전도성 특징부를 포함하는 다층 상호 접속 구조이다. 상호 접속 구조는 p-타입 및/또는 n-타입 도핑 영역과 같은 다양한 반도체 특징부 및 그외 다른 기능상 특징부(게이트 전극과 같은)를 전기적으로 결합하여 기능상 집적 회로를 제공하도록 구성될 수도 있다. 일 실시예에서, 상호 접속 구조(예를 들어, MLI)는 전원 및 신호의 입출력을 위해 기판상의 장치를 결합하기 위한 전기 경로를 제공한다.
예시를 위해 도 18에 바람직한 전도성 특징부(1804)가 도시되어 있다. 일 실시예에서, 전도성 특징부(1804)는 상호 접속 구조의 일부이다. 예를 들어, 전도성 특징부(1804)는 접점, 금속 배선 또는 금속 비아일 수도 있다. 그외 다른 실시예에서, 전도성 특징부는 커패시터의 플레이트와 같은 다른 유형의 특징부일 수도 있다.
전도성 특징부(1804)로 예시되어 있는 블록(1804)의 전도성 특징부는 알루미늄(Al), 구리(Cu), 텅스텐(W) 및/또는 그외 다른 적당한 물질을 포함할 수도 있다. 예를 들어, 일 실시예에서, 전도성 특징부(1804)는 구리를 포함한다. 일 실시예에서, 전도성 특징부(1804)는, 예를 들어, 확산을 방지하거나 접착성을 개선하기 위한 배리어/라이너 층을 포함한다. 일 예의 배리어 층(또는 라이너 층)은 질화 티타늄(TiN), 질화 탄탈륨(TaN), 질화 텅스텐(WN), 질화 티타늄 실리콘(TiSiN), 질화 탄탈륨 실리콘(TaSiN) 및/또는 그외 다른 적당한 물질을 포함한다. 전도성 특징부(1804)는 리소그래피, 에칭, 증착 등과 같은 적당한 공정에 의해 형성될 수도 있다.
전도성 특징부(1804)는 기판(구성 요소(202) 참조)상에 배치된 유전체 물질에 의해 둘러싸일 수도 있다. 일 실시예에서, 유전체 물질은 k 상수가 낮은 유전체 물질이다. 다양한 예에서, k 상수가 낮은 유전체 물질의 예에는 불화 실리카 글래스(FSG), 탄소 도핑 실리콘 산화물, 블랙 다이아몬드®(캘리포니아(California), 산타 클라라(Santa Clara)의 응용 물질), 크세로겔, 에어로겔, 무정형 불화 탄소, 파릴렌, BCB(비스-벤조사이클로부텐), SiLK(미시간(Michigan), 미드랜드(Midland), 도우 케미컬(Dow Chemical)), 폴리이미드 및/또는 그외 다른 물질이 포함될 수도 있다. 다른 예로서, k 상수가 낮은 유전체 물질은 k 상수가 극도로 낮은 유전체 물질(ELK)을 포함할 수도 있다. 또 다른 예로서, k 상수가 낮은 유전체 물질은 수소 실세스퀴옥산계의 FOX(유동 가능 산화물)로 불리우는 기존의 도우 코닝 유전체 물질의 다공성 버전을 포함한다. 유전체 물질은 스핀-온 코팅 또는 화학적 기상 증착(CVD)과 같은 적당한 공정에 의해 형성될 수도 있다. 화학적 기계 연마(CMP) 공정은 유전체 물질 및/또는 전도성 특징부(204)를 포함하는 표면을 평탄화하도록 사용될 수도 있음에 주목하여야 한다.
이후 방법(100)은 에칭 정지층이 기판 위에 형성되는 블록(1706)으로 진행한다. 에칭 정지층은 블록(1704)을 참조하여 전술한 전도성 특징부 및/또는 전도성 특징부 사이에 개재된 기판의 유전체 층 위에 형성될 수도 있다. 도 18의 예를 참조하면, 에칭 정지층(1806)이 기판(202)의 위에 배치된다.
일 예의 에칭 정지층(1806)의 조성에는 SiON, SiCN, SiN 및 그 조합이 포함된다. 일 실시예에서, 에칭 정지층은 위에 놓인 하드 마스크 층과 대략 1:3 이상의 비율의 에칭 선택성을 갖추고 있다. 다시 말해, 위에 놓인 하드 마스크 층(후술되는)의 에칭률은 일정 부식액 또는 에칭 공정에서의 에칭 정지층의 적어도 대략 3배일 수도 있다. 예를 들어, 에칭 정지층(1806)은, 에칭 공정에 의해 위에 놓인 하드 마스크 층(아래의 1808)이 에칭되고 에칭 정지층은 손상되지 않은 상태로 남아 있도록, 실질적으로 더 낮은 에칭률을 갖추고 있다. 에칭 정지층(1806)의 두께는 대략 10 Å 내지 대략 1000 Å의 범위일 수도 있다. 하드 마스크 층(1806)은 원자 층 증착(ALD), 화학적 기상 증착(CVD), 물리적 기상 증착(PVD), 산화, 코팅 및/또는 그외 다른 적당한 방법과 같은 증착 방법을 사용하여 형성될 수도 있다.
이후, 방법(1700)은 기판의 위에 하드 마스크 층이 형성되는 블록(1708)으로 진행한다. 하드 마스크 층은 블록(1706)을 참조하여 전술한 에칭 정지층의 위에 형성된다. 이러한 하드 마스크 층은 블록(1704)을 참조하여 전술한 전도성 특징부 및/또는 전도성 특징부의 사이에 개재되는 기판의 유전체 층의 위에 형성될 수도 있다. 도 18의 예를 참조하면, 하드 마스크 층(1808)은 기판(202)의 위에 배치된다.
일 실시예에서, 하드 마스크 층(1808)은 TiN을 포함한다. 하드 마스크 층(1808)의 그외 다른 바람직한 조성에는 Ti, TiO, TiN, TiON, 그리고, 예를 들어, Al, Mn, Co, Ta, W, Ni, Sn, Mg, Ti 및 그 조합을 포함하는 그외 다른 금속이나 금속 산화물 또는 금속 질화물이 포함된다. 일 실시예에서, 하드 마스크 층은 위에 놓인 하드 마스크 층과 적어도 대략 3:1의 비율의 에칭 선택성을 갖추고 있다. 다시 말해, 하드 마스크 층의 에칭률은 일정 부식액 또는 에칭 공정에서 블록(1706)을 참조하여 전술한 에칭 정지층의 적어도 대략 3배일 수도 있다. 예를 들어, 에칭 정지층(1806)은, 에칭 공정에 의해 위에 놓인 하드 마스크 층(1808)이 에칭되고 에칭 정지층(1806)은 실질적으로 손상되지 않은 상태로 남아 있도록, 실질적으로 더 낮은 에칭률을 갖추고 있다.
하드 마스크 층(1808)은 전술한 전도성 특징부(1804)를 둘러싸고 있는 유전체 층(예를 들어, k 상수가 낮은 유전체)과 같은 유전체에 대해 에칭 선택성을 갖춘 조성을 가질 수도 있다. 일 실시예에서, 하드 마스크 층(1808)은 (전도성 특징부가 개재되어 있는) 기판상의 유전체의 에칭 선택성의 적어도 5배의 에칭 선택성을 갖추고 있다. 다시 말해, 하드 마스크 층의 에칭률은 유전체의 에칭율과 적어도 대략 5:1의 비율을 갖는다. 이에 따라, 유전체 층의 심각한 손상 없이 하드 마스크 층의 제거 또는 에칭이 이루어지는 장점이 제공될 수도 있다. 예를 들어, 후술하는 바와 같이, 하드 마스크 층이 패터닝 이후 제거될 수도 있다. 이러한 제거는 에칭 선택성의 덕택으로 유전체 층(예를 들어, 트렌치의 측벽)의 심각한 손상 없이 발생할 수도 있다. 일 실시예에서, 하드 마스크 층 물질과 기판의 유전체 사이에서의 H2O2와 같은 습식 용제를 사용한 습식 에칭의 에칭 선택성은 적어도 5:1이다(도 22 및 첨부 설명 참조).
하드 마스크 층(1808)은 대략 10 Å 내지 대략 1000 Å의 범위의 두께를 가질 수도 있다. 하드 마스크 층(1808)은 원자 층 증착(ALD), 화학적 기상 증착(CVD), 물리적 기상 증착(PVD), 코팅 및/또는 그외 다른 적당한 방법과 같은 증착 방법을 사용하여 형성될 수도 있다.
하드 마스크 층과 에칭 정지층을 형성한 후, 방법(1700)은 공기 간극 구조 패턴을 획정하는 마스킹 요소(들)가 기판의 위에 형성되는 블록(1710)으로 진행한다. 마스킹 요소는 후술하는 바와 같이 패터닝된 포토레지스트의 마스킹 요소를 제공하도록 적당한 포토리소그래피 공정을 사용하여 형성될 수도 있다. 도 24, 도 25, 도 26 및 도 27에 공기 간극 구조의 개개의 실시예가 상면도로 도시되어 있긴 하지만, 본 개시의 범위 내에서 그외 다른 패턴이 또한 가능하다.
일 실시예에서, 공기 간극 구조를 획정하는 패턴은 리소그래피 오버레이 마진(lithography overlay margin) 값을 수신하거나 결정하는 방식으로 결정된다. 리소그래피 오버레이 마진 값은 공정, 툴(tool), 설계 규칙, 또는 반도체 제조 공정과 연관된 그외 다른 정보에 의해 결정될 수도 있다. 오버레이 마진 값은 리소그래피 공정에 존재하는 오정렬도에도 불구하고 상이한 층상의 두 개의 특징부 사이의 소망하는 접촉 또는 그외 다른 정렬(예를 들어, 간격)을 허용하는 안전 마진(허용 오차)(예를 들어, 정렬을 위한 가드 밴드(guard band)) 값일 수도 있다. 일 예의 실시예에서, 대략 6 nm의 리소그래피 오버레이 마진 값이 제공된다. 그러나, 그외 다른 오버레이 마진 값이 또한 결정되거나 수신될 수도 있다(예를 들어, 설계 규칙에 근거). 오버레이 마진 값은 컴퓨터, 컴퓨터 판독 가능한 매체 또는 그외 다른 정보 취급 시스템에 의해 수신되거나 제공될 수도 있다.
일 실시예에서, 공기 간극 구조를 획정하는 패턴은 또한, 인접한 전도성 특징부 사이의 간격을 고려한다. 또 다른 실시예에서, 전도성 특징부의 상면에서의 간격이 결정된다. 도 19에 도시된 바와 같은 폭(W)은 이러한 간격의 거리를 나타낸다. 일 실시예에서, 폭(W)은 대략 40nm이다. 일 실시예에서, W은 제 1 전도성 특징부(1804)와 제 2 전도성 특징부(1804)로부터 이격 배치된 유전체 물질(예를 들어, k 상수가 낮은 유전체)의 거리이다.
일 실시예에서, 공기 간극 구조를 획정하는 패턴은 전술한 전도성 특징부(예를 들어, W) 사이의 폭과 오버레이 마진 값을 사용하여 공기 간극 구조를 제공하는 트렌치의 최대 폭을 결정한다. 일 실시예에서, 공기 간극 구조를 획정하는 패턴은 전도성 특징부 사이의 폭(예를 들어, W)과 오버레이 마진 값을 사용하여 공기 간극 구조를 제공하는 트렌치의 최대 폭을 결정한다. 예를 들어, 트렌치의 폭 및 이에 따라, 마스킹 요소의 개구(예를 들어, 하드 마스크 층 개구(W2))의 폭은 인접한 전도성 특징부 사이의 간격(W)에서 오버레이 마진 값의 2배를 뺀 값과 대략 동일하거나 더 작다. 일 예로서, W가 40nm이며 오버레이 마진 값이 6nm인 경우, 폭(W2)이 대략 28nm이며, 또는 실시예에 따라 28nm 미만일 수도 있다.
일 실시예에서, 패턴을 획정하는 마스킹 요소 형성 단계는, 기판에 배치되며, 특히, 하드 마스크 층에 배치되는 광감성 물질의 형성을 포함한다. 광감성 물질이 적당한 리소그래피 기술을 사용하여 패터닝된다. 패터닝된 광감성 물질은 후속 에칭을 위한 기판의 일부를 획정하여 노출시키는 다양한 개구를 포함한다. 도 19의 예를 참조하면, 하드 마스크 층(1806)에 패터닝된 포토레지스트 층(1902)이 배치된다. 포토레지스트 층(1902)은 후속 에칭을 위한 개구(1904)를 포함한다. 개구(1904)는 이후 형성되는 공기 간극 구조용 패턴을 획정하며 폭(W1)을 갖추고 있다. 특히, 개구(1904)는 공기 간극 구조가 소망되는 개개의 전도성 특징부(1804)에 인접하도록 정렬된다.
일 실시예에서, 패터닝된 포토레지스트 층(1902)은 코팅, 노광, 노광-후 베이킹, 그리고 현상을 포함하는 적당한 절차에 의해 형성된다. 예를 들어, 스핀-온 코팅을 사용하여 포토레지스트 코팅이 형성될 수도 있다. 일 예로서, 코팅 포토레지스트 층은 기설정된 패턴을 구비한 마스크를 통과하는 방사선 빔에 의해 선택적으로 노광 처리된다. 일 예로서, 방사선 빔은 자외선(UV)을 포함한다. 노광 공정은 마스크리스 노광(maskless exposing) 또는 노광(writing) 공정과 같은 그외 다른 기술을 포함하도록 추가로 확장될 수도 있다. 노광 공정 이후, 포토레지스트는 열적 베이킹 공정, 즉, 노광-후 베이킹(PEB)을 이용하여 추가로 처리된다. 이후, 노광 처리된 포토레지스트 층이 현상 처리됨으로써, 현상 공정 동안 노출 레지스트 부분이 용해 세척된다. 전술한 리소그래피 공정이 단지 리소그래피 패터닝 기술과 연관된 하위 세트의 처리 단계만을 제공할 수도 있다. 리소그래피 공정이 적절한 순서로 이루어지는 세정 및 베이킹 공정과 같은 그외 다른 단계를 추가로 포함할 수도 있다. 예를 들어, 현상 처리된 레지스트 층이 하드 베이킹으로 일컬어지는 베이킹 공정을 추가로 거칠 수도 있다. 또한, 다층 레지스트 조성, 반사 방지 코팅 및/또는 그외 다른 적당한 층과 같은 추가의 물질이 사용될 수도 있다.
도 19의 패터닝된 포토레지스트 층(1902)으로 도시된 바와 같은 포토레지스트 마스킹 요소를 형성한 후, 패터닝된 포토레지스트 마스킹 요소는 도 17의 방법(1700)의 블록(1712)에서 패터닝된 하드 마스크 층을 형성하기 위해 노출 하드 마스크 층을 선택적으로 에칭하도록 사용된다. 도 20의 예를 참조하면, 포토레지스트(1902)의 개구(1904)(도 19)를 통해 하드 마스크 층(1808)(도 18)이 에칭되어 패터닝된 하드 마스크 층(2002)을 형성한다. 패터닝된 하드 마스크 층(2002)은 포토레지스트 층(1902)에 의해 획정되는 개구를 포함한다. 특히, 하드 마스크 층(2002)의 개구는 폭(W1)과 실질적으로 유사할 수도 있는 폭(W2)을 갖추고 있다. 이러한 에칭은 에칭 정지층(1806)상에서 중단될 수도 있음에 주목하여야 한다. 일 실시예에서, 하드 마스크 층(1808)(패터닝된 층(2002)용)의 에칭 선택성에 비해 높은 에칭 정지층(1806)의 조성의 에칭 선택성을 통해 적절한 에칭 정지가 이루어진다.
패터닝된 에칭 정지층을 형성하기 위한 하드 마스크 층의 에칭 이후, 패터닝된 포토레지스트 층이 기판으로부터 제거될 수도 있다. 일 실시예에서, 패터닝된 광감성 층이 스트리핑 처리된다. 도 20의 예를 참조하면, 포토레지스트 층(1902)(도 19)이 기판으로부터 제거되어 있다. 포토레지스트 층(1902)은 습식 스트리핑 또는 O2 플라즈마 에싱(ashing)과 같은 공정에 의해 제거될 수도 있다. 포토레지스트 층(1902)의 제거 동안 k 상수가 낮은 유전체 물질 또는 k 상수가 극도로 낮은 유전체 물질과 같은 기판(202)에 형성된 유전체 물질이 스트리핑 공정 및/또는 화학 약품으로 인한 손상 가능성으로부터 보호됨에 주목하여야 한다. 이것은 제거 공정 동안 에칭 정지층(1806)이 노출 영역을 덮고 있기 때문이다.
포토레지스트 층의 제거 이후, 방법(1700)은 에칭 정지층 및/또는 기판(예를 들어, 전도성 특징부에 인접한 유전체 층)이 에칭되는 블록(1714)으로 진행할 수도 있다. 예를 들어, 에칭 공정에 의해 노출된 에칭 정지층(예를 들어, 패터닝된 하드 마스크 층의 아래에 위치하지 않는 부분)이 제거된다. 일 실시예에서, 에칭은 H2O2를 포함하는 습식 용제를 사용하는 습식 에칭 공정이다. 도 21의 예를 참조하면, 에칭 정지층(1806)(도 20)의 노출 부분이 제거되어 있다. 다시 말해, 개구(1904)의 아래에 놓인 에칭 정지층(1806)(도 20)의 부분이, 예를 들어, 전술한 바와 같은 습식 에칭에 의해 기판(202)으로부터 제거된다. 이것은 패터닝된 에칭 정지층(2102)으로서 도 21에 도시된 패터닝된 에칭 정지층을 제공한다. 패터닝된 에칭 정지층(2102)의 개구는 패터닝된 하드 마스크 층(2002)(폭(W2)을 유지)의 개구와 실질적으로 정렬되며 동일 선상에 있음에 주목하여야 한다.
패터닝된 에칭 정지층을 형성 이후 및/또는 형성과 동시에, 블록(1714)에서, 공기 간극 구조용 트렌치 또는 트렌치들이 기판에 에칭된다. 구체적으로, 공기 간극 구조용 트렌치(들)는 전도성 특징부를 둘러싸고 있는 k 상수가 낮은 유전체 층과 같은 기판의 유전체 층에 에칭된다. 일 실시예에서, 에칭하고자 하는 k 상수가 낮은 유전체 층에 개구를 획정하도록 패터닝된 하드 마스크 층이 사용된다. 도 21의 예를 참조하면, 공기 간극 구조를 형성하는 기판(202)(예를 들어, 유전체)에 트렌치(2104)가 배치된다. 트렌치(2104)는 k 상수가 낮은 유전체와 같은 기판(202)의 유전체 물질에 형성된다. 일 실시예에서, 트렌치(2104)는 전도성 특징부(204)에 인접하며 적어도 전도성 특징부(204)의 바닥까지 연장된다. 일 실시예에서, 트렌치(2104)는 도 24, 도 25, 도 26 및/또는 도 27에 도시된 패턴과 실질적으로 유사하게 형성되지만, 그외 다른 실시예도 가능하다. 일 실시예에서, 트렌치(2104)에는 공기가 채워져 있다.
트렌치(2104)는 트렌치(2104)의 상면에서 또는 그 부근에서 폭(W3)을 가질 수도 있다. 폭(W3)은 패터닝된 하드 마스크 층(2002)에 의해 결정될 수도 있다. 일 실시예에서, 도 19를 참조하면, 폭(W3)은 실질적으로 폭(W2)과 동일하다. 도 21에 도시된 바와 같이, 폭(W3)은 폭(W)보다 작다. 전도성 특징부가 개재되어 있는 기판(202)의 부분, 특히 유전체(k 상수가 낮은 유전체) 영역은 전도성 특징부의 상면을 포함하는 전도성 특징부에 인접하게 유지된다. 도면에 도시된 바와 같이 트렌치(2104)의 중심이 실질적으로 전도성 특징부의 사이에 맞춰질 수도 있음에 주목하여야 한다. 그러나, 오버레이 여유를 참조하여 전술한 바와 같이, 이러한 중심 설정이 제조 동안 항상 가능한 것은 아니다. 따라서, 유전체 물질을 전도성 특징부(1804)의 상면에 인접하게 유지함에 따른 이점은 공정(예를 들어, 리소그래피 공정)의 허용 오차 또는 오버레이 여유로 인해 트렌치의 변위를 충분히 수용할 수도 있다는 점이다.
이후, 방법(1700)은 블록(1712)에서 형성된 패터닝된 하드 마스크 층이 기판으로부터 제거되는 블록(1716)으로 진행한다. 일 실시예에서, 패터닝된 하드 마스크 층은 습식 에칭 또는 세정 공정에 의해 제거된다. 다른 실시예에서, 패터닝된 하드 마스크 층은 H2O2를 포함하는 습식 용제를 사용하여 제거된다. 트렌치의 에칭이 이루어지는 블록(1714)에 후속하여 블록(1716)이 수행될 수도 있다. 도 22에는 패터닝된 하드 마스크 층(2002)(도 21)을 구비한 장치가 제거된 상태가 도시되어 있다. 일 실시예에서, 하드 마스크 층(2002)과 유전체 층 사이의 에칭 선택성은 전술한 바와 같은 기판(202)의 유전체 물질에 실질적으로 손상을 주지 않고 하드 마스크 층의 제거가 이루어질 수 있도록 한다.
이후, 방법(1700)은 공기 간극 구조용 캡이 기판에 형성되는 블록(1718)으로 진행한다. 일 실시예에서, 캡은 유전체 조성을 갖는다. 일 실시예에서, 캡은 화학적 기상 증착(CVD), 물리적 기상 증착(PVD), 코팅 공정 및/또는 그외 다른 적당한 공정에 의해 형성된다. 바람직한 물질에는 SiCN, SiN, SiO2, SiON, SiOC, SiOF 및/또는 그외 다른 적당한 유전체 물질이 포함된다. 일 실시예에서, 캡은 고분자 타입 물질을 포함한다. 현재 공지되어 있거나 이후 개발될 그외 다른 비전도성 물질이 또한 가능하다. 예를 들어, 도 1의 방법(100)의 블록(114) 및 도 11의 구조(1102)를 참조하여 전술한 물질을 포함하는 그외 다른 실시예가 가능하다.
도 23의 예를 참조하면, 기판(202)에 공기 간극 캡(2302)이 도시되어 있다. 일 실시예에서, 공기 간극 캡 구조(2302)는 트렌치(2104) 내부의 공극용 캡 또는 상부 벽을 형성함으로써, 공기 간극 구조를 형성한다. 일 실시예에서, 에칭 정지층(2102)이 기판(202)(그리고 전도성 특징부(1804))과 캡(2302)의 사이에서 기판(202)에 배치됨에 주목하여야 한다. 다른 실시예에서, 에칭 정지층(2102)이 전도성 특징부(1804) 및 캡(2302) 각각과 직접 접속된다. 에칭 정지층(2102)은 또한, 전도성 특징부(1804)에 인접한 기판상의 물질(예를 들어, k 상수가 낮은 유전체)과 접속된다.
이하 도 24, 도 25, 도 26 및 도 27을 참조하면, 도 17의 방법(1700)의 단계 중 하나 이상을 사용하여 형성될 수도 있는 공기 간극 구조를 구비한 장치의 추가의 구조적 실시예가 도시되어 있다. 용이한 이해를 위해, 각각의 장치(2400, 2500, 2600, 2700)에서, 전술한 바와 실질적으로 유사할 수도 있는 구성 요소는 동일한 도면 부호로 지시되어 있다. 즉, 각각의 장치(2400, 2500, 2600, 2700)에는 기판(202)에 배치된 공기 간극 구조(트렌치(2104)로 지정되어 있음) 및 인접한 전도성 배선(1804)이 도시되어 있다. 전술한 실시예와 마찬가지로, 도 24, 도 25, 도 26 및 도 27은 단지 대표적인 구성을 예시하기 위한 것으로서 아래의 특허청구범위에 명확하게 인용되고 있는 범위를 초과하여 제한할 의도가 있는 것은 아님에 주목하여야 한다. 예를 들어, 도 24, 도 25, 도 26 및 도 27의 장치를 포함하는 본 명세서에서 설명되고 있는 장치에 제공될 수도 있는 수정으로서, 이로만 제한되는 것은 아니지만, 공기 간극 구성, 공기 간극 크기 또는 공기 간극 프로파일이 차이가 날 수도 있다.
예시적인 장치(2400)에는 두 개의 전도성 배선(1804)이 개재되어 있는 복수 개의 공기 간극 구조(2104)가 도시되어 있다. 일 실시예에서, 복수 개의 공기 간극 구조(2104)(두 개의 전도성 배선이 개재된 공기 간극 구조를 포함하며 전도성 배선 사이에 단 하나의 공기 간극 구조가 배치됨)가 실질적으로 동일한 피치(예를 들어, 공기 간극의 중심선으로부터 중심선까지의 거리가 실질적으로 동일함)로 제공된다. 예시적인 장치(2500)에는 두 개의 전도성 배선(1804)(장치(2500)의 우측) 사이에 어레이 프로파일로 배열된 복수 개의 공기 간극 구조(2104)가 도시되어 있다. 3 x 3의 어레이가 도시되어 있긴 하지만 소정 개수의 구조(2401)가 어레이를 구성할 수도 있으며, 이러한 어레이 프로파일에서 열의 개수와 행의 개수가 서로 상이한 것을 포함하는 소정 치수가 가능할 수도 있다. 일 실시예에서, 어레이 프로파일의 열 및/또는 전도성 배선 사이의 단일 공기 간극 구조가 실질적으로 일정한 피치로 제공된다. 예시적인 장치(2600)에는 복수 개의 공기 간극 구조(2104)가 도시되어 있다. 장치(2500)와 마찬가지로, 복수 개의 공기 간극 구조(2104)는 두 개의 전도성 배선(1804)의 사이에 어레이 프로파일로 배치된다(장치(2600)의 우측). 3 x 3의 어레이가 도시되어 있긴 하지만 소정 개수의 구조(2401)가 어레이를 구성할 수도 있으며, 이러한 어레이 프로파일에서 열의 개수와 행의 개수가 서로 상이한 것을 포함하는 소정 치수가 가능할 수도 있다. 장치(2600)의 좌측에는 두 개의 전도성 배선(1804)이 개재되어 있으며 수직 방향으로 정렬된(실질적으로 수직 방향으로 동일 선상에 있음) 복수 개의 공기 간극 구조가 도시되어 있다. 세 개의 공기 간극 구조가 수직 방향으로 정렬되는 것으로 도시되어 있긴 하지만, 다른 개수의 구조가 가능할 수도 있다. 일 실시예에서, 장치(2600)의 공기 간극 구조의 피치(수직 방향으로 연장되는 중심선 사이의)는 실질적으로 동일하다. 예시적인 장치(2700)에는 기판 내부의 공기 간극 구조의 또 다른 구성이 도시되어 있다. 장치(2700)의 좌측에는 두 개의 전도성 배선(1804)이 개재되어 있으며 수직 방향으로 정렬된(실질적으로 수직 방향으로 동일 선상에 있음) 복수 개의 공기 간극 구조가 도시되어 있다. 세 개의 공기 간극 구조가 수직 방향으로 정렬되는 것으로 도시되어 있긴 하지만, 다른 개수의 구조가 가능할 수도 있다. 장치(2700)의 우측에는 복수 개의 공기 간극 구조(2104)가 도시되어 있다. 공기 간극 구조(2104) 중 하나는 구조(예를 들어, 기판(202)의 일부를 둘러싸고 있는 구조(2104)) 내부에 기판(202)의 일 영역을 구비하는 다각형(예를 들어, 정사각형)이다. 장치(2700)는 또한, 다각형으로 도시되어 있으며, 공기 간극 구조가 선형 공기 간극 구조에 인접한다.
따라서, 도 24 내지 도 27에는 인접한 전도성 배선 사이에 복수의 공기 간극 구조를 허용하는 박형의 공기 간극 구조의 제공이 도시되어 있다. 실시예에 따라, 이러한 구조가 도 17의 방법(1700)에 예시된 바와 같은 방법의 유전체 재충전 단계가 생략됨으로 인해 지형적 문제없이 제공된다.
도 17 내지 도 27을 참조하면, 전도성 특징부의 사이가 두 개 이상의 공기 간극이 제공될 수도 있을 정도로 이격되어 있음에 주목하여야 한다. 예를 들어, 도 21의 우측을 참조하면, 거리(W4)를 두고 이격 배치된 전도성 특징부(1804)의 사이에 두 개의 트렌치가 제공된다. 폭(W4)은 폭(W)보다 크다. 일 실시예에서, 인접한 전도성 특징부의 사이에 복수의 공기 간극이 제공되며, 이 경우, 전도성 특징부 사이의 기판(예를 들어, 유전체 물질)의 거리(W4)에 의해 트렌치가 트렌치 폭 및 오버레이 마진 값을 고려하여 형성된다. 일 실시예에서, 폭(W4)을 갖는 복수의 트렌치는 폭(W)을 갖는 영역에 제공된 바와 동일한 폭 및/또는 트렌치 사이의 간격(피치)을 갖는다(즉, 전도성 특징부 사이에 단일 트렌치가 제공됨).
따라서, 더 폭 넓은 범위의 실시예 중 하나에 있어서, 기판의 유전체 층 내부에서의 전도성 특징부의 형성을 포함하는 반도체 장치 제조 방법이 제공된다. 기판의 위에 하드 마스크 층과 그 아래에 놓인 에칭 정지층이 형성된다. 하드 마스크 층과 그 아래에 놓인 에칭 정지층은 이후 패터닝된다. 패터닝된 에칭 정지층이 전도성 특징부의 위에 배치된다. 패터닝된 하드 마스크 층과 패터닝된 에칭 정지층 중 적어도 하나가 전도성 특징부에 인접한 유전체 층에서의 트렌치의 에칭 동안 마스킹 요소로서 사용된다. 이후 에칭 트렌치의 위에 캡이 형성된다. 캡은 전도성 특징부에 배치된 패터닝된 에칭 정지층의 위에 배치된다.
다른 실시예에서, 트렌치의 에칭은 전도성 특징부로부터 제 1 거리에서의 트렌치의 에칭을 포함한다. 제 1 거리는 전도성 특징부의 상면에 제공된다. 방법은 또한, 제 1 크기의 리소그래피 오버레이 마진 값의 결정을 포함한다. 일 전도성 특징부와 인접한 전도성 특징부 사이의 간격이 결정된다. 이후 리소그래피 오버레이 마진 값의 두 배를 뺀 간격보다 작은 상면에서의 폭을 갖는 트렌치가 에칭된다.
더 폭 넓은 범위의 실시예 중 다른 하나에 있어서, 반도체 장치 제조 방법은 기판 상에서의 제 1 전도성 특징부와 인접한 제 2 전도성 특징부의 형성을 포함한다. 제 1 거리를 두고 이격 배치된 제 1 및 제 2 전도성 특징부의 사이에는 유전체 물질 영역이 개재되어 있다. 제 1 및 제 2 전도성 특징부가 위에 놓여 있는 기판에 하드 마스크 층과 그 아래의 에칭 정지층이 형성된다. 하드 마스크 층에 개구를 형성하기 위해 하드 마스크 층상에서 제 1 에칭 공정이 수행된다. 개구는 제 2 폭을 가지며 유전체 물질 영역 위에 배치된다. 에칭된 하드 마스크 층은 유전체 물질의 영역에서 실질적으로 제 2 폭을 갖는 트렌치를 형성하기 위한 제 2 에칭 공정 동안 마스킹 요소로서 사용된다. 제 2 폭은 제 1 거리 미만이다. 공기 간극을 형성하기 위해 트렌치의 위에 캡이 배치된다.
또한, 동일 평면상의 상면을 갖는 제 1 전도성 특징부와 제 2 전도성 특징부를 포함하는 장치가 설명된다. 제 1 및 제 2 전도성 특징부는 동일 평면상의 상면에서 제 1 거리(예를 들어, W 참조)를 두고 이격 배치된다. 공기가 채워진 트렌치가 제 1 및 제 2 전도성 특징부의 사이에 개재된다. 트렌치는 제 1 및 제 2 전도성 특징부의 상면과 동일 평면상의 영역에서 제 1 폭(예를 들어, W3 참조)을 갖는다. 제 1 폭은 제 1 거리 미만이다. 제 1 및 제 2 전도성 특징부와 트렌치의 위에 유전체 층이 배치된다. 유전체 층은 공기가 채워진 트렌치용의 캡을 제공한다.
전술한 내용에는 당 업계의 숙련자가 본 개시의 태양을 더 잘 이해할 수도 있도록 다수의 실시예의 특징부의 개요가 설명되어 있다. 당 업계의 숙련자라면 본 명세서에 제공된 실시예의 동일한 장점을 달성하며 및/또는 동일한 목적을 수행하기 위해 그외 다른 공정 및 구조를 설계하거나 수정하기 위한 기초로서 본 개시를 즉각적으로 사용할 수도 있음을 이해하여야 한다. 또한, 당 업계의 숙련자라면 이러한 등가의 구성이 본 개시의 정신 및 범위를 벗어나지 않으며 본 개시의 정신 및 범위를 벗어나지 않고 다양한 변경, 대체 및 변형을 이룰 수도 있도록 실현하여야 한다.
202: 기판 204: 전도성 특징부
206: 캡 302: 제 2 하드 마스크 층
304: 제 1 하드 마스크 층 802: 트렌치

Claims (20)

  1. 반도체 장치 제조 방법에 있어서,
    기판의 유전체 층에 전도성 특징부를 형성하는 단계;
    상기 기판의 위에 하드 마스크 층 및 그 아래의 에칭 정지층을 형성하는 단계;
    상기 하드 마스크 층 및 그 아래의 에칭 정지층을 패터닝하는 단계로서, 상기 패터닝된 에칭 정지층이 상기 전도성 특징부의 위에 배치되는, 상기 패터닝 단계;
    상기 전도성 특징부에 인접하여 상기 유전체 층에 트렌치를 에칭하는 동안 상기 패터닝된 하드 마스크 층 및 상기 패터닝된 에칭 정지층 중 적어도 하나를 마스킹 요소로서 사용하는 단계; 및
    상기 전도성 특징부의 위에 배치된 상기 패터닝된 에칭 정지층의 위에 배치되는 캡(cap)을, 상기 에칭된 트렌치의 위에 형성하는 단계를
    포함하는, 반도체 장치 제조 방법.
  2. 제 1 항에 있어서, 상기 전도성 특징부를 형성하는 단계는 반도체 장치로의 전도성 경로를 제공하는 전도성 배선(line)을 형성하는 단계를 포함하는 것인, 반도체 장치 제조 방법.
  3. 제 1 항에 있어서, 상기 하드 마스크 층은 TiN, TiO2, TiON 및 Ti 중 적어도 하나를 포함하는 것인, 반도체 장치 제조 방법.
  4. 제 1 항에 있어서, 상기 하드 마스크 층과 그 아래의 에칭 정지층을 패터닝하는 단계는,
    포토레지스트 요소를 사용하여 상기 하드 마스크 층을 패터닝하는 단계;
    상기 패터닝하는 단계 후에, 상기 포토레지스트 요소를 제거하는 단계; 및
    상기 패터닝된 하드 마스크 층을 사용하여 그 아래의 상기 에칭 정지층을 패터닝하는 단계를
    포함하는 것인, 반도체 장치 제조 방법.
  5. 제 1 항에 있어서, 상기 트렌치를 에칭한 후에, 상기 패터닝된 하드 마스크 층이 상기 기판으로부터 제거되는 것인, 반도체 장치 제조 방법.
  6. 제 1 항에 있어서, 제 1 크기의 리소그래피 오버레이 마진(lithography overlay margin)을 결정하는 단계;
    상기 전도성 특징부와 인접한 전도성 특징부 사이의 간격을 결정하는 단계; 및
    상기 리소그래피 오버레이 마진의 2배를 뺀 상기 간격보다 작은 상면에서의 폭을 갖는 트렌치를 에칭하는 단계를 더 포함하는, 반도체 장치 제조 방법.
  7. 제 1 항에 있어서, 상기 트렌치의 에칭 이후, 습식 용제를 사용하여 상기 기판으로부터 상기 패터닝된 하드 마스크 층을 제거하는 단계를 더 포함하는 것인, 반도체 장치 제조 방법.
  8. 반도체 장치 제조 방법에 있어서,
    기판에 제 1 전도성 특징부 및 인접한 제 2 전도성 특징부 - 상기 제1 전도성 특징부와 상기 제2 특징부 사이에 유전체 물질 영역이 개재되며, 상기 제1 전도성 특징부와 상기 제2 특징부는 서로 제 1 거리만큼 이격됨 - 를 형성하는 단계;
    위에 놓인 상기 제 1 및 제 2 전도성 특징부를 포함하는 상기 기판의 위에 하드 마스크 층 및 그 아래의 에칭 정지층을 형성하는 단계;
    상기 하드 마스크 층 상에서 제 1 에칭 공정을 수행하여, 상기 하드 마스크 층에 개구 - 상기 개구는 제 2 폭을 가지며 상기 유전체 물질 영역의 위에 배치됨 - 를 형성하는 단계;
    제 2 에칭 공정 동안 마스킹 요소로서 상기 에칭된 하드 마스크 층을 사용하여 상기 제 1 거리보다 작은 제 2 폭을 갖는 트렌치를 상기 유전체 물질 영역에 형성하는 단계; 및
    상기 트렌치 위에 캡을 형성하여 공기 간극을 규정하는 단계를
    포함하는, 반도체 장치 제조 방법.
  9. 상기 제 1 및 제 2 전도성 특징부의 위에 놓이며 상기 하드 마스크 층의 아래에 놓이는 에칭 정지층을 형성하는 단계; 및
    상기 제 1 및 제 2 전도성 특징부 위에 배치되는 상기 에칭 정지층 위에 상기 캡을 형성하는 단계를 더 포함하는, 반도체 장치 제조 방법.
  10. 제 8 항에 있어서, 상기 방법은 리소그래피 오버레이 마진 거리를 수신하는 단계를 더 포함하며,
    상기 리소그래피 오버레이 마진 거리의 2배와 상기 제 2 폭을 더한 값이 대략 상기 제 1 거리와 동일한 것인, 반도체 장치 제조 방법.
  11. 제 8 항에 있어서,
    상기 제 1 전도성 특징부로터 제 2 거리만큼 이격된 제 3 전도성 특징부를 형성하는 단계 - 상기 제 1 전도성 특징부는 상기 제 2 및 제 3 전도성 특징부 사이에 개재되는 유일한 전도성 특징부임 -; 및
    상기 제 2 에칭 동안 상기 에칭된 하드 마스크 층을 사용하여, 상기 제 1 및 제 3 전도성 특징부 사이에 개재되는 추가저인 제 2 트렌치 및 제 3 트렌치를 형성하는 단계를
    더 포함하는, 반도체 장치 제조 방법.
  12. 장치에 있어서,
    동일 평면상의 상면을 가지며 상기 동일 평면상의 상면에서 제 1 거리만큼 이격된 제 1 전도성 특징부 및 제 2 전도성 특징부;
    상기 제 1 및 제 2 전도성 특징부의 사이에 개재되며, 공기가 채워지고, 상기 제 1 및 제 2 전도성 특징부의 상면과 동일 평면상의 영역에서 상기 제 1 거리보다 작은 제 1 폭을 갖는 제 1 트렌치; 및
    상기 제 1 및 제 2 전도성 특징부와 상기 제 1 트렌치 위에 배치되며 공기가 채워진 제 1 트렌치용 캡을 제공하는 유전체 층을
    포함하는, 장치.
  13. 제 12 항에 있어서, 상기 제 1 및 제 2 전도성 특징부의 동일 평면상의 상면의 위에 배치되며 상기 유전체 층 아래에 놓이는 에칭 정지층을 더 포함하는, 장치.
  14. 제 13 항에 있어서, 상기 에칭 정지층은 상기 유전체 층 및 상기 제 1 및 제 2 전도성 특징부의 동일 평면상의 상면과의 물리적인 계면을 구비하는 것인, 장치.
  15. 제 12 항에 있어서, 상기 제 1 전도성 특징부로부터 제 2 거리만큼 이격된 제 3 전도성 특징부 - 상기 제 1 전도성 특징부는 상기 제 2 및 제 3 전도성 특징부 사이에 개재된 유일한 전도성 특징부임 -; 및
    상기 제 1 및 제 3 전도성 특징부의 사이에 개재되는 제 2 트렌치 및 제 3 트렌치를 추가로 포함하는 것을 특징으로 하는 장치.
  16. 제 15 항에 있어서, 상기 제 2 트렌치는 상기 기판의 영역을 둘러싸고 있는 다각형의 트렌치를 포함하는 것인, 장치.
  17. 제 16 항에 있어서, 상기 제 3 트렌치는 실질적으로 선형 트렌치인 것인, 장치.
  18. 제 15 항에 있어서, 상기 제 4 및 제 5 트렌치가 상기 제 1 및 제 2 전도성 특징부 사이에 개재되며, 상기 제 2, 제 3, 제 4 및 제 5 트렌치는 어레이 형태로 배치되는 것인, 장치.
  19. 제 12 항에 있어서, 상기 제 1 및 제 2 전도성 특징부의 사이에 개재되며 상기 제 1 트렌치와 수직 방향으로 동일 선상에 위치한 제 2 트렌치를 더 포함하는, 장치.
  20. 제 15 항에 있어서, 상기 제 1, 제 2 및 제 3 트렌치는 제 1 피치로 제공되는 것인, 장치.
KR1020150068208A 2014-05-15 2015-05-15 공기 간극 구조를 구비한 반도체 장치 및 그 제조 방법 KR101735912B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/278,967 2014-05-15
US14/278,967 US9496224B2 (en) 2014-05-15 2014-05-15 Semiconductor device having air gap structures and method of fabricating thereof
US14/498,630 US9570341B2 (en) 2014-05-15 2014-09-26 Semiconductor device having air gap structures and method of fabricating thereof
US14/498,630 2014-09-26

Publications (2)

Publication Number Publication Date
KR20150132028A true KR20150132028A (ko) 2015-11-25
KR101735912B1 KR101735912B1 (ko) 2017-05-15

Family

ID=54539119

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150068208A KR101735912B1 (ko) 2014-05-15 2015-05-15 공기 간극 구조를 구비한 반도체 장치 및 그 제조 방법

Country Status (3)

Country Link
US (2) US9570341B2 (ko)
KR (1) KR101735912B1 (ko)
TW (1) TWI552270B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110858562A (zh) * 2018-08-23 2020-03-03 联华电子股份有限公司 半导体元件制造方法及其制得的半导体元件
KR20210148972A (ko) * 2017-11-15 2021-12-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스의 패터닝 방법 및 그 결과의 구조물

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9496169B2 (en) * 2015-02-12 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure having an air gap and structure thereof
DE102016116084B4 (de) 2015-12-30 2022-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterstruktur und Herstellungsverfahren
US20170365504A1 (en) 2016-06-20 2017-12-21 Globalfoundries Inc. Forming air gap
US10504774B2 (en) * 2016-07-20 2019-12-10 Globalfoundries Inc. Lithographic patterning to form fine pitch features
JP2018049968A (ja) * 2016-09-23 2018-03-29 東芝メモリ株式会社 集積回路装置及びその製造方法
US11222811B2 (en) * 2019-12-09 2022-01-11 Nanya Technology Corporation Semiconductor device structure with air gap and method for forming the same
US11901220B2 (en) * 2019-12-20 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bilayer seal material for air gaps in semiconductor devices
US20240087950A1 (en) * 2022-09-12 2024-03-14 Tokyo Electron Limited Wet etch process and methods to form air gaps between metal interconnects

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6268637B1 (en) * 1998-10-22 2001-07-31 Advanced Micro Devices, Inc. Method of making air gap isolation by making a lateral EPI bridge for low K isolation advanced CMOS fabrication
US6764919B2 (en) 2002-12-20 2004-07-20 Motorola, Inc. Method for providing a dummy feature and structure thereof
US7132369B2 (en) * 2002-12-31 2006-11-07 Applied Materials, Inc. Method of forming a low-K dual damascene interconnect structure
US7767570B2 (en) * 2006-03-22 2010-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy vias for damascene process
US20080124912A1 (en) 2006-08-01 2008-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor methods
JP2008294335A (ja) * 2007-05-28 2008-12-04 Panasonic Corp 半導体装置の製造方法
US8951911B2 (en) * 2011-03-31 2015-02-10 Applied Materials, Inc. Process for damascene structure with reduced low-k damage
US8912041B2 (en) * 2013-03-08 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming recess-free interconnect structure
US9159671B2 (en) 2013-11-19 2015-10-13 International Business Machines Corporation Copper wire and dielectric with air gaps
US9230911B2 (en) * 2013-12-30 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
US9653349B2 (en) * 2014-01-24 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor integrated circuit with nano gap
US9281211B2 (en) 2014-02-10 2016-03-08 International Business Machines Corporation Nanoscale interconnect structure
US9601348B2 (en) * 2014-03-13 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming same
US9318439B2 (en) * 2014-03-21 2016-04-19 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure and manufacturing method thereof
US9142453B1 (en) * 2014-04-10 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
US9496224B2 (en) 2014-05-15 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having air gap structures and method of fabricating thereof

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210148972A (ko) * 2017-11-15 2021-12-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스의 패터닝 방법 및 그 결과의 구조물
CN110858562A (zh) * 2018-08-23 2020-03-03 联华电子股份有限公司 半导体元件制造方法及其制得的半导体元件
CN110858562B (zh) * 2018-08-23 2023-07-11 联华电子股份有限公司 半导体元件制造方法及其制得的半导体元件

Also Published As

Publication number Publication date
US9570341B2 (en) 2017-02-14
US20170154847A1 (en) 2017-06-01
US9929094B2 (en) 2018-03-27
TWI552270B (zh) 2016-10-01
US20150332954A1 (en) 2015-11-19
KR101735912B1 (ko) 2017-05-15
TW201603190A (zh) 2016-01-16

Similar Documents

Publication Publication Date Title
KR101735912B1 (ko) 공기 간극 구조를 구비한 반도체 장치 및 그 제조 방법
US11569124B2 (en) Interconnect structure having an etch stop layer over conductive lines
US10043754B2 (en) Semiconductor device having air gap structures and method of fabricating thereof
US11244898B2 (en) Integrated circuit interconnect structures with air gaps
CN108615702B (zh) 具互连结构的半导体装置与其制作方法
US9543193B2 (en) Non-hierarchical metal layers for integrated circuits
KR101711264B1 (ko) 반도체 디바이스용 상호 접속 구조체를 형성하는 방법
US10727178B2 (en) Via structure and methods thereof
US10867910B2 (en) Semiconductor device with damascene structure
US8404581B2 (en) Method of forming an interconnect of a semiconductor device
US10134669B2 (en) Method for forming fin field effect transistor (FinFET) device structure with interconnect structure
US11594419B2 (en) Reduction of line wiggling
US8329521B2 (en) Method and device with gate structure formed over the recessed top portion of the isolation structure
US20120074498A1 (en) Method and apparatus for improving gate contact
TW202145392A (zh) 半導體結構
US20230178379A1 (en) Film deposition for patterning process

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant