TW202303849A - 積體晶片 - Google Patents

積體晶片 Download PDF

Info

Publication number
TW202303849A
TW202303849A TW111113830A TW111113830A TW202303849A TW 202303849 A TW202303849 A TW 202303849A TW 111113830 A TW111113830 A TW 111113830A TW 111113830 A TW111113830 A TW 111113830A TW 202303849 A TW202303849 A TW 202303849A
Authority
TW
Taiwan
Prior art keywords
interconnect
interconnection
dielectric layer
etch stop
barrier structure
Prior art date
Application number
TW111113830A
Other languages
English (en)
Inventor
姚欣潔
李忠儒
呂志偉
田希文
廖韋豪
戴羽騰
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202303849A publication Critical patent/TW202303849A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers

Abstract

在一些實施例中,本揭露關於一種積體晶片,所述積體晶片包括設置在基板上方的第一互連介電層。互連線延伸穿過第一互連介電層,且阻障結構直接設置在互連線上方。積體晶片還包括設置在阻障結構上方且圍繞阻障結構的外側壁的蝕刻停止層。第二互連介電層設置在蝕刻停止層上方,且互連導孔延伸穿過第二互連介電層、蝕刻停止層及阻障結構以接觸互連線。

Description

積體晶片
本發明實施例是關於積體晶片及其形成方法,特別是關於包括在互連線上的阻障結構的積體晶片及其形成方法,以增加上層導孔(overlying via)的製程裕度(processing window)。
隨著半導體積體電路(integrated circuits,IC)的尺寸及部件尺寸按比例縮小,形成IC的元件的密度增加,且介於元件之間的間距減少。這種間距的減少受到光微影的光繞射(diffraction)、遮罩對準、隔離及裝置性能等因素的限制。隨著介於任意兩個相鄰導電部件之間的距離減少,導致所產生的電容增加,這使得功率消耗(power consumption)及時間延遲(time delay)增加。因此,正在研究製造技術及裝置設計以減少IC尺寸,同時保持或提高IC的性能。
因此,在一些實施例中,本揭露關於一種積體晶片。所述積體晶片包括設置在基板上方的第一互連介電層;延伸穿過第一互連介電層的互連線;直接設置在互連線上方的阻障結構;設置在阻障結構上方並圍繞(surrounding)阻障結構的外側壁(outer sidewalls)的蝕刻停止層;設置在蝕刻停止層上方的第二互連介電層;以及延伸穿過第二互連介電層、蝕刻停止層及阻障結構以接觸互連線的互連導孔。
在其他實施例中,本揭露關於一種積體晶片。所述積體晶片包括設置在基板上方的第一互連介電層;延伸穿過第一互連介電層的互連線;直接設置在互連線上方的阻障結構;設置在阻障結構上方並圍繞阻障結構的外側壁的蝕刻停止層;設置在蝕刻停止層上方的第二互連介電層;及延伸穿過第二互連介電層及蝕刻停止層以接觸互連線及阻障結構的互連導孔。
在又一些實施例中,本揭露關於一種積體晶片的形成方法。所述形成方法包括:形成第一互連介電層在基板上方;形成互連線在第一互連介電層內(within),且互連線延伸穿過第一互連介電層;直接形成阻障結構在互連線上;形成蝕刻停止層在第一互連介電層及阻障結構上方;形成第二互連介電層在蝕刻停止層上方;執行第一移除製程,以形成延伸穿過第二互連介電層的空腔,來暴露蝕刻停止層的上表面;執行第二移除製程,以使空腔延伸穿過蝕刻停止層的上部(upper portion),來暴露阻障結構的上表面;以及形成導電材料在空腔內,以形成與互連線耦合的(coupled to)互連導孔。
以下的揭露內容提供許多不同的實施例或範例,以實施所提供的發明標的(subject matter)中的不同部件。以下敘述組件及排列方式的特定範例,以簡化本揭露。當然,這些特定的範例僅為範例,而非用以限定。舉例而言,若是本揭露敘述了將第一部件形成於第二部件上方(over)或上(on),即表示其可能包括前述第一部件與前述第二部件是以直接接觸(in direct contact)的方式來形成的實施例,且亦可能包括了將其他部件形成於前述第一部件與前述第二部件之間,而使前述第一部件與前述第二部件可能未直接接觸的實施例。另外,在不同範例中,本揭露可能重複使用元件符號及/或標記。這些重複是為了簡化與清晰的目的,並非用以限定在此所討論的不同實施例及/或配置之間有特定的關係。
再者,本文所用的空間相關用詞,諸如:「之下(beneath)」、「下方(below)」、「下(lower)」、「之上(above)」、「上部(upper)」及其類似用語,是用於簡化如圖式所示的一元件或部件與另一(些)元件或部件的關係的描述。除了如圖式所示的方向之外,這些空間相關用詞旨在涵蓋使用中或操作中的裝置的不同方位。設備可以其他方向定向(旋轉90度或在其他方向),且本文所用的空間相關用詞可相應地解釋。
積體晶片可以包括多個半導體裝置(例如,電晶體(transistors)、電感器(inductors)、電容器(capacitors)等)及/或設置在半導體基板上方及/或之內的記憶體裝置。互連結構可以設置在半導體基板上方並且耦合到半導體裝置。互連結構可以包括導電互連層,所述導電互連層具有互連線及在互連介電結構之內的互連導孔。互連線及/或互連導孔提供介於設置在半導體基板之內及/或上方的不同半導體裝置之間的電性路徑(electrical pathways)。
互連結構的一些實施例包括耦合到下層半導體裝置的第一互連線,並且互連導孔設置在第一互連線中的一者上方並且耦合到第一互連線中的一者。在製造期間中,可以形成嵌入(embedded)第一互連介電層內的第一互連線。然後,可以沉積第二互連介電層在第一互連介電層及第一互連線上方。可以使用光微影(photolithography)及移除製程,在第二互連介電層內形成空腔(cavity),以暴露第一互連線中的一者的上表面。然後,可以形成導電材料在空腔內,以形成互連導孔,且所述互連導孔耦合到第一互連線中的一者。
然而,隨著積體晶片的尺寸減少,第一互連線及介於第一互連線之間的間距減少,並且由於製程限制,形成空腔變得更加困難,其中所述空腔直接居中於第一互連線中的一者的上方(centered directly over)。這種製程限制的一些範例包括覆蓋(overlaying)用於光微影的直接居中於第一互連線中的一者上的遮罩結構及/或實現用於形成空腔的對應於互連線中的一者的在遮罩結構中的足夠小的開口的精度(precision)/準確度(accuracy)。。在一些情況下,如果空腔非居中(centered over)於第一互連線中的一者上方,則空腔可以部分地形成在第一互連介電層上方。在這樣的實施例中,用於形成空腔的移除製程也可以移除第一互連介電層的一部分。在這樣的實施例中,在最終結構(final structure)中的互連導孔的一部分可以直接設置在相鄰的(adjacent)第一互連線之間,這可以增加電容及/或減少第一互連介電層在介於相鄰的第一互連線之間崩潰(breakdown)的時間,從而降低了整個積體晶片的可靠性。
本揭露的各種實施例關於選擇性地形成阻障結構在互連結構中的第一互連線的上表面上。然後,形成蝕刻停止層在阻障結構上方及在阻障結構之間。形成第二互連介電層在蝕刻停止層上方。在一些實施例中,阻障結構可以包括介電材料或金屬,並且阻障結構包括與第一互連線、蝕刻停止層及第二互連介電層不同的材料。然後,可以執行光微影及移除製程以形成空腔,所述空腔延伸穿過第二互連介電層、蝕刻停止層及阻障結構中的一者,以暴露第一互連線中的一者的上表面。包括第二蝕刻劑的第二移除製程可用於移除蝕刻停止層的一部分,其中阻障結構實質上(substantially)抵抗(resistant)藉由第二蝕刻劑的移除。類似地,在一些實施例中,可以使用包括第三蝕刻劑的第三移除製程來移除阻障結構,其中蝕刻停止層實質上抵抗藉由第三蝕刻劑的移除。
因此,在一些實施例中,即使由於製程限制,而使得空腔直接形成在第一互連介電層的一部分上方,介於阻障結構及蝕刻停止層之間的蝕刻選擇比預防空腔延伸到第一互連介電層及/或暴露第一互連介電層。因此,所獲得的形成在空腔內的互連導孔不直接設置在介於相鄰的第一互連線之間。因此,在保持介於相鄰的第一互連線之間的隔離的同時,增加了用於形成互連導孔的製程裕度,從而減少了串擾(cross-talk)並提高了整個積體晶片的可靠性。
第1A圖顯示出了積體晶片的一些實施例的剖面圖100A,所述積體晶片包括延伸穿過阻障結構以接觸第一互連線的互連導孔。
第1A圖的積體晶片包括設置在基板102上方的互連結構104。在一些實施例中,互連結構104包括下互連導孔106;設置在下互連導孔106上方並耦合到下互連導孔106的第一互連線112;以及設置在第一互連線112中的一者上方並耦合至第一互連線112中的一者的互連導孔122。在一些實施例中,互連結構104還可以包括圍繞下互連導孔106的下互連介電層108;圍繞第一互連線112的第一互連介電層114;以及圍繞互連導孔122的第二互連介電層120。在一些實施例中,第一蝕刻停止層110可以設置在下互連介電層108上方並且在介於下互連介電層108及第一互連介電層之間114。在一些實施例中,第二蝕刻停止層118可以設置在第一互連介電層114上方,且設置在介於第一互連介電層114及第二互連介電層120之間。
此外,在一些實施例中,互連結構104可以耦合到一個或複數個半導體裝置(例如,電晶體、電感器、電容器等)及/或設置在基板102上方及/或基板102之內的記憶體裝置(未顯示出)。因此,互連結構104的導電部件(例如,下互連導孔106、第一互連線112、互連導孔122)可以彼此電性耦合(electrically coupled),且可以電性耦合到任何下層或上層裝置(未顯示出),以提供用於穿過(traveling through)積體晶片的訊號(例如,電壓、電流)的導電路徑。
在一些實施例中,第一互連線112中的每一個具有等於(equal)第一距離d 1的寬度,所述第一距離d 1在舉例而言,介於大約(approximately)5奈米(nanometers)及大約1000奈米之間的範圍內。此外,在一些實施例中,第一互連線112中的一者可以與第一互連線112中的相鄰的一者以第二距離d 2間隔開。在一些實施例中,第二距離d2可以在舉例而言,介於大約5奈米及大約1000奈米之間的範圍內。在一些實施例中,第一互連線112與第一互連介電層114彼此間隔開。在一些實施例中,第一互連介電層114包括低介電常數(低k,low dielectric constant,low-k)介電材料,舉例而言,碳化矽(silicon carbide)、二氧化矽(silicon dioxide)、碳氧化矽(silicon oxygen carbide)、氮化矽(silicon nitride)、碳氮化矽(silicon carbon nitride)、氮氧化矽(silicon oxynitride)、碳氮氧化矽(silicon oxygen carbon nitride)或一些其他合適的介電材料。第一互連介電層114的低k介電材料及/或橫向設置(arranged laterally)在介於第一互連線112之間的任何其他隔離結構(例如,其他介電層、空氣間隔物(air spacer)結構等)減少電容,並預防介於相鄰的第一互連線112之間的串擾。
在一些實施例中,阻障結構116設置在每個第一互連線112上方。在一些實施例中,阻障結構116也可以具有等於第一距離d 1的寬度,並且阻障結構116可以具有最底面,所述最底面完全地且直接地覆蓋第一互連線112的上表面。因此,在一些實施例中,阻障結構116的最底面的寬度可以小於或等於第一互連線112的上表面的寬度。在一些實施例中,第二蝕刻停止層118橫向圍繞阻障結構116的外側壁,且第二蝕刻停止層118直接設置在阻障結構116上方。因此,阻障結構116藉由第二蝕刻停止層118彼此間隔開,且阻障結構116藉由第二蝕刻停止層118與第二互連介電層120間隔開。
在一些實施例中,阻障結構116包括舉例而言,氧化鉿(hafnium oxide)、氧化鈮鋰(lithium niobium oxide)、氮氧化鋰(lithium nitrogen oxide)、氧化鎂(magnesium oxide)、氧化錳(manganese oxide)、氧化鉬(molybdenum oxide)、氧化鈮(niobium oxide)、氧化氮(nitrogen oxide)、氧化矽(silicon oxide)、碳氧化矽(silicon oxygen carbide)、碳氮氧化矽(silicon oxygen carbon nitride)、氮氧化矽(silicon oxynitride)、碳化矽(silicon carbide)、氧化錫(tin oxide)、氧化矽錫(tin silicon oxide)、氧化鍶(strontium oxide)、氧化鉭(tantalum oxide)、氮氧化鉭(tantalum oxynitride)、氧化鈦(titanium oxide)、氮氧化鈦(titanium oxynitride)、氧化鎢(tungsten oxide)、氧化鋅(zinc oxide)、氧化鋯(zirconium oxide)或一些其他合適的介電材料及/或金屬氧化物(metal-oxide)。在一些其他實施例中,阻障結構116可以包括金屬,諸如舉例而言鉭(tantalum)、氮化鉭(tantalum nitride)、氮化鈦(titanium nitride)、銅(copper)、鈷(cobalt)、釕(ruthenium)、鉬(molybdenum)、銥(iridium)、鎢(tungsten)或一些其他合適的金屬材料。然而,在一些實施例中,阻障結構116包括與第二蝕刻停止層118不同的材料,且阻障結構116包括與第一互連線112不同的材料。此外,阻障結構116包括允許選擇性地形成阻障結構116於第一互連線112上而不是選擇性地形成於第一互連介電層114上的材料,以提高阻障結構116的形成效率(efficiency)。
在一些實施例中,互連導孔122延伸穿過第二互連介電層120、第二蝕刻停止層118及阻障結構116,以直接接觸第一互連線112。在一些實施例中,因為第一互連線112的第一距離d 1及介於第一互連線112之間的第二距離d 2非常小(例如,介於大約5奈米及大約1000奈米之間),所以由於製程限制,形成互連導孔122以直接設置(land directly on)在第一互連線112上更加困難。為了預防互連導孔122形成在第一互連介電層114的最上表面114t下方使得第一互連線112之間的電容增加,使用了第二蝕刻停止層118及阻障結構116。
在一些實施例中,為了形成互連導孔122,形成包括開口的遮罩結構在第二互連介電層120上方。可以執行第一移除製程,以移除直接設置在遮罩結構的開口的下方的第二互連介電層120的一部分。在這樣的實施例中,第二蝕刻停止層118可以包括與第二互連介電層120不同的材料,且實質上抵抗藉由第一移除製程的移除。然後,在一些實施例中,可以執行第二移除製程,以移除直接設置在遮罩結構的開口下方的第二蝕刻停止層118的一部分。在第二移除製程之後,可以暴露阻障結構116。然而,第二移除製程可以藉由舉例而言,基於第二蝕刻停止層118的厚度的蝕刻時間來控制,使得在第二移除製程之後,第二蝕刻停止層118仍然完全覆蓋第一互連介電層114。結果是,在一些實施例中,互連導孔122可以包括直接設置在第一互連介電層114上方的水平表面122s,其中所述水平表面122s介於互連導孔122的最上表面及最底表面之間。在一些實施例中,可以根據遮罩結構,執行第三移除製程以移除阻障結構116的一部分,以暴露第一互連線112。第二蝕刻停止層118實質上抵抗藉由第三移除製程的移除,以保護第一互連介電層114。在第一移除製程、第二移除製程及第三移除製程之後,可以形成互連導孔122,且互連導孔122直接接觸第一互連線112而不是直接設置在介於相鄰的第一互連線112之間。
因此,在一些實施例中,即使用於形成互連導孔122的遮罩結構的開口的一部分直接設置在第一互連介電層114上方,在互連導孔122的形成期間不會移除第一互連介電層114。結果是,互連導孔122不延伸到第一互連線112的上表面下方,且可以保持由第一互連介電層114提供的介於相鄰的第一互連線112之間的隔離,從而減少介於第一互連線112之間的串擾並保持及/或增加互連結構104的可靠性。
第1B圖顯示出了對應於第1A圖的剖面圖100A的一些實施例的俯視圖100B。
在一些實施例中,從俯視圖100B中,第一互連線112設置在第二互連介電層120之下(beneath),因此,第一互連線112使用點狀虛線顯示。在一些實施例中,第一互連線112在第一方向130上延伸。在一些實施例中,阻障結構(第1A圖的阻障結構116)及第二蝕刻停止層(第1A圖的第二蝕刻停止層118)至少有助於增加在垂直於第一方向130的第二方向132上的互連導孔122的製程裕度。
在一些實施例中,應當理解的是,從俯視圖100B中,雖然可能看起來是互連導孔122設置在介於相鄰的第一互連導線112之間,但是如第1A圖的剖面圖100A所示,互連導孔122不直接設置在介於第二方向132上的相鄰的第一互連導線112之間。在一些實施例中,從俯視圖100B中,互連導孔122可以具有圓形輪廓(profile)。在其他實施例中,從俯視圖100B中,互連導孔122可以呈現出矩形、類橢圓形(oval-like)或一些其他形狀輪廓。此外,在一些實施例中,額外的互連導孔(未顯示出)耦合到第一互連線112。
第2圖顯示出了積體晶片的一些實施例的剖面圖200,所述積體晶片包括延伸穿過阻障結構以接觸第一互連線的互連導孔,其中所述互連導孔實質上居中於第一互連線上方。
在一些實施例中,直接設置在互連導孔122下方的第一互連線112的中心(center)設置在第一線(line)202上。在這樣的實施例中,第一線202垂直於基板102的上表面。在一些實施例中,決定(determined)第一互連線112的中心為第一互連線112的最上表面的寬度的中點。在一些實施例中,類似地決定(similarly determined)互連導孔122的中心為互連導孔122的最上表面的寬度的中點。在一些實施例中,如第2圖的剖面圖200所示,第一線202也與互連導孔122的中心相交。在這樣的實施例中,互連導孔122及下層的第一互連線112可以被分類為彼此「對齊(aligned)」或「居中(centered)」。在這樣的實施例中,其中互連導孔122及第一互連線112對齊,介於互連導孔122及第一互連線112之間的接觸面積增加。在這樣的實施例中,互連導孔122的整個下表面直接接觸第一互連線112。
然而,在一些實施例中,其中第一互連線112的寬度(例如,第一距離d 1)非常小(例如,在介於大約5奈米及大約1000奈米之間),所以因為製程限制(例如,光微影精度(photolithography precision)、蝕刻精度(etching precision)等)使得介於互連導孔122及下層的第一互連線112的對齊很少(rare)。因此,在互連導孔122及下層的第一互連線112未對準(misaligned)的情況下(例如,第1A圖及第3圖),阻障結構116及第二蝕刻停止層118仍然包括在第一互連線112上方。
此外,應當理解的是,在一些其他實施例中,即使互連導孔122居中於下層的第一互連線112上方,由於製程限制,互連導孔122也可能比下層的第一互連線112更寬。在這樣的實施例中,所得到的互連導孔122仍然可以具有直接覆蓋第一互連介電層114的一部分。然而,在這樣的實施例中,直接覆蓋第一互連介電層114的互連導孔122的一部分藉由第二蝕刻停止層118與第一互連介電層114間隔開。
此外,在一些實施例中,阻障結構116具有等於第三距離d 3的高度。在一些實施例中,第三距離d3在舉例而言,大約10埃及大約1000埃之間的範圍內。在一些實施例中,第二蝕刻停止層118具有等於第四距離d 4的高度。在一些實施例中,第四距離d 4在舉例而言,大約10埃及大約1100埃之間的範圍內。在一些實施例中,第四距離d 4大於第三距離d 3,使得第二蝕刻停止層118覆蓋阻障結構116的上表面。在一些實施例中,第二蝕刻停止層118包括與阻障結構116及第二互連介電層120不同的材料。在一些實施例中,第二蝕刻停止層118包括舉例而言,碳化矽、二氧化矽、碳氧化矽、氮化矽、碳氮化矽、氮氧化矽、碳氮氧化矽、氧氮化鋁(aluminum oxynitride)、氧化鋁(aluminum oxide)或一些其他合適的材料。
在一些實施例中,下互連導孔106、第一互連線112及互連導孔122可以各自包括導電材料,諸如舉例而言,鉭、氮化鉭、氮化鈦、銅、鈷、釕、鉬、銥、鎢或一些其他合適的導電材料。在一些實施例中,下互連導孔106、第一互連線112及互連導孔122可以各自包括相同的材料,可以各自包括不同的材料,或者可以包括相似及不同材料的組合。在一些實施例中,下互連導孔106、第一互連線112及互連導孔122可以各自具有在舉例而言,大約10埃及大約1000埃之間的範圍內的高度。
第3圖示出了一些實施例的剖面圖300,其中包括阻障結構的互連結構耦合到下層的半導體裝置。
在一些實施例中,互連導孔122在下層的第一互連線112上「未對準(misaligned)」或「未居中(not centered)」。在這樣的實施例中,垂直於基板102的上表面的第二線310與互連導孔122的中心相交,且第二線310平行於與第一互連線112的中心相交的第一線202。在這樣的實施例中,當第一線202與第二線310平行且不相交時,互連導孔122與下層的第一互連線112未對準。在這樣的實施例中,如關於第1A圖的剖面圖100A所描述的,阻障結構116及第二蝕刻停止層118有助於在形成互連導孔122的期間中保護第一互連介電層114,且因此互連導孔122不會在第一互連線112的上表面下方延伸。
此外,在一些實施例中,下互連導孔106耦合到下層半導體裝置302。在一些實施例中,下層的半導體裝置302可以包括舉例而言,場效電晶體(field effect transistor,FET)。在這樣的實施例中,半導體裝置302可以包括設置在基板102上或之內的源極/汲極區域304。源極/汲極區域304可以包括基板102的摻雜部分。此外,在一些實施例中,半導體裝置302可以包括設置在基板102上方且介於源極/汲極區域304之間的閘極電極306。在一些實施例中,閘極介電層308可以直接設置在介於閘極電極306及基板102之間。在一些實施例中,下互連導孔106耦合到源極/汲極區域304中的一者,而在其他實施例中,下互連導孔106可以連接到半導體裝置302的閘極電極306。此外,在一些實施例中,應當理解的是,互連結構104可以使半導體裝置302耦合到一些其它半導體裝置、記憶體裝置、光電(photo)裝置或一些其它電子裝置。應當理解的是,除了繪示為半導體裝置302的FET之外的其他電子/半導體裝置也在本揭露的範圍內。
第4圖顯示出了積體晶片的一些其他實施例的剖面圖400,所述積體晶片包括延伸穿過阻障結構以接觸第一互連線的互連導孔。
在一些實施例中,互連導孔122的水平表面122s設置在阻障結構116的最上表面116t下方。在這樣的實施例中,用於移除第二蝕刻停止層118的一部分的第二移除製程繼續經過(continues past)阻障結構116的最上表面116t。因此,在一些實施例中,第二移除製程移除在阻障結構116的最上表面116t下方的第二蝕刻停止層118的一部分。然而,在暴露第一互連介電層114的最上表面114t之前,停止第二移除製程。因此,在一些實施例中,互連導孔122的水平表面122s設置在第一互連介電層114的最上表面114t上方,但在阻障結構116的最上表面116t下方。在一些其他實施例中,互連導孔122的水平表面122s設置在與第一互連介電層114的最上表面114t具有第一高度處(at),其中所述第一高度大約等於阻障結構116的第三距離d 3
第5圖顯示出了積體晶片的一些實施例的剖面圖500,所述積體晶片包括互連導孔,所述互連導孔延伸穿過第二蝕刻停止層以接觸阻障結構及下層的互連線。
在一些實施例中,可以省略用於移除阻障結構116的一部分的第三移除製程,且互連導孔122可以延伸穿過第二互連介電層120及第二蝕刻停止層118,以直接接觸阻障結構116的最上表面116t。在這樣的實施例中,阻障結構116可以包括導電材料,使得阻障結構116不損害介於互連導孔122及第一互連線112之間的電性連接(electrical connection)。在一些其他實施例中,阻障結構116可以包括介電材料,但是來自阻障結構116的介於互連導孔122及第一互連線112之間的電容可能會損害(例如,降低速度、改變傳輸的訊號的數值等)介於第一互連線112及互連導孔122之間的電性連接。然而,當省略第三移除製程,製造效率增加,且阻障結構116直接保留在介於互連導孔122及第一互連線112之間。
第6圖~第16圖顯示出了在第一互連線上的互連導孔的形成方法的一些實施例的各種視圖600~1600,所述形成方法使用阻障結構及在第一互連線上的第二蝕刻停止層,以增加用於形成互連導孔的製程裕度。雖然第6圖~第16圖是關於一種方法來進行描述,但是應當理解的是,在第6圖~第16圖中所揭露的結構不限於這種方法,取而代之則可以作為獨立於方法的結構獨立存在。
如第6圖的剖面圖600所示,提供基板102。在一些實施例中,基板102可以是或包括任何類型的半導體基體(body)(例如,矽(silicon)/互補式金屬氧化物半導體(Complementary Metal Oxide Semiconductor,CMOS)塊材(bulk)、SiGe、絕緣層上覆矽(Silicon On Insulator,SOI)等),諸如半導體晶圓(wafer)或在晶圓上的一或多個晶粒(die)、以及任何其他類型的半導體及/或在其上形成及/或以其他方式相關的磊晶層。在一些實施例中,下互連介電層108形成在基板102上方。在一些實施例中,各種半導體裝置(例如,電晶體、電感器、電容器等)及/或記憶體裝置(未顯示出)可以設置在基板102上方及/或在基板102之內,且在下互連介電層108下方。在一些實施例中,下互連導孔106可以形成在下互連介電層108之內,且耦合到各種半導體裝置及/或記憶體裝置(未顯示出)中的一或多個。
在一些實施例中,可以藉由沉積製程的方法(例如,旋轉塗佈(spin-on)、物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積(chemical vapor deposition,CVD)、原子層沉積(atomic layer deposition,ALD)等)形成下互連介電層108。在一些實施例中,下互連介電層108可以具有在舉例而言,大約30埃及大約800埃之間的範圍內的厚度。在一些實施例中,下互連介電層108可以包括舉例而言,低k介電材料,諸如碳化矽、二氧化矽、碳氧化矽、氮化矽、碳氮化矽、氧氮化矽、碳氮氧化矽或一些其他合適的介電材料。
在一些實施例中,可以藉由(through)圖案化製程(例如,光微影/蝕刻);沉積製程(例如,PVD、CVD、電漿輔助CVD(plasma-enhanced CVD,PE-CVD)、ALD、濺鍍(sputtering)等);以及移除製程(例如,濕式蝕刻、乾式蝕刻、化學機械平坦化(chemical mechanical planarization,CMP)等)的各種步驟,來形成下互連導孔106在下互連介電層108之內。在一些實施例中,下互連導孔106可以包括導電材料,諸如舉例而言,鉭、氮化鉭、氮化鈦、銅、鈷、釕、鉬、銥、鎢或一些其他合適的導電材料。此外,在一些實施例中,下互連導孔106可以具有在舉例而言,大約10埃及大約1000埃之間的範圍內的高度。
在一些實施例中,形成第一蝕刻停止層110在下互連導孔106上方且在下互連介電層108上方。在一些實施例中,第一蝕刻停止層110藉由沉積製程(例如,PVD、CVD、ALD、旋轉塗佈等)的方式來形成,且可以在設定(set)為舉例而言大約150攝氏度(degrees Celsius)及大約400攝氏度之間的範圍內的溫度的腔室(chamber)中形成。在一些實施例中,可以形成第一蝕刻停止層110以具有在舉例而言大約10埃及大約1000埃之間的範圍內的厚度。在一些實施例中,第一蝕刻停止層110可以包括舉例而言,碳化矽、二氧化矽、碳氧化矽、氮化矽、碳氮化矽、氧氮化矽、碳氮氧化矽、氧氮化鋁、氧化鋁或一些其他合適的材料。
如第7圖的剖面圖700所示,在一些實施例中,形成嵌入在第一互連介電層114中的第一互連線112在第一蝕刻停止層110上方。在一些實施例中,可以首先形成第一互連介電層114在第一蝕刻停止層110上方,然後第一互連介電層114可以經歷圖案化製程(例如,光微影/蝕刻);沉積製程(例如,PVD、CVD、PE-CVD、ALD、濺鍍等);以及移除製程(例如,濕式蝕刻、乾式蝕刻、CMP等)的各個步驟,來形成第一互連線112在第一互連介電層114內。在其他實施例中,藉由圖案化製程(例如,光微影/蝕刻);沉積製程(例如,PVD、CVD、PE-CVD、ALD、濺鍍等);以及移除製程(例如,濕式蝕刻、乾式蝕刻、CMP等)的各個步驟,可以首先形成第一互連線112在第一蝕刻停止層110的上方,然後可以形成第一互連介電層114在第一互連線112周圍(around)。
然而,在一些實施例中,第一互連介電層114藉由沉積製程(例如,旋轉塗佈、PVD、CVD、ALD等)的方法,在設定為介於大約50攝氏度及大約400攝氏度之間的範圍內的溫度的腔室中形成。在一些實施例中,可以形成第一互連介電層114為具有舉例而言,大約10埃及大約1000埃之間的範圍內的厚度。在一些實施例中,第一互連介電層114可以包括低k介電材料,諸如舉例而言,碳化矽、二氧化矽、碳氧化矽、氮化矽、碳氮化矽、氮氧化矽、碳氮氧化矽或一些其他合適的介電材料。
此外,在一些實施例中,第一互連線112可以藉由沉積製程(例如,旋轉塗佈、PVD、CVD、ALD等)的方法,在設定為介於大約150攝氏度及大約400攝氏度之間的範圍內的溫度的腔室中形成。在一些實施例中,第一互連線112可以具有等於第一互連介電層114的高度的高度。因此,在一些實施例中,第一互連線112具有在介於大約10埃及大約1000埃之間的範圍內的高度。此外,在一些實施例中,第一互連線112中的每一個具有等於第一距離d 1的寬度,所述第一距離d 1在舉例而言,大約5奈米及大約1000奈米之間的範圍內。在一些實施例中,第一互連線112可以第二距離d 2彼此間隔開,所述第二距離d 2在舉例而言,大約5奈米及大約1000奈米之間的範圍內。在一些實施例中,第一互連線112可以包括導電材料,諸如鉭、氮化鉭、氮化鈦、銅、鈷、釕、鉬、銥、鎢或一些其他合適的導電材料。
在一些實施例中,第一互連線112中的一或多個延伸穿過第一蝕刻停止層110,以直接接觸下互連導孔106中的一或多個。因此,在一些實施例中,第一互連線112的形成還包括移除第一蝕刻停止層110的一部分。應當理解的是,可以存在多於或少於4條的第一互連線112在第一互連介電層114中。
如第8圖的剖面圖800所示,在一些實施例中,選擇性地形成阻障結構116在第一互連線112上方。在這樣的實施例中,阻障結構116包括可以選擇性地直接沉積在第一互連線112上,但不沉積在第一互連介電層114上的材料。因為可以選擇性地直接形成阻障結構116在第一互連線112上,而不是形成在第一互連介電層114上,所以可以省略光微影製程,從而增加形成阻障結構116的製造效率。在一些實施例中,阻障結構116可以包括舉例而言,氧化鉿、氧化鈮鋰、氮氧化鋰、氧化鎂、氧化錳、氧化鉬、氧化鈮、氧化氮、氧化矽、碳氧化矽、碳氮氧化矽、氮氧化矽、碳化矽、氧化錫、氧化矽錫、氧化鍶、氧化鉭、氮氧化鉭、氧化鈦、氮氧化鈦、氧化鎢、氧化鋅、氧化鋯或一些其他合適的介電材料或金屬氧化物。在這樣的實施例中,阻障結構116可以藉由沉積製程(例如,PVD、CVD、ALD、旋轉塗佈等)的方式,在設定為介於大約150攝氏度及大約400攝氏度之間的範圍內的溫度的腔室中形成。
在一些其他實施例中,阻障結構116可以包括舉例而言,鉭、氮化鉭、氮化鈦、銅、鈷、釕、鉬、銥、鎢或一些其他合適的金屬。在一些實施例中,阻障結構116可以包括與第一互連線112不同的材料。在這樣的其他實施例中,阻障結構116可以藉由沉積製程(例如,PVD、CVD、ALD、電化學鍍(electrochemical plating)、濺鍍等)的方式,在設定為介於大約20攝氏度及大約400攝氏度之間的範圍內的溫度的腔室中形成。
在一些實施例中,阻障結構116具有等於第三距離d 3的高度,所述第三距離d 3在舉例而言,介於大約10埃及大約1000埃之間的範圍內。
如第9圖的剖面圖900所示,在一些實施例中,形成第二蝕刻停止層118在阻障結構116上方且在第一互連介電層114上方。在一些實施例中,第二蝕刻停止層118具有最大厚度(maximum thickness),所述最大厚度等於第四距離d 4,且第四距離d 4大於第三距離d 3,使得第二蝕刻停止層118完全覆蓋阻障結構116。在一些實施例中,第四距離d4在舉例而言,介於大約10埃及大約1000埃的範圍內。在一些實施例中,第二蝕刻停止層118可以藉由舉例而言,沉積製程(例如,PVD、CVD、ALD、旋轉塗佈等)的方式,在設定為舉例而言,介於大約150攝氏度及大約400攝氏度之間的範圍內的溫度的腔室中形成。在一些實施例中,在沉積製程之後,執行移除製程(例如,化學機械平坦化(CMP)),使得第二蝕刻停止層118具有實質上平坦的上表面。在一些實施例中,第二蝕刻停止層118可以包括不同於阻障結構116的材料。此外,在一些實施例中,第二蝕刻停止層118包括不同於第一互連介電層114的材料。在一些實施例中,第二蝕刻停止層118可以包括舉例而言,碳化矽、二氧化矽、碳氧化矽、氮化矽、碳氮化矽、氮氧化矽、碳氮氧化矽、氮氧化鋁、氧化鋁或一些其他合適的材料。
此外,在一些實施例中,形成第二互連介電層120在第二蝕刻停止層118上方。在一些實施例中,第二互連介電層120可以藉由舉例而言,沉積製程(例如,PVD、CVD、ALD、旋轉塗佈等)的方式,在設定為舉例而言,介於大約50攝氏度及大約400攝氏度之間的範圍內的溫度的腔室中形成。在一些實施例中,第二互連介電層120包括介電材料,諸如舉例而言碳化矽、二氧化矽、碳氧化矽、氮化矽、碳氮化矽、氮氧化矽、碳氮氧化矽或一些其他合適的介電材料。在一些實施例中,第二互連介電層120包括與第一互連介電層114相同的材料。在其他實施例中,第二互連介電層120可以包括與第一互連介電層114不同的材料。在一些實施例中,第二互連介電層120包括與第二蝕刻停止層118不同的材料。在一些實施例中,第二互連介電層120具有在舉例而言,大約30埃及大約800埃之間的範圍內的厚度。
如第10圖的剖面圖1000所示,在一些實施例中,可以形成抗反射(anti-reflective)結構1002在第二互連介電層120上方。在一些實施例中,抗反射結構1002可以包括舉例而言,第一抗反射層1002a及第二抗反射層1002b。在一些實施例中,抗反射結構1002有助於後續圖案化/光微影製程的精度及準確度。在一些實施例中,抗反射結構1002藉由沉積製程(例如,旋轉塗佈、CVD、PVD、ALD等)形成並且包括有機及/或無機材料。在一些實施例中,藉由使用光微影及移除(例如,蝕刻)製程,形成第一遮罩結構1004在抗反射結構1002上方。在一些實施例中,第一遮罩結構1004包括光阻或硬遮罩材料。
在一些實施例中,第一遮罩結構1004包括直接設置在第一互連線112中的一者上方的開口1006。在一些實施例中,第一線202與直接(directly underlies)位於第一遮罩結構1004的開口1006下層的第一互連線112的中心相交。在一些實施例中,第二線310與第一遮罩結構1004的開口1006的中心相交。在一些實施例中,第一互連線112的中心可以定義為第一互連線112的寬度的中點,並且類似地,開口1006的中心可以定義為開口1006的寬度的中點。在一些實施例中,第一線202及第二線310垂直於基板102的最上表面。
在一些實施例中,由於光微影精度及/或準確度限制,舉例而言,第一線202可以從第二線310偏移(offset)。在這樣的實施例中,開口1006可以直接覆蓋第一互連介電層114的一部分。在這樣的實施例中,第一遮罩結構1004的開口1006可以認定為與下層的第一互連線112中的一者「未對準」。
在一些其他實施例中,第一線202可以與第二線310共線(collinear),且開口1006可以僅直接覆蓋下層的第一互連線112中的一者。在這樣的其他實施例中,可以認定開口1006與下層的第一互連線112中的一者對齊。在另一實施例中,第一線202可以與第二線310共線,但是開口1006的寬度可以大於第一互連線112的寬度。在這樣的其他實施例中,開口1006仍可以直接覆蓋第一互連介電層114的一部分。在一些實施例中,開口1006的寬度可以在舉例而言,介於大約5奈米及大約300奈米之間的範圍內。
如第11圖的剖面圖1100所示,在一些實施例中,可以執行第一移除製程1102,以移除直接位於開口1006下方的抗反射結構1002及第二互連介電層120的一部分。在一些實施例中,第一移除製程1102可以形成空腔1104,所述空腔1104延伸穿過抗反射結構1002及第二互連介電層120,以暴露直接設置在第一遮罩結構1004的開口1006下方的第二蝕刻停止層118。因此,在一些實施例中,第二蝕刻停止層118包括不同於第二互連介電層120的材料,並且包括實質上抵抗藉由第一移除製程1102的移除的材料。在一些實施例中,空腔1104可以具有以第一角度a 1傾斜的側壁。在一些實施例中,第一角度a 1可以在舉例而言,介於大約90度及大約130度之間的範圍內。
在一些實施例中,第一移除製程1102包括用於移除抗反射結構1002及第二互連介電層120的一部分的一或多種乾蝕刻劑。在一些實施例中,第一移除製程1102可以是或包括反應性離子(reactive-ion)蝕刻、電感耦合電漿(inductively coupled plasma)及/或電容耦合電漿(capacitively coupled plasma)。在這樣的實施例中,第一移除製程1102可以利用以下氣體蝕刻劑(gas etchants)中的一或多種:碳氫氣體(carbon-hydrogen gas)(例如,CH 4)、氟化物類氣體(fluoride-based gas)(例如,CH 3F、CH 2F 2、CHF 3、C4F 8、C 4F 6、CF 4)、溴化氫(hydrogen bromide)、一氧化碳(carbon monoxide)、二氧化碳(carbon dioxide)、三氯化硼(boron trichloride)、氯氣(chlorine)、氮氣(nitrogen)、氦氣(helium)、氖氣(neon)、氬氣(argon)或一些其他合適的氣體。在一些實施例中,第一移除製程1102可以在設定為在介於大約0攝氏度及大約100攝氏度之間的範圍內的溫度;在介於大約0.2毫托(millitorr)及大約120毫托之間的範圍內的壓力;在介於大約50瓦(watts)及大約3000瓦之間的範圍內的功率(power);以及在介於大約0伏(volts)及大約1200伏之間的範圍內的偏壓(bias)的腔室中進行。
如第12圖的剖面圖1200所示,在一些實施例中,執行第二移除製程1202,以移除設置在第一遮罩結構1004的開口1006下方的第二蝕刻停止層118的一部分。因此,第二移除製程1202使空腔1104延伸,且暴露直接位於第一遮罩結構1004的開口1006下方的阻障結構116的最上表面116t。在一些實施例中,阻障結構116包括實質上抵抗第二移除製程1202的移除的材料。在一些實施例中,藉由第二移除製程1202在介於第二蝕刻停止層118及阻障結構116之間的蝕刻選擇比(etching selectivity)在介於大約10及30之間。換句話說,可以以比藉由第二移除製程1202移除阻障結構116更快10到30倍(times)的速率來藉由第二移除製程1202移除第二蝕刻停止層118。
在一些實施例中,即使第一遮罩結構1004的開口1006直接覆蓋第一互連介電層114,在第二移除製程1202之後,第二蝕刻停止層118仍然完全覆蓋第一互連介電層114。因此,在一些實施例中,第二蝕刻停止層118可以藉由舉例而言,預定蝕刻時間(predetermined etching time)來控制,以移除足夠的第二蝕刻停止層118,而暴露阻障結構116的最上表面116t但不移除第二蝕刻停止層118的整個厚度(亦即,第四距離d 4),以預防暴露第一互連介電層114。因此,在一些實施例中,藉由第二移除製程1202形成第二蝕刻停止層118的中間表面(mid-surface)118s,其中中間表面118s在介於阻障結構116的最上表面116t及第一互連介電層114的最上表面114t之間。在一些實施例中,第二移除製程1202包括與第一移除製程1102不同的蝕刻劑。
在一些實施例中,第二移除製程1202可以是或包括反應性離子蝕刻、遠程電漿(remote plasma)、電感耦合電漿及/或電容耦合電漿。在這樣的實施例中,第二移除製程1202可以利用以下氣體蝕刻劑中的一或多種:碳氫氣體(例如,CH 4)、氟化物類氣體(例如,CH 3F、CH 2F 2、CHF 3、C4F 8、C 4F 6、CF 4)、溴化氫、一氧化碳、二氧化碳、三氯化硼、氯氣、氮氣、氦氣、氖氣、氬氣或一些其他合適的氣體。在一些實施例中,第二移除製程1202可以在設定為在介於大約0攝氏度及大約100攝氏度之間的範圍內的溫度;在介於大約0.2毫托及大約120毫托之間的範圍內的壓力;在介於大約50瓦及大約3000瓦之間的範圍內的功率;以及在介於大約0伏及大約1200伏之間的範圍內的偏壓的腔室中進行。
在一些其他實施例中,第二移除製程1202可以包括濕蝕刻劑,以根據第11圖的空腔1104及第一遮罩結構1004的開口1006移除第二蝕刻停止層118。在一些實施例中,當第二移除製程1202包括濕蝕刻劑,第二移除製程1202的濕蝕刻劑也可以移除第一遮罩結構1004及/或抗反射結構1002。在另一些實施例中,可以在第二移除製程1202之前或之後使用與用於第二移除製程1202不同的濕蝕刻劑,以移除第一遮罩結構1004及抗反射結構1002。
如第13圖的剖面圖1300所示,在一些實施例中,可以執行第三移除製程1302,以移除直接位於第一遮罩結構(第12圖的第一遮罩結構1004)的開口(第12圖的開口1006)下方的阻障結構116的一部分。因此,第三移除製程1302使空腔1104延伸,以暴露第一互連線112的上表面112u。在一些實施例中,在第三移除製程1302之前,藉由濕式或乾式蝕刻劑的方式,來移除抗反射結構(第12圖的抗反射結構1002)及第一遮罩結構(第12圖的第一遮罩結構1004)。在其他實施例中,在第三移除製程1302之後,藉由濕式或乾式蝕刻劑的方式,來移除抗反射結構(第12圖的抗反射結構1002)及第一遮罩結構(第12圖的第一遮罩結構1004)。
在一些實施例中,第三移除製程1302包括與第二移除製程(第12圖的第二移除製程1202)不同的蝕刻劑,使得第二蝕刻停止層118實質上抵抗藉由第三移除製程1302的移除。因此,第二蝕刻停止層118可以保護第一互連介電層114不受第三移除製程1302移除。此外,在一些實施例中,在第三移除製程1302期間,第二互連介電層120作為遮罩結構。因此,第三移除製程1302與第一移除製程(第11圖的第一移除製程1102)不同,使得第二互連介電層120實質上抵抗藉由第三移除製程1302的移除。另外,在一些實施例中,第一互連線112實質上抵抗藉由第三移除製程1302的移除。因此,在一些實施例中,第一互連線112包括與阻障結構116不同的材料。
在一些實施例中,第三移除製程1302可以是或包括反應性離子蝕刻、遠程電漿、電感耦合電漿及/或電容耦合電漿。在這樣的實施例中,第三移除製程1302可以利用以下氣體蝕刻劑中的一或多種:碳氫氣體(例如,CH 4)、氟化物類氣體(例如,CH 3F、CH 2F 2、CHF 3、C4F 8、C 4F 6、CF 4)、溴化氫、一氧化碳、二氧化碳、三氯化硼、氯氣、氮氣、氦氣、氖氣、氬氣或一些其他合適的氣體。在一些實施例中,第三移除製程1302可以在設定為在介於大約0攝氏度及大約100攝氏度之間的範圍內的溫度;在介於大約0.2毫托及大約120毫托之間的範圍內的壓力;在介於大約50瓦及大約3000瓦之間的範圍內的功率;以及在介於大約0伏及大約1200伏之間的範圍內的偏壓的腔室中進行。
在一些其他實施例中,第三移除製程1302可以包括濕蝕刻劑,以移除阻障結構116的一部分,而暴露第一互連線112的上表面112u。在又一些實施例中,可以省略第三移除製程1302,且可以藉由阻障結構,使得將形成(to be formed)在空腔1104內的覆蓋(overlying)互連導孔耦合到第一互連線112(例如,第5圖)。
第14圖顯示出了對應於第13圖的剖面線AA’的一些實施例的俯視圖1400。
第14圖的俯視圖1400說明的是,空腔1104延伸穿過阻障結構116,以暴露第一互連線(第13圖的第一互連線112)的上表面112u。此外,應當理解,其他空腔(未顯示出)可能已經與空腔1104同時形成,使得其他空腔(未顯示出)暴露設置在阻障結構116下方的其他第一互連線(第13圖的第一互連線112)的上表面。
如第15圖的剖面圖1500所示,在一些實施例中,形成導電材料1502在第二互連介電層120上,以完全填充在第二互連介電層120、第二蝕刻停止層118及阻障結構116中的空腔(第13圖的空腔1104),以接觸第一互連線112的上表面112u。在一些實施例中,導電材料1502可以包括舉例而言,鉭、氮化鉭、氮化鈦、銅、鈷、釕、鉬、銥、鎢或一些其他合適的導電材料。此外,在一些實施例中,導電材料1502可以藉由沉積製程(例如,PVD、CVD、ALD、旋轉塗佈等)的方式,在設定為舉例而言,介於大約150攝氏度及大約400攝氏度之間的範圍內的溫度的腔室中形成。在一些實施例中,導電材料1502的厚度可以在舉例而言,介於大約10埃及大約1000埃之間的範圍內。
如第16圖的剖面圖1600所示,在一些實施例中,執行移除製程,以移除設置在第二互連介電層120的最上表面上方的導電材料(第15圖的導電材料1502)的一部分,從而形成設置在第一互連線112上方並耦合到第一互連線112的互連導孔122。在一些實施例中,第16圖的移除製程包括平坦化製程(例如,CMP)。在一些實施例中,下互連導孔106、第一互連線112及互連導孔122構成(make up)互連結構104,所述互連結構104覆蓋在基板102上,且所述互連結構104在介於設置在互連結構104的上方及下方的各種電子裝置(例如,半導體裝置、光電裝置、記憶體裝置等)之間提供導電路徑。
在一些實施例中,至少因為阻障結構116及第二蝕刻停止層118,而在形成空腔(第13圖的空腔1104)來形成互連導孔122的期間,不暴露且不移除第一互連介電層114。因此,互連導孔122不會在第一互連線112下方延伸,並且互連導孔122不會直接在介於相鄰的第一互連線112之間延伸。因此,阻障結構116為了形成互連導孔122提供更大的製程裕度,因為即使互連導孔122在第一互連線112上方未對準,也能保持藉由第一互連介電層114提供的介於第一互連線112之間的隔離。因此,阻障結構116及第二蝕刻停止層118增加了用於形成互連導孔122的製程裕度,而不犧牲介於下層的第一互連線112之間的隔離,以便提供高性能及可靠的積體晶片。
第17圖顯示出了方法1700的一些實施例的流程圖,所述方法1700對應於第6圖至第16圖中所示的方法。
雖然在下文中顯示並描述方法1700為一系列步驟或事件,但是應當理解的是,這些步驟或事件的圖示順序不應被解釋為限制性的。舉例而言,一些步驟可以以不同的順序發生及/或與除了本文顯示及/或描述的那些步驟之外的其他步驟或事件同時(concurrently)發生。此外,不需要所有顯示的步驟來實施本文描述的一或多個態樣或實施例。再者,本文描述的一或多個步驟可以在一或多個單獨的步驟及/或階段中執行。
在步驟1702,形成第一互連介電層在基板上方。
在步驟1704,形成互連線在第一互連介電層內,且所述互連線延伸穿過第一互連介電層。第7圖顯示出了對應於步驟1702及1704的一些實施例的剖面圖700。
在步驟1706,選擇性地直接形成阻障結構在互連線上方。第8圖顯示出了對應於步驟1706的一些實施例的剖面圖800。
在步驟1708,形成蝕刻停止層在第一互連介電層及阻障結構上方。
在步驟1710,形成第二互連介電層在蝕刻停止層上方。第9圖顯示出了對應於步驟1708及1710的一些實施例的剖面圖900。
在步驟1712,執行第一移除製程,以形成延伸穿過第二互連介電層的空腔,以暴露蝕刻停止層的上表面。第11圖顯示出了對應於步驟1712的一些實施例的剖面圖1100。
在步驟1714,執行第二移除製程,以使空腔延伸穿過蝕刻停止層的上部(upper portion),來暴露阻障結構的上表面。第12圖顯示出了對應於步驟1714的一些實施例的剖面圖1200。
在步驟1716,執行第三移除製程,以使空腔延伸穿過阻障結構,以暴露互連線的上表面。第13圖顯示出了對應於步驟1716的一些實施例的剖面圖1300。
在步驟1718,形成導電材料在空腔中,以形成與互連線耦合的互連導孔。第16圖顯示出了對應於步驟1718的一些實施例的剖面圖1600。
因此,本揭露關於一種在互連線上方的互連導孔的形成方法,其中形成阻障結構及蝕刻停止層在互連線上方,以預防在形成互連導孔時移除圍繞互連線的第一互連介電層,來增加互連導孔的製程裕度。
因此,在一些實施例中,本揭露關於一種積體晶片。所述積體晶片包括設置在基板上方的第一互連介電層;延伸穿過第一互連介電層的互連線;直接設置在互連線上方的阻障結構;設置在阻障結構上方並圍繞(surrounding)阻障結構的外側壁(outer sidewalls)的蝕刻停止層;設置在蝕刻停止層上方的第二互連介電層;以及延伸穿過第二互連介電層、蝕刻停止層及阻障結構以接觸互連線的互連導孔。
在一些實施例中,互連導孔的最底表面(bottommost surface)直接接觸互連線的上表面,且互連通孔的整個(entirety)最底表面直接覆蓋(overlies)互連線的上表面。在一些實施例中,互連導孔的最底面的寬度小於或等於互連線的上表面的寬度。在一些實施例中,阻障結構包括金屬,且阻擋結構包括與互連線及蝕刻停止層不同的材料。在一些實施例中,阻障結構包括介電材料,且阻障結構包括與蝕刻停止層不同的材料。在一些實施例中,蝕刻停止層包括與第一互連介電層及第二互連介電層不同的材料。在一些實施例中,所述積體晶片更包括額外的(additional)互連線及額外的阻障結構,其中額外的互連線橫向設置(laterally beside)在互連線旁邊,且藉由第一互連介電層與附加互連線間隔開(spaced apart from);且其中額外的阻擋結構直接設置在額外的互連線上方,且藉由第一互連介電層與阻擋結構間隔開。在一些實施例中,互連通孔不直接設置在介於互連線及額外的互連線之間。
在其他實施例中,本揭露關於一種積體晶片。所述積體晶片包括設置在基板上方的第一互連介電層;延伸穿過第一互連介電層的互連線;直接設置在互連線上方的阻障結構;設置在阻障結構上方並圍繞阻障結構的外側壁的蝕刻停止層;設置在蝕刻停止層上方的第二互連介電層;及延伸穿過第二互連介電層及蝕刻停止層以接觸互連線及阻障結構的互連導孔。
在一些實施例中,互連導孔完全延伸穿過(extends completely through)阻障結構,以直接接觸互連線。在一些實施例中,互連導孔直接接觸阻障結構,且藉由(through)阻障結構電耦合(electrically coupled)到互連線。在一些實施例中,阻障結構包括金屬。在一些實施例中,互連導孔包括中間表面(middle surface),所述中間表面設置在介於互連導孔的最上表面及最下表面之間,且其中,互連導孔的中間表面設置在阻障結構的最上表面下方(below)。在一些實施例中,互連導孔完全設置(arranged completely above)在互連線之上。在一些實施例中,阻障結構包括介電材料,所述介電材料是與第一互連介電層不同的材料。
在又一些實施例中,本揭露關於一種形成方法。所述形成方法包括:形成第一互連介電層在基板上方;形成互連線在第一互連介電層內(within),且互連線延伸穿過第一互連介電層;直接形成阻障結構在互連線上;形成蝕刻停止層在第一互連介電層及阻障結構上方;形成第二互連介電層在蝕刻停止層上方;執行第一移除製程,以形成延伸穿過第二互連介電層的空腔,來暴露蝕刻停止層的上表面;執行第二移除製程,以使空腔延伸穿過蝕刻停止層的上部(upper portion),來暴露阻障結構的上表面;以及形成導電材料在空腔內,以形成與互連線耦合的(coupled to)互連導孔。
在一些實施例中,第二移除製程包括第二蝕刻劑,其中阻障結構實質上能抵抗(resistant)第二蝕刻劑的移除。在一些實施例中,在第二移除製程之後,形成蝕刻停止層的中間表面,其中所述中間表面是介於蝕刻停止層的最上表面及最下表面之間。在一些實施例中,所述形成方法更包括執行第三移除製程,以使空腔延伸穿過阻障結構,來暴露互連線的上表面,其中第三移除製程在第二移除製程之後且在形成導電材料之前執行。在一些實施例中,蝕刻停止層實質上能抵抗藉由第三移除製程的移除。
前述內文概述了數個實施例的部件,使得所屬技術領域中具有通常知識者可以更佳地了解本揭露的態樣。所屬技術領域中具有通常知識者應可理解的是,他們可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到與在本文中介紹的實施例相同的目的及/或達到相同的優點。所屬技術領域中具有通常知識者也應理解的是,這些等效的構型並未脫離本揭露的精神與範疇,且在不脫離本揭露的精神與範疇的情況下,可對本揭露進行各種改變、取代或替代。
100A, 200, 300, 400, 500, 600, 700, 800, 900, 1000, 1100, 1200, 1300, 1500, 1600:剖面圖 100B, 1400:俯視圖 1002:抗反射結構 1002a:第一抗反射層 1002b:第二抗反射層 1004:第一遮罩結構 1006:開口 102:基板 104:互連結構 106:下互連導孔 108:下互連介電層 110:第一蝕刻停止層 1102:第一移除製程 1104:空腔 112:第一互連線 112u:上表面 114:第一互連介電層 114t, 116t:最上表面 116:阻障結構 118:第二蝕刻停止層 118s:中間表面 120:第二互連介電層 1202:第二移除製程 122:互連導孔 122s:水平表面 130:第一方向 1302:第三移除製程 132:第二方向 1502:導電材料 1700:方法 1702, 1704, 1706, 1708, 1710, 1712, 1714, 1716, 1718:步驟 202:第一線 302:半導體裝置 304:源極/汲極區域 306:閘極電極 308:閘極介電層 310:第二線 a 1:第一角度 d 1:第一距離 d 2:第二距離 d 3:第三距離 d 4:第四距離
根據以下的詳細說明並配合所附圖式閱讀,能夠最好的理解本揭露的態樣。在此強調的是,根據本產業的標準作業,各種部件未必按照比例繪製。事實上,可能任意的放大或縮小各種部件的尺寸,以做清楚的說明。 第1A圖顯示積體晶片的一些實施例的剖面圖,所述積體晶片具有設置在第一互連線(interconnect wire)上方的阻障結構,其中互連導孔(interconnect via)延伸穿過(extends through)阻障結構及蝕刻停止層,以接觸第一互連線。 第1B圖顯示對應於第1A圖的一些實施例的俯視圖。 第2圖至第5圖顯示積體晶片的一些替代實施例的剖面圖,所述積體晶片具有設置在第一互連線上方的阻障結構,其中互連導孔延伸穿過阻障結構及/或蝕刻停止層,以接觸第一互連線。 第6圖至第16圖顯示積體晶片的形成方法的一些實施例的各種視圖,所述積體晶片具有設置在第一互連線上方的阻障結構及設置在阻障結構上方的蝕刻停止層,其中阻障結構有助於預防在第一互連線的最上表面(topmost surface)下方形成上層互連導孔(overlying interconnect via)。 第17圖顯示對應於第6圖至第16圖所示的方法的一些實施例的流程圖。
100A:剖面圖
102:基板
104:互連結構
106:下互連導孔
108:下互連介電層
110:第一蝕刻停止層
112:第一互連線
114:第一互連介電層
114t:最上表面
116:阻障結構
118:第二蝕刻停止層
120:第二互連介電層
122:互連導孔
122s:水平表面
d1:第一距離
d2:第二距離

Claims (1)

  1. 一種積體晶片,包括: 一第一互連介電層,設置在一基板上方; 一互連線,沿伸穿過該第一互連介電層; 一阻障結構,直接設置在該互連線上方; 一蝕刻停止層,設置在該阻障結構上方且圍繞該阻障結構的外側壁; 一第二互連介電層,設置在該蝕刻停止層上方;以及 一互連導孔,延伸穿過該第二互連介電層、該蝕刻停止層及該阻障結構以連接該互連線。
TW111113830A 2021-07-08 2022-04-12 積體晶片 TW202303849A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/370,107 US11923293B2 (en) 2021-07-08 2021-07-08 Barrier structure on interconnect wire to increase processing window for overlying via
US17/370,107 2021-07-08

Publications (1)

Publication Number Publication Date
TW202303849A true TW202303849A (zh) 2023-01-16

Family

ID=83916505

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111113830A TW202303849A (zh) 2021-07-08 2022-04-12 積體晶片

Country Status (3)

Country Link
US (2) US11923293B2 (zh)
CN (1) CN115332156A (zh)
TW (1) TW202303849A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113013142A (zh) * 2019-12-20 2021-06-22 台湾积体电路制造股份有限公司 集成芯片

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9349691B2 (en) * 2014-07-24 2016-05-24 International Business Machines Corporation Semiconductor device with reduced via resistance
US10269706B2 (en) * 2016-07-26 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11538749B2 (en) * 2020-11-13 2022-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure
US11532549B2 (en) * 2020-11-13 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Two 2D capping layers on interconnect conductive structure to increase interconnect structure reliability
US11652054B2 (en) * 2021-04-21 2023-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric on wire structure to increase processing window for overlying via
US11798840B2 (en) * 2021-06-03 2023-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Self-assembled dielectric on metal RIE lines to increase reliability

Also Published As

Publication number Publication date
US20240145380A1 (en) 2024-05-02
CN115332156A (zh) 2022-11-11
US11923293B2 (en) 2024-03-05
US20230011391A1 (en) 2023-01-12

Similar Documents

Publication Publication Date Title
US9929094B2 (en) Semiconductor device having air gap structures and method of fabricating thereof
TWI557809B (zh) 包含接觸結構與形成於接觸蝕刻停止層之側壁上之保護層的半導體設備以及製造半導體裝置的方法
US11908794B2 (en) Protection liner on interconnect wire to enlarge processing window for overlying interconnect via
US9269663B2 (en) Single pattern high precision capacitor
US11903192B2 (en) Semiconductor device and method of manufacturing
US11521896B2 (en) Selective deposition of a protective layer to reduce interconnect structure critical dimensions
US20230275028A1 (en) Dielectric on wire structure to increase processing window for overlying via
US11854965B2 (en) Sidewall spacer structure enclosing conductive wire sidewalls to increase reliability
US20240145380A1 (en) Barrier structure on interconnect wire to increase processing window for overlying via
US10483322B2 (en) Memory device and method for fabricating the same
US11362033B2 (en) Semiconductor structure and method for fabricating the same
US20070284743A1 (en) Fabricating Memory Devices Using Sacrificial Layers and Memory Devices Fabricated by Same
US11942364B2 (en) Selective deposition of a protective layer to reduce interconnect structure critical dimensions
US20230009072A1 (en) Interconnect conductive structure comprising two conductive materials
US20230380309A1 (en) Horn shaped spacer for memory devices
US20230397416A1 (en) Metal Hardmasks
US20230062825A1 (en) Semiconductor structure and method for forming the same
KR20220108692A (ko) 자가 정렬 스페이서를 갖는 고용량 mim 디바이스
TW202125755A (zh) 半導體結構
CN113707719A (zh) 半导体结构及其形成方法
CN113937162A (zh) 半导体器件及其形成方法
CN113113349A (zh) 半导体结构的形成方法