TW202002283A - 半導體裝置的製造方法 - Google Patents

半導體裝置的製造方法 Download PDF

Info

Publication number
TW202002283A
TW202002283A TW108112370A TW108112370A TW202002283A TW 202002283 A TW202002283 A TW 202002283A TW 108112370 A TW108112370 A TW 108112370A TW 108112370 A TW108112370 A TW 108112370A TW 202002283 A TW202002283 A TW 202002283A
Authority
TW
Taiwan
Prior art keywords
layer
dimensional material
antimony
dimensional
substrate
Prior art date
Application number
TW108112370A
Other languages
English (en)
Inventor
林時彥
陳璿安
李嗣涔
Original Assignee
台灣積體電路製造股份有限公司
國立臺灣大學
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司, 國立臺灣大學 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202002283A publication Critical patent/TW202002283A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/0242Crystalline insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02417Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02378Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02485Other chalcogenide semiconducting materials not being oxides, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02568Chalcogenide semiconducting materials not being oxides, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本揭示內容描述半導體裝置(例如:電晶體),包括:基板,半導體區域其包括在表面處的MoS2和/或在基板之上的其他單層材料,以及端子結構其至少部分地在半導體區域之上,且端子結構包括不同的單層材料其直接成長在基板區域之上。

Description

半導體裝置的製造方法
本揭示內容大致上關於製造半導體結構,並且在一些實施方式中,關於用於形成包含二維材料的半導體結構的製程。
由於石墨烯的成功製造之剌激,二維(2D)材料一直是近年來研究的重點,以利用二維材料的電性質和在半導體技術上的巨大潛力。雖然石墨烯包含高的載子遷移率值,但石墨烯的零能帶隙本質(亦即半金屬性質),限制了石墨烯在半導體裝置中的應用。黑磷的二維同素異形體,「磷烯」(phosphorene),是另一種被廣泛研究的二維材料,預期磷烯具有高的遷移率值和可見的能帶隙。磷烯的一個缺點是它在大氣條件下快速降解。
本揭示內容之一態樣為提供一種半導體裝置的製造方法,包含:在基板之上形成第一二維材料的第一層,第一二維材料的第一層具有半導體特性;以及在第一二維材 料的第一層之上形成第二二維材料的第二層,第二二維材料不同於第一二維材料。
100‧‧‧製程
110‧‧‧操作
120‧‧‧操作
130‧‧‧操作
200‧‧‧晶圓
210‧‧‧基板
220‧‧‧第一層
222‧‧‧上表面
310‧‧‧第二層
400‧‧‧製程
410‧‧‧操作
420‧‧‧操作
430‧‧‧操作
440‧‧‧操作
500‧‧‧晶圓
510‧‧‧基板
520‧‧‧第一層
522‧‧‧上表面
600‧‧‧晶圓
620‧‧‧主動區域
620’‧‧‧通道區域
710‧‧‧端子區域
710’‧‧‧源極/汲極區域
720‧‧‧第二層
730‧‧‧電極層
730’‧‧‧源極/汲極電極
740‧‧‧薄層
740’‧‧‧通道區域
800‧‧‧半導體裝置
810‧‧‧閘極結構
812‧‧‧閘極介電層
814‧‧‧閘極電極
816‧‧‧閘極覆蓋
T1‧‧‧厚度
T2‧‧‧厚度
T3‧‧‧厚度
本揭示內容的各方面,可由以下的詳細描述,並與所附圖式一起閱讀,而得到最佳的理解。在圖式中,相同的標示號碼表示相似的元件或動作,除非上下文另有說明。圖式中元件的大小和相對位置不一定按比例繪製。事實上,為了討論上的清楚起見,各個特徵的尺寸可能任意地增加或減小。
第1圖為根據本揭示內容之實施方式,繪示製造二維材料的示例性製程。
第2圖至第3圖為根據本揭示內容之實施方式,繪示在不同的製造階段之示例性的晶圓的截面圖。
第4圖為根據本揭示內容的實施方式,繪示製造包含二維材料的半導體裝置之示例性製程。
第5圖至第8圖為根據本揭示內容的實施方式,繪示在不同的製造階段之示例性結構的截面圖。
根據在此描述的實施方式的技術涉及製造二維材料的新穎製程。本揭示內容的實施方式提供了第一二維材料的基底層,並且形成不同的第二二維材料,其直接在第一二維材料的基底層之上。在不受任何特定理論約束的情況 下,本發明人認為,因為基底層的二維材料在原子/分子之間不具有垂直的鍵結,因此,有效率且有效地改進了在基底層之上成長的第二二維材料的結晶質量。
在一實施方式中,提供過渡金屬二硫屬化合物(transition metal dichalcogenide,TMD)單層材料作為銻的單層同素異形體(即銻烯,antimonene)成長的基底。經由分子束磊晶(MBE)、物理氣相沉積(PVD)、或其他合適的形成方式其具有成長溫度範圍從室溫至約320℃、持續時間從約10秒至約600秒,可能實現銻烯的成長。
另擇地或附加地,可能在銻層上執行退火製程,以將銻再結晶成層狀結構。退火製程可能在範圍約200℃至約400℃的溫度進行。退火製程可能促進層狀銻烯的成長和/或可能改善不完全成長的銻烯層的結晶質量。例如,可能經由分子束磊晶、物理氣相沉積或其他合適的形成方式成長銻層,然後用退火製程處理一段時間,此時間適合於產生層狀銻,例如:大約10分鐘。
本文所述的製備銻烯的這些技術,令人滿意地解決了在較高成長溫度下(例如對於分子束磊晶高於150℃的溫度)的銻烯脫附(desorption)的技術問題,以及解決非晶形液滴形成問題,此問題阻礙大尺寸銻烯片的形成。
此外,介於基底層的第一二維材料的分子與基底層之上成長的第二二維材料之間的凡得瓦鍵結力導致第一二維材料和第二二維材料之令人滿意的凡得瓦異質結構。這樣的凡得瓦異質結構可能容易地用於電子裝置內,例 如電晶體、傳感器和光子裝置。
在一實施方式中,可能選擇和/或處理第一二維材料,使第一二維材料具有期望的半導體的電子特性,例如直接能帶隙。可能選擇和/或處理成長在第一二維材料之上的第二二維材料,使第二二維材料具有半金屬的電子特性,例如:零能帶隙和導電性。
此外,取決於層的厚度(即第二二維材料的單層的數目),第二二維材料的電子特性可能包括半金屬、絕緣體、和半導體的不同狀態。所揭露的技術也包括控制成長在第一二維材料之上的第二二維材料的厚度。在一實施例中,可能經由延長成長時間來增加第二二維材料的厚度。至少部分地因為介於基底層的第一二維材料的分子與第二二維材料的分子之間的凡得瓦鍵結力,可能將第二二維材料的厚度減小而不損壞異質結構。在一實施方式中,第二二維材料的厚度(即層),可能經由基於電漿的乾式蝕刻(例如:反應性離子蝕刻)而有效地減小。
在一實施方式中,利用當前製造第二二維材料的技術來製造裝置。提供了基板和基板之上的第一二維材料的第一層。第一二維材料的第一層呈現出半導體特性。利用例如在本文描述的技術,在第一二維材料之上直接形成第二二維材料的第二層。第二二維材料的第二層呈現出半金屬的特性。圖案化第一二維材料的第一層以形成裝置的半導體區域,例如:通道區域。圖案化第二二維材料的第二層以形成裝置的端子區域,例如:源極/汲極區域。利用介於作為通 道區域的第一二維材料和作為源極/汲極區域的第二二維材料之間的二維-二維接面,因此介於源極/汲極區域和通道區域之間的接觸電阻大幅减小,並且有效地實現歐姆接觸。
本揭示內容在此提供許多不同的實施方式或實施例,以實現所述標的的不同特徵。以下描述組件和配置的具體實施例以簡化本敘述內容。當然這些僅是實施例,並不意圖限定。例如,在隨後的描述中,形成第一特徵高於第二特徵或在第二特徵上方,可能包括第一和第二特徵以直接接觸形成的實施方式,且也可能包括附加的特徵形成於第一和第二特徵之間,因此第一和第二特徵可能不是直接接觸的實施方式。此外,本揭示內容可在各個實施例中重複標示數字和/或字母。這樣的重複,是為了是簡化和清楚起見,並不是意指所討論的各個實施方式之間和/或配置之間的關係。
此外,為了便於描述一個元件或特徵與另一個元件或特徵之間,如圖式中所繪示的關係,在此可能使用空間上的相對用語,諸如「之下」、「下方」、「低於」、「之上」、和「高於」等。除了圖式中繪示的方向之外,空間上的相對用語旨在涵蓋裝置在使用中或操作中的不同方向。設備可能有其他方向(旋轉90度或其他方向),並且此處所使用的空間上相對用語也可能相應地進行解釋。
在以下的描述中,為了提供本揭示內容之各個實施方式的透徹理解,闡述了某些具體細節。然而,本領域的技術人員會理解,可能在沒有這些具體細節的情況下實踐本揭示內容。在其他情況下,沒有詳細描述與電子元件和製 造技術相關的已知結構,以避免不必要地模糊本揭示內容之實施方式的描述。
除非上下文另有要求,否則在整篇說明書和所附的請求項中,詞語「包含」及其變化詞,應被解釋為開放的、包括的意義,亦即「包括但不限於」。
序數的使用,諸如第一、第二、和第三,並不必然地意味排序的排行意義,而可能僅是區分動作或結構的多個實例。
整篇說明書中,參照「一實施方式」或「實施方式」指的是在至少一個實施方式中,描述包括與實施方式相關之特定的特徵、結構、或特性。因此,整篇說明書中,各處出現的短語「在一實施方式中」或「在實施方式中」,不必然地都指的是相同的實施方式。再者,特定的特徵、結構、或特性可能在一個或多個實施方式中,以任何合適的方式組合。
如在說明書和所附請求項中使用的單數形式的「一」、或「該」,包括了複數個指示物,除非內容另有明確指示。亦應注意的是,用語「或」普遍上以包括「和/或」的意義使用,除非內容另有明確指示。
以下的描述參考電晶體作為本描述內容運用於半導體結構的實施例;然而,本描述內容不限於運用於電晶體。例如,以下的描述適用於不是電晶體類型的其他類型的半導體結構,其中在接面提供低電阻電性接觸,接面為介於表現出半導體特性的特徵和表現導電特性的特徵之間,例 如:金屬特性是期望的。
第1圖繪示製造二維(2D)材料的示例性製造製程100。本文中所用的「二維材料」,與固態材料領域公認的定義一致,指的是由單層原子組成的結晶材料。如本領域中所廣泛接受的,「二維材料」可能也稱為「單層」材料。在本揭示內容中,除非另有特別指出,否則「二維材料」和「單層」材料可互換使用,而不具有意義上的區別。
參看第1圖,也參看第2圖,在示例性操作110中,提供晶圓200。晶圓200包括基板210和第一二維材料的第一層。第一二維材料可能為任何厚度的任何二維材料。如所理解的,二維材料包括在其每一個單層結構內的一單獨的原子層,所以二維材料的厚度指的是二維材料的單層的數目,可以是一個單層或是多於一個單層。介於兩個相鄰的二維材料的單層之間的耦合包括凡得瓦力,其弱於單層之內的原子之間的化學鍵。
由於提供了二維的第一層220以在其上成長二維的第二層,因此,在一實施方式中,第一二維材料的第一層的上表面沒有包括原子之間的垂直鍵結,在至少上表面222的地方,將成長第二二維材料的第二層。
在一實施方式中,提供晶圓200,其包括在基板210之上形成第一二維材料的第一層220。取決於特定的第一層220的二維材料和特定的基板210,形成第一層220的二維材料可能包括任何合適的製程。在一實施方式中,第一二維材料包括過渡金屬二硫屬化合物(TMD)單層材料。 如所理解的,二硫屬化合物單層材料包括夾在兩層硫原子之間的過渡金屬原子。基板210包括任何基板,其適合二硫屬化合物單層在基板上形成。例如,選擇基板210可能根據基板在其上的二硫屬化合物單層形成時維持潛在高溫的能力。在一實施方式中,使用藍寶石基板210。其他元素半導體,如鍺,也可能用於基板210。另擇地或附加地,基板210包括化合物半導體,諸如碳化矽、砷化鎵、砷化銦、砷化銦鎵(InGaAa)、和/或磷化銦。此外,基板210也包括絕緣體上矽(SOI)結構。基板210也可能是其他合適的基板,它們都包含在本揭示內容並且是非限制性的。基板210可能包括磊晶層和/或是應變的,以提高性能。取決於設計要求,基板210也可能包括各種摻雜配置,諸如p型基板和/或n型基板,和各種摻雜區域,諸如p阱和/或n阱。
在一實施方式中,二硫屬化合物220包括二硫化鉬(MoS2)。可能使用任何合適的方法,並且都包括在本揭示內容,在基板210(例如藍寶石基板)之上形成MoS2。例如,MoS2可能經由微機械剝離獲得並耦合在基板210之上。在一實施方式中,經由在範圍介於約600℃至約950℃之間的處理溫度,在藍寶石基板210上硫化預沉積的鉬(Mo)膜來形成MoS2的第一層220。
在一實施方式中,提供晶圓200也包括處理第一二維材料的第一層220,以獲得第一二維材料的第一層220的預期電子特性。處理製程包括減薄(亦即,減小第一二維材料的第一層220的厚度)、摻雜、或應變,以使第一 二維材料的第一層220呈現某些半導體特性,例如,包括直接能隙。第一二維材料的減薄可能經由各種合適的製程來實現,並且全部包括在本揭示內容中。在一實施例中,可能利用基於電漿的乾式蝕刻,例如:反應性離子蝕刻(RIE),以減少第一二維材料的第一層220的單層的數目。
在下文的描述中,為了便於描述之目的,使用具有半導體特性的MoS2薄膜的第一層220,作為說明性的實施例。每個MoS2單層的厚度大約為6.5埃(Å)。在一實施方式中,MoS2的第一層220的厚度小於1.5奈米,亦即等於或小於MoS2的兩個單層(雙層)。應該理解的是,其他二硫屬化合物,如二硒化鉬(MoSe2),或其他二維材料,例如V族的單層砷烯(As)和磷烯(α-P),也是第一二維材料的候選物。
在示例性操作120中,也參看第3圖,在第一二維材料(例如:MoS2)的第一層220之上,形成第二二維材料的第二層310。至少在組成上,第二二維材料不同於第一二維材料。第二二維材料可能是任何二維材料,且可能使用任何適合於特定的二維材料之製程來沉積。在一實施例中,第二二維材料可能形成為具有半金屬電子特性,或者可能被處理為呈現半金屬特性。如本文所用,半金屬電子特性(「半金屬特性」)是指在費米能階上不存在能帶隙和可忽略的態密度。半金屬材料、或材料的半金屬狀態,都具有有助於導電的電洞和電子,並且是導電的。
在一實施例中,第二二維材料是銻烯(Sb)。可 能使用任何合適的製程並且皆包括在本揭示內容中,在MoS2的第一層220之上形成銻烯層310。在一實施方式中,銻烯層310可能成長在MoS2的第一層220之上,使用分子束磊晶(MBE)、或物理氣相沉積(PVD)製程,其成長溫度範圍從室溫至約320℃,持續時間範圍從約10秒至約600秒。這樣的成長溫度範圍是關鍵的,因為經由使用MoS2的第一層220作為銻烯成長的基底,這樣的高成長溫度是可行的。如果沒有使用MoS2基底,銻烯將在高於150℃的成長溫度下脫附。在一實施例中,可能經由事先加熱基板210和二維的第一層220來建立成長溫度,並且當銻烯開始形成時,可能停止加熱。
另擇地和/或附加地,在MoS2的第一層220之上形成銻烯的第二層310,包括,在室溫條件下(例如約20℃)使用分子束磊晶(MBE)或物理氣相沉積(PVD)製程,在MoS2的第一層220之上成長一個銻層(不是單層狀態),之後,在約200℃至約400℃的退火溫度下,退火銻層,以足够的時間,例如:約7至15分鐘,以將銻層轉化為單層的同素異形體「銻烯」(antimonene)。測試結果顯示,在該溫度範圍內和該持續時間範圍內退火,導致形成更好的銻烯片。銻層的沉積還可能使用其他合適的方法來實現,包括但不限於熱沉積製程,如:化學氣相沉積(CVD),原子層沉積(ALD)和遠程氧清除、分子束沉積,電漿製程如物理氣相沉積(PVD)和離子化物理氣相沉積,電鍍,或其他合適的製程。
在一實施方式中,還可能採用如本文所述的退火製程來治癒經由其他方法獲得的銻烯層內的缺陷。例如,最初在MoS2的第一層220之上沉積銻烯的第二層310,可能使用分子束磊晶(MBE)或物理氣相沉積(PVD)製程,其成長溫度範圍從室溫(即約20℃)至約320℃,持續時間範圍從大約10秒至大約600秒,然後以退火程序進一步處理,退火溫度範圍從約200℃至約400℃,進行約7至15分鐘。測試結果顯示以這樣的溫度範圍和持續時間導致形成更好的銻烯片。也就是說,退火程序能夠將銻層或一些銻雜質重新結晶成單層銻烯。
在一實施方式中,第二層310包括銻烯的一層或多層,其相對於MoS2的第一層220的上表面222具有基本上為零的接觸角(為了簡化起見在第3圖中未示出)。
在一實施方式中,所產生的銻稀的第二層310為銻烯的β同素異形體。
在示例性的操作130中,控制第二二維材料的第二層310的厚度T1,使得第二層310呈現適合於設計和應用要求的電子特性。在一實施例中,可能經由調整分子束磊晶和/或TBC程序(Thermal Barrier Coating procedure,TBC procedure)的持續時間來初始地控制厚度T1。例如,較長的分子束磊晶製程可能最初獲得較厚的第二層310,亦即單層銻烯的多個層。可能經由減薄製程而進一步控制厚度T1,以減少第二二維材料的層數。
在一實施方式中,可能經由基於電漿的乾式蝕 刻,例如:反應性離子蝕刻,減薄層狀銻烯的第二層310,以控制第二層310電子特性。在一實施例中,當厚度T1等於或大於第一閾值,例如:約12埃(Å),或三個銻烯單層,銻烯的第二層310呈現半金屬特性。當厚度T1等於或小於第一閾值,例如:約8埃(Å),或二個銻烯單層,銻烯的第二層310呈現半導體特性。可以使用其他能帶隙開啟技術,例如應變或摻雜,將銻烯的半金屬層轉化為半導體狀態。第一和第二閾值可能根據第二層310的材料而變化。
第4圖繪示利用第1圖的示例性製程,製造半導體裝置的示例性製程400。參看第4圖,在示例性操作410,參看第5圖,提供晶圓500。晶圓500包括基板510,例如:藍寶石基板,和基板510之上的第一二維材料的第一層520。晶圓500可能是與第2圖的晶圓200相同的晶圓。
在一實施方式中,第一二維材料的第一層520表現出半導體特性。如本文所提及的,材料的半導體特性或材料的半導體狀態指的是,對於材料或材料的狀態,費米能階(EF)位於介於填充價帶與空導帶之間的間隙內,即「能帶隙」,並且能帶隙大於零且小於4電子伏特(eV)。由於二維材料可以在半導體狀態、絕緣體狀態、或半金屬狀態之間進行轉換,用語「能帶隙開口」在本文中用於指二維材料的狀態,其能帶隙,直接或間接地以使二維材料呈現半導體特性的二維材料的電子特性存在。如本文所述,第一層520的第一二維材料的半導體狀態可以通過選擇性形成,更薄/更少數量的單層,或其他帶隙開口技術(例如摻雜或應變)相關 的一種或多種技術來獲得。
在一實施方式中,第一二維材料包括二硫屬化合物材料,例如MoS2或MoSe2中的一種或多種。第一層520的上表面522,在除MoS2的硫(S)或MoSe2的硒(Se)的原子之間的二維平面之外,在垂直方向上不包括鍵合連接(稱為「垂直鍵合」)。
在示例性操作420中,也參看第6A圖,其顯示晶圓600的俯視圖,和參看第6B圖,其顯示晶圓600的截面圖,經由圖案化第一層520而定義一個或多個主動區域620。可能使用任何合適的圖案化方式,並且全部包括在本揭示內容內。例如,可能執行微影和蝕刻製程來圖案化第一層520,以形成主動區域620。
在一實施方式中,第一二維材料配置為半導體層,例如,結構的通道層。可能經由在第一層520的層面上將主動區域620與另一個主動區域620分隔來定義主動區域620的邊界。另擇地或附加地,絕緣體,如淺溝槽隔離(STI)特徵(為了簡化起見未示出)形成在基板510內,以更進一步定義主動區域620的邊界。
在示例性操作430中,也參看第7A圖和第7B圖,一個或多個端子區域710(例如:在場效電晶體內的源極/汲極區域)包括第二維材料的第二層720,其直接形成在第一二維材料(例如:MoS2或MoSe2)的主動區域620之上。在一實施方式中,端子區域710也包括導電材料(例如:金屬)的端子電極層730。
在一實施方式中,使用第1圖描述的技術,在主動區域620之上形成二維材料的第二層720。例如,二維材料的第二層720是銻烯。在第一二維材料MoS2或MoSe2的主動區域620之上,沉積銻烯的第二層720,可能使用分子束磊晶(MBE)或物理氣相沉積(PVD)製程,成長溫度範圍從室溫至大約320℃,持續時間範圍從大約10秒至大約600秒。另擇地和/或附加地,在MoS2的主動區域620之上形成銻烯的第二層720包括,在室溫下(例如約20℃)使用分子束磊晶(MBE)或物理氣相沉積(PVD)製程,在MoS2或MoSe2的主動區域620上成長銻層,然後將銻層退火,退火溫度範圍為約200℃至約400℃的銻層退火,約7至15分鐘,以形成銻烯的第二層720。在一實施方式中,銻烯的第二層720包括β同素異形體銻烯。
端子電極730,例如:源極/汲極電極,由適合形成導電電極的任何導電材料形成,例如金屬或金屬化合物。用於端子電極730的合適的金屬材料包括釕、鈀、鉑、鈷、鎳和/或導電金屬氧化物和用於P型金屬材料的其它合適材料,並包括鉿(Hf)、鋯(Zr)、鈦(Ti)、鉭(Ta)、鋁(Al)、鋁化物和/或導電金屬碳化物(例如:碳化鉿,碳化鋯,碳化鈦、和碳化鋁),以及用於N型金屬材料的其他合適材料。端子電極可能也包括接觸金屬,如鋁或銅。端子電極730的沉積可能使用任何現在已知或將來開發的方法來實現,例如CVD、PVD、電鍍、或其他合適的製程。
在一實施方式中,在端子電極730的沉積之 前,在第二層720上執行退火製程。在另一實施方式中,在端子電極730的沉積之後,在第二層720上執行退火製程。例如,可能在主動區域620的第一二維材料MoS2之上,沉積銻的第二層720。然後,在銻的第二層720之上沉積端子電極730(圖案化或未圖案化)。之後,執行退火製程,以將銻的第二層720結晶/再結晶成二維同素異形體,即銻烯。
端子電極730和銻烯的第二層720可能一起進行圖案化或分開地進行圖案化,以形成端子區域710。例如,可能使用微影和蝕刻製程,來圖案化第二層720和/或端子電極730。在另一個實施例中,可能使用剝離製程以圖案化第二層720和/或端子電極730。其他合適的圖案化技術也是可能的,並且被包括為本揭示內容的一部分。
在一實施方式中,銻烯的第二層720呈現半金屬特性。例如,銻烯的第二層720的厚度T2等於或大於第一閾值,例如12埃(Å),使得銻烯的第二層720呈現半金屬特性。
如第7A圖所示,作為一實施方式,第二層720的圖案化基本上移除了除了端子區域710之外的所有第二二維材料,例如:銻烯。
在另一個實施方式中,如第7B圖所示,銻烯的第二層720的圖案化也形成銻烯的薄層740,其相鄰於端子區域710且在主動區域620之上。銻烯的薄層740包括厚度T3。在一實施方式中,厚度T3等於或小於第二閾值,例如8埃(Å),使得銻烯的薄層740表現出半導體特性。另擇地或 附加地,其他能帶隙開啟技術,如摻雜或應變,可能執行在銻烯的薄層740之上,以使薄層740呈現出半導體特性。第7B圖顯示薄層740,其具有不同於層720的圖案,以表示薄層740的銻烯單層具有不同於層720的電子特性,即半導體特性相對於半金屬特性。
在示例性的操作440中,也參看第8圖,可能形成閘極結構810。閘極結構810可能包括閘極介電層812、閘極電極層814、和選擇性的閘極覆蓋816。閘極介電層812可能包括高介電常數(高k)介電層,形成在半導體特性的第一二維材料(例如:MoS2)的主動區域620之上。在示例性的實施方式中,高介電常數介電材料選自氧化鉿(HfO2)、氧化鉿矽(HfSiO)、氮氧化鉿矽(HfSiON)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、鉿氧化鋯(HfZrO)、其組合、和/或其他合適的材料中的一種或多種。高介電常數介電材料包括比熱氧化矽的介電常數值(~3.9)更大的介電常數值,且在一些應用中,可能包括大於6的介電常數(K)值。取決於設計上的要求,可能使用介電常數(K)值為7或更高的介電材料。
可能經由原子層沉積(ALD)或其他合適的技術,形成高介電常數介電層812。在一實施例中,取決於設計和製程的要求/變化,高介電常數介電層812包括厚度範圍從大約10至大約30埃(Å)或其他合適的厚度。
在示例性的實施方式中,選擇性地,可能使用任何合適的製程,在介於第一二維材料MoS2的主動區域 620和高k介電層812之間形成熱氧化物或化學氧化物(例如:BiOx,BiNy)的介面層,介面層的厚度範圍從約5埃至約10埃(Å),不超過10埃(Å)。
閘極電極814可能包括金屬或金屬化合物。用於閘極電極814的合適的金屬材料包括釕、鈀、鉑、鈷、鎳和/或導電金屬氧化物和其他合適的P型金屬材料並且可以包括鉿(Hf)、鋯(Zr)、鈦(Ti)、鉭(Ta)、鋁(Al)、鋁化物和/或導電金屬碳化物(例如:碳化鉿、碳化鋯、碳化鈦、和碳化鋁),以及用於N型金屬材料的其他合適材料。在一些實施例中,閘極電極814包括功函數層,功函數層被調整為具有用於增強場效應電晶體裝置的性能的適當功函數。例如:合適的n型功函數金屬包括Ta、TiAl、TiAlN、TaCN,其他n型功函數金屬、或其組合,而合適的p型功函數金屬材料包括TiN、TaN、其他p型功函數金屬、或其組合。在一些實施例中,諸如鋁層的導電層形成在功函數層上方,使得閘極電極814包括功函數層,其沉積在閘極介電層812之上,以及導電層,其沉積在功函數層之上和閘極覆蓋(為了簡化起見未示出)之下。
可能使用任何合適的方式,且皆被包括本揭示內容內,形成於閘極結構810。在一實施方式中,經由置換閘極製程,形成閘極結構810。
應該理解的是,MoS2的第一層520的圖案化可能在上部結構例如第二層720已經形成之後執行。
如第8圖所示,半導體裝置800包括基板510, 在基板510之上的通道區域620’(和740’,在所示的一實施方式中),源極/汲極區域710’,其至少部分地在通道區域620’、740’之上,以及在通道區域620’、740’之上的閘極結構810。通道區域620’包括第一二維材料,例如MoS2,其呈現出半導體特性。通道區域740’(如果有的話)包括第二二維材料,例如銻烯,其呈現出半導體特性。源極/汲極區域710’包括導電的源極/汲極電極730’和第二二維材料的第二層720,例如:銻烯,其呈現出半金屬特性。閘極結構810包括閘極介電層812,和在閘極介電層812之上的閘極電極814。在一實施方式中,銻烯的第二層720和/或銻烯的通道區域740’包括銻烯的β同素異形體。
以上概述了幾個實施例的特徵,以便本領域的技術人員可以更好地理解本揭示內容的各方面。本領域的技術人員應理解,他們可能容易地使用本揭示內容,作為其他製程和結構之設計和修改的基礎,以實現與在此介紹的實施方式之相同的目的,或是達到相同的優點。本領域技術人員亦應理解,與這些均等的建構不脫離本揭示內容的精神和範圍,並且他們可能在不脫離本揭示內容的精神和範圍的情況下,進行各種改變、替換、和變更。
一般而言,在以下的請求項中,所使用的用語不應該被解釋為將請求項限制為說明書中所揭露的具體實施方式和請求項中,而是應該解釋為包括所有可能的實施方式以及與這樣的請求項均等的全部範圍。因此,請求項不受本揭示內容的限制。
根據本文所描述的各個實施方式,第二二維材料成長在第一不同的二維材料之上。利用第一二維材料在原子之間/之中沒有垂直的鍵結,第二二維材料以令人滿意的單層狀態成長並且具有滿意的尺寸。常規的銻烯制造技術的技術缺點,例如:液滴形成、脫附和聚集,得到有效地解決。經由適當地選擇第一二維材料,此技術簡化了裝置的製造製程。具有半導體特性的第一二維材料可能用作沉積第二二維材料的基底。第二二維材料可能形成和/或處理成具有半金屬特性。源極/汲極區域可能從第二二維材料層形成。第一二維材料和第二二維材料的異質結構包括介於半導體狀態的第一二維材料和半金屬狀態的第二二維材料之間的二維-二維介面。因此,異質結構不會受到金屬-半導體接面問題的影響,並用作有效的歐姆接觸。
經由以下的實施方式,可能更進一步理解本揭示內容:在一方法的實施方式中,在第一不同的二維材料的第一層之上,形成第二二維材料的第二層。所提供的第一層作為晶圓的一部分,晶圓包括基板和基板之上的第一層。第一層的第一二維材料呈現半導體特性。
在另一方法的實施方式中,製造半導體裝置。提供晶圓,其包括基板和基板上的第一二維材料的第一層。第一二維材料的第一層具有半導體特性。圖案化第一二維材料的第一層,以定義主動區域。在主動區域之上形成源極/汲極區域。源極/汲極區域包括不同的第二二維材料的第二 層,其在第一二維材料的主動區域之上。第二二維材料的第二層具有半金屬特性。
在一裝置的實施方式中,提供了半導體結構。半導體結構包括基板、基板之上的通道區域、源極/汲極區域其部分地在通道區域之上、以及在通道區域之上的閘極結構。通道區域包括第一二維材料其具有半導體特性。源極/汲極結構包括第二二維材料,其具有半金屬特性。閘極結構包括閘極介電質和閘極介電質之上的閘極電極。
在一些實施方式之半導體裝置的製造方法中,其中第二半導體材料是銻烯。
在一些實施方式之半導體裝置的製造方法中,其中第一二維半導體材料是過渡金屬二硫屬化合物(TMID)材料。
在一些實施方式之半導體裝置的製造方法中,其中過渡金屬二硫化合物材料是二硫化鉬。
在一些實施方式之半導體裝置的製造方法中,其中提供晶圓包括:在基板之上沉積鉬層;以及在鉬層內從鉬形成二維的二硫化鉬。
在一些實施方式之半導體裝置的製造方法中,其中所述形成包括成長銻烯的第二層在成長溫度範圍從約20℃至約320℃,持續時間範圍從約10秒至約600秒。
在一些實施方式之半導體裝置的製造方法中,其中所述形成包括:在該第一二維材料的該第一層之上成長一銻層;以及經由在範圍從約200℃至約400℃的退火溫度 下退火該銻層來形成銻烯的該第二層。
在一些實施方式之半導體裝置的製造方法中,其中該退火持續約7至15分鐘。
在一些實施方式之半導體裝置的製造方法中,更包含減小該第二二維材料的該第二層的一厚度。
根據一些實施方式的半導體裝置的製造方法,包含:在基板之上形成第一二維材料的第一層,第一二維材料的第一層具有半導體特性;經由圖案化第一二維材料的第一層以定義主動區域;以及在第一二維材料的第一層的主動區域之上形成源極/汲極區域,其包括第二二維材料的第二層,第二二維材料的第二層具有半金屬特性。
在一些實施方式之半導體裝置的製造方法中,其中基板包括藍寶石。
在一些實施方式之半導體裝置的製造方法中,其中第一二維材料是二硫化鉬。
在一些實施方式之半導體裝置的製造方法中,其該第二二維材料是銻烯。
在一些實施方式之半導體裝置的製造方法中,其中所述形成該源極/汲極包括以下的至少一個或多個步驟:以範圍從約20℃至約320℃的生長溫度成長銻烯的第二層,時間持續範圍從約10秒至約600秒;或以範圍從大約200℃至大約400℃的退火溫度退火銻層約7至15分鐘。
在一些實施方式之半導體裝置的製造方法中,其中更包含在源極/汲極區域之上形成導電的接觸電極,其 中在導電的接觸電極形成之後執行該退火。
在一些實施方式之半導體裝置的製造方法中,更包含:在第一二維材料的第一層之上形成介電層,其相鄰於源極/汲極區域;以及在介電層之上形成閘極電極,閘極電極經由介電層與第一二維材料的第一層分隔。
根據一些實施方式之半導體結構,包含:基板、通道區域、源極/汲極結構、閘極結構。通道區域在基板之上,通道區域包括第一二維材料,其具有半導體特性。源極/汲極結構其至少部分在通道區域之上,源極/汲極結構包括第二二維材料,其具有半金屬特性。閘極結構在通道區域之上,閘極結構包括閘極介電質和在閘極介電質之上的閘極電極。
在一些實施方式之半導體結構中,其中第二二維材料是銻烯。
在一些實施方式之半導體結構中,其中第二二維材料是銻烯的β同素異形體。
在一些實施方式之半導體結構中,其中第一二維材料是二硫化鉬。
上述各個實施方式可以組合以提供進一步的實施方式。本說明書中提及和/或在應用數據表中,列出的所有美國專利案、公佈的美國專利申請案、美國專利申請案,外國專利案,外國專利申請案、和非專利出版物,都通過引用整體併入本文。可以修改實施方式的各方面,如果必要的話,採用各專利案、申請案、和出版物的概念來提供進一步 的實施方式。
根據以上的詳細描述,可以對這些實施方式做這些和其他的改變。一般而言,在以下的請求項中,所使用的用語不應該被解釋為將請求項限制為說明書中所揭露的具體實施方式和請求項中,而是應該解釋為包括所有可能的實施方式以及與這樣的請求項之均等的全部範圍。因此,請求項不受本揭示內容的限制。
100‧‧‧製程
110‧‧‧操作
120‧‧‧操作
130‧‧‧操作

Claims (1)

  1. 一種半導體裝置的製造方法,包含:在一基板之上形成一第一二維材料的一第一層,該第一二維材料的該第一層具有一半導體特性;以及在該第一二維材料的該第一層之上形成一第二二維材料的一第二層,該第二二維材料不同於該第一二維材料。
TW108112370A 2018-06-11 2019-04-09 半導體裝置的製造方法 TW202002283A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/005,363 US10541132B2 (en) 2018-06-11 2018-06-11 Forming semiconductor structures with two-dimensional materials
US16/005,363 2018-06-11

Publications (1)

Publication Number Publication Date
TW202002283A true TW202002283A (zh) 2020-01-01

Family

ID=68763778

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108112370A TW202002283A (zh) 2018-06-11 2019-04-09 半導體裝置的製造方法

Country Status (3)

Country Link
US (2) US10541132B2 (zh)
CN (1) CN110581072A (zh)
TW (1) TW202002283A (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10734384B1 (en) * 2019-01-23 2020-08-04 Qualcomm Incorporated Vertically-integrated two-dimensional (2D) semiconductor slabs in complementary field effect transistor (CFET) cell circuits, and method of fabricating
KR20210121948A (ko) * 2020-03-31 2021-10-08 삼성전자주식회사 2차원 물질기반 배선 도전층 콘택구조, 이를 포함하는 전자소자 및 그 제조방법
US11935938B2 (en) * 2020-05-13 2024-03-19 Massachusetts Institute Of Technology Devices and methods for creating ohmic contacts using bismuth
CN113740387A (zh) * 2020-05-29 2021-12-03 中国科学院苏州纳米技术与纳米仿生研究所 氧化镓气敏传感器及其制备方法和应用
EP4199115A1 (en) * 2021-12-17 2023-06-21 IMEC vzw Transistor with low parasitic capacitance
CN114429988B (zh) * 2022-01-28 2022-08-23 北京科技大学 一种基于二维半金属电极的金属半导体接触结构

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9527062B2 (en) * 2013-05-09 2016-12-27 North Carolina State University Process for scalable synthesis of molybdenum disulfide monolayer and few-layer films
US9859115B2 (en) * 2015-02-13 2018-01-02 National Taiwan University Semiconductor devices comprising 2D-materials and methods of manufacture thereof
WO2016171369A1 (ko) * 2015-04-24 2016-10-27 경희대학교산학협력단 광증폭 포토트랜지스터를 포함하는 광반응성 센서, 상기 광반응성 센서를 포함하는 디스플레이 패널 및 차량 제어 시스템
WO2017177168A1 (en) * 2016-04-07 2017-10-12 University Of North Texas Two-dimensional transition metal dichalcogenide micro-supercapacitors
US10734531B2 (en) * 2017-06-22 2020-08-04 The Penn State Research Foundation Two-dimensional electrostrictive field effect transistor (2D-EFET)

Also Published As

Publication number Publication date
CN110581072A (zh) 2019-12-17
US10541132B2 (en) 2020-01-21
US11152209B2 (en) 2021-10-19
US20190378715A1 (en) 2019-12-12
US20200194258A1 (en) 2020-06-18

Similar Documents

Publication Publication Date Title
TW202002283A (zh) 半導體裝置的製造方法
US10872973B2 (en) Semiconductor structures with two-dimensional materials
KR101156620B1 (ko) 그라핀 채널층을 가지는 전계 효과 트랜지스터
JP6543869B2 (ja) 半導体素子及びその製造方法
US9048318B2 (en) Dual material finFET on same substrate
US20150325650A1 (en) Iii-v semiconductor device having self-aligned contacts
US9613963B2 (en) Dual material finFET on single substrate
TWI644436B (zh) Iii-v族化合物半導體奈米線、場效電晶體以及開關元件
WO2014203623A1 (ja) Ga2O3系半導体素子
JP2011091394A (ja) 誘電体とiii/v化合物との間の低欠陥界面の製造方法
TW201240093A (en) Semiconductor device contacts
WO2012169212A1 (ja) 半導体デバイス、半導体基板、半導体基板の製造方法および半導体デバイスの製造方法
CN110957353A (zh) 半导体装置
US9646823B2 (en) Semiconductor dielectric interface and gate stack
US9418846B1 (en) Selective dopant junction for a group III-V semiconductor device
US20230170406A1 (en) Forming semiconductor structures with two-dimensional materials
US9390913B2 (en) Semiconductor dielectric interface and gate stack
TW201939752A (zh) 半導體結構
US10431672B1 (en) Method of forming a III-V and Zn based finFET structure using low temperature deposition techniques
TWI641138B (zh) 半導體功率元件單元及其製造方法
JP2023110900A (ja) ナノラミネート絶縁ゲート構造を有するワイドバンドギャップトランジスタ及びワイドバンドギャップトランジスタの製造方法
TW202326805A (zh) 半導體元件的形成方法