TW201923816A - 用以達成具有低的角分散之峰值離子能量增強的系統及方法 - Google Patents

用以達成具有低的角分散之峰值離子能量增強的系統及方法 Download PDF

Info

Publication number
TW201923816A
TW201923816A TW107129721A TW107129721A TW201923816A TW 201923816 A TW201923816 A TW 201923816A TW 107129721 A TW107129721 A TW 107129721A TW 107129721 A TW107129721 A TW 107129721A TW 201923816 A TW201923816 A TW 201923816A
Authority
TW
Taiwan
Prior art keywords
state
generator
signal
during
power parameter
Prior art date
Application number
TW107129721A
Other languages
English (en)
Other versions
TWI803513B (zh
Inventor
朱莉 蘇柏
垠 吳
艾立克斯 派特森
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201923816A publication Critical patent/TW201923816A/zh
Application granted granted Critical
Publication of TWI803513B publication Critical patent/TWI803513B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Electron Sources, Ion Sources (AREA)
  • Electron Tubes For Measurement (AREA)
  • Other Investigation Or Analysis Of Materials By Electrical Means (AREA)

Abstract

揭露用以達到具有低的離子角分散之峰值離子能量增強的系統及方法。在一系統中,耦合至與電漿室相關之上電極之多射頻(RF)產生器係操作於用以脈動RF產生器之兩個不同狀態下如兩個不同頻率位準下。脈動RF產生器能促進在多狀態中之一狀態期間的離子能量傳輸至多狀態中之另一狀態以增加其他狀態期間的離子能量以更進一步增加基板處理速率。

Description

用以達成具有低的角分散之峰值離子能量增強的系統及方法
本發明實施例係關於用以達成具有低的角分散之峰值離子能量增強的系統及方法。
在某些電漿處理系統中,射頻(RF)訊號係提供至電漿室內的電極。RF訊號係用以在電漿室內產生電漿。電漿係用於各種操作如清理放置在下電極上的基板、蝕刻基板等。在利用電漿處理基板期間,RF訊號是連續的。
本發明之實施例係於此背景下所產生。
本申請案之實施例提供用以達成具有低的角分散之峰值離子能量增強的系統、設備、方法及電腦程式。應瞭解,本發明之實施例可以各種方式實施例如處理、設備、系統、裝置、或電腦可讀媒體上的方法。下面將說明某些實施例。
在某些實施例中,文中所述的系統及方法能在不增加或不實質增加被施加之射頻(RF)偏壓或RF偏壓功率的情況下增進離子能量並產生峰值能量處之窄的角分散。峰值能量處之窄的角分散係用以達到高深寬比蝕刻。
文中所述之系統及方法在一脈動時間期間內施加高頻位準與低頻位準。高頻位準係由高頻RF產生器如27 兆赫 RF產生器或60 兆赫 RF產生器所施加,低頻位準係由另一低頻RF產生器如2 兆赫 RF產生器或13.56 兆赫 RF產生器或400千赫RF產生器所施加。系統及方法具有能促進緊密如窄之離子角度而增加峰值離子能量的優點,經增加的峰值離子能量例如比使用非脈動RF訊號如連續波RF訊號所達到的峰值離子能量大例如超過35%。緊密的離子角度及峰值離子能量之增加係由於低頻與高頻同步RF電壓脈動所達到。在高頻位準開始期間,電漿離子自先前如前面的低頻位準接收到升壓(voltage boost)。例如,來自低頻位準的電壓量被添加至接在低頻位準後之高頻位準的電壓量。這造成文中所述之系統及方法比相同RF偏壓之連續波技術在離子能量及角分佈函數(IEADF)中有更高的峰值能量。電漿鞘的鞘電壓係基於如下所示之方程式(1)充放電,
…..方程式(1)
其中VLow 為具有低頻位準之RF訊號的電壓位準而VHigh 為具有高頻位準之RF訊號的電壓位準、VHigh_Peak 為在低頻位準轉換為高頻位準之時間t之後的最終電壓位準,其中先前之低頻位準的貢獻係添加至現行的高頻電壓位準。又,在方程式(1)中,為指數函數、R為用作為電容器之電漿鞘之輸出處的阻抗、 RC為電容器之時間常數、C為電容器之電容值。在高頻位準的開始期間,電漿離子行經電漿鞘然後以高於連續波技術的較高電壓(由於來自於先前之低頻位準的電壓位準)擊打基板。來自於先前之低頻位準的電壓位準係添加至高頻位準的電壓位準。此電漿鞘電壓位準的增加能增加如下所示之方程式(2)中的分母,
…..方程式(2)
其中Vs 為電漿鞘之電壓、Ti 為鞘邊緣處的離子溫度、e為單一電子所載帶的電荷量、tan為 正切函數。方程式(2)之分母的增加提供了窄的離子角度 σθ 。又,低頻位準增加電阻值R而電阻值R的增加能增加時間常數RC。當在低頻位準期間時間常數RC增加時,會使基板處的偏壓增加得更甚於使用單一頻率而不使用脈動時如連續波模式中的情況。相較於藉由增加RF偏壓產生器系統所供給之偏壓而增加基板處之偏壓的系統而言,在高頻位準與低頻位準之間的脈動一起產生了蝕刻率的預定增加量如35-50%及通道之關鍵尺寸的預定改善量如10%。關鍵尺寸的改善是在有更直之蝕刻後特徵部時所達到。
此外,文中所述之系統及方法能藉由將來自低功率參數位準的功率或電壓量貢獻至高功率參數位準之功率量,在不實質上增加偏壓或偏壓功率的情況下促進離子能量及產生在峰值能量處之窄的角分散。文中所述之系統及方法在一脈動期間之高狀態期間使用高功率參數位準並在低狀態期間使用功率參數位準。低功率參數位準為高狀態期間之功率參數位準的一百分比。高功率參數位準與低功率參數位準係由相同的RF產生器如高頻RF產生器或低頻RF產生器所供給。是以,在高狀態的開始期間,具有電容器功能的電漿鞘持有先前之低功率參數位準的低電壓或功率,然後此低電壓或功率被添加至高功率參數位準的高電壓或高功率以造成IEADF 中的較高峰值能量。在高狀態與低狀態期間的峰值能量係高於相同偏壓之連續波技術的情況。電漿鞘的電壓係根據方程式(1)而充放電。
在高功率參數位準的開始期間,電漿離子行經電漿鞘然後以高於連續波技術的較高電壓擊打基板。來自於先前如前一低功率參數位準的電壓或功率量為高功率參數位準的電壓添加貢獻。高功率參數位準之電壓的添加能增加電漿鞘的電壓以更進一步地增加方程式(2)的分母。方程式(2)之分母的增加會造成窄的離子角度。又,不若連續波技術,由於在自低功率參數位準轉變至高功率參數位準的過渡期間,電漿鞘一開始較薄,因此電漿離子經歷較少的碰撞及較少的散射以保留離子能量及較緊密的離子角度兩者。相較於連續波模式中的較高電漿鞘,碰撞及散射皆較少。相較於連續波模式,在高功率參數位準期間於峰值能量處之能量經增強的離子可維持高深寬比蝕刻用之較緊密的離子角度。又,由於在低功率參數位準期間電漿鞘邊緣處之離子溫度 Ti 低,因此在自低功率參數位準轉變為高功率參數位準的過渡期間離子的角分散會比CW技術更窄。所有此些因素共同增加IEDF 中的峰值能量並使此峰值能量處的離子角度更密緊。又,由於低功率參數位準與高功率參數位準之間的脈動,遮罩比連續波技術中的遮罩受明顯更少的侵蝕。
在某些實施例中,揭露一種操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板表面之離子之角分散的方法。此方法包含接收一經脈動之訊號以驅動該電漿室的操作。該經脈動之訊號具有兩狀態,兩狀態包含第一狀態與第二狀態。此方法更包含於第一狀態期間在一主要頻率位準下操作一主要RF產生器及在第二狀態期間使該主要RF產生器處於一關閉狀態。該第一狀態期間該主要RF產生器的該操作對形成在該基板上方之一電漿鞘產生經增加的電荷。該經增加的電荷增加該電漿鞘的一厚度。此方法亦包含於該第二狀態期間在一次要頻率位準下操作一次要RF產生器及在該第一狀態期間使該次要RF產生器處於該關閉狀態。該第二狀態期間該次要RF產生器的操作使用在該第一狀態期間所產生之該電漿鞘之該經增加的電荷的至少一部分作為用以增進該第二狀態期間所產生之該離子能量的添加功率。該添加功率減少當該離子朝向該基板表面時該離子的該角分散。該主要RF產生器與該次要RF產生器係藉由一阻抗匹配電路而耦合至與該電漿室相關的一上電極。此方法包含根據該經脈動之訊號在該第一狀態與該第二狀態中持續操作該主要RF產生器與該次要RF產生器,以增進該第一狀態與該第二狀態之複數循環期間的該蝕刻操作。
在各種實施例中,揭露一種操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板表面之離子之角分散的方法。此方法包含接收一收經脈動之訊號以驅動該電漿室的操作。此方法更包含於第一狀態期間在一第一主要頻率位準下及於第二狀態期間在一第二主要頻率位準下操作一主要RF產生器。該第一狀態期間該主要RF產生器的操作對形成在該基板上方之一電漿鞘產生經增加的電荷。此方法更包含於該第一狀態期間在一第一次要頻率位準下及於該第二狀態期間在一第二次要頻率位準下操作一次要RF產生器。該第二狀態期間該次要RF產生器的操作使用在該第一狀態期間所產生之該電漿鞘之該經增加的電荷的至少一部分作為用以增進該第二狀態期間所產生之該離子能量的添加功率。該第一主要頻率位準、該第二主要頻率位準、該第一次要頻率位準、及該第二次要頻率位準中的每一者皆非零。例如,在該第一狀態與該第二狀態期間並未關閉該主要RF產生器與該次要RF產生器中的任一者。此方法包含根據該經脈動之訊號在該第一狀態與該第二狀態中持續操作該主要RF產生器與該次要RF產生器,以增進該第一狀態與該第二狀態之複數循環期間的該蝕刻操作。
在數個實施例中,一種操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板表面之離子之角分散的系統。此系統包含具有一主要電源的一主要RF產生器,該主要電源係用以產生一主要RF訊號。此系統更包含具有一次要電源的一次要RF產生器,該次要電源係用以產生一次要RF訊號。此系統亦包含耦合至該主要電源與該次要電源的一阻抗匹配網路。該阻抗匹配網路係用以接收該主要RF訊號與該次要RF訊號以產生一經修改的RF訊號。此系統包含具有一上電極的該電漿室,該上電極係耦合至該阻抗匹配網路。該電漿室接收該經修改的RF訊號。該主要RF產生器包含一或多個處理器。該主要RF產生器的該一或多個處理器接收一經脈動之訊號以驅動該電漿室的操作。該一或多個處理器於第一狀態期間在一主要頻率位準下操作該主要RF產生器及在第二狀態期間使該主要RF產生器處於一關閉狀態。該第一狀態期間該主要RF產生器的該操作對形成在該基板上方之一電漿鞘產生經增加的電荷。該經增加的電荷增加該電漿鞘的一厚度。該次要RF產生器包含用以接收該經脈動之訊號的一或多個處理器。該次要RF產生器之該一或多個處理器於該第二狀態期間在一次要頻率位準下操作該次要RF產生器及在該第一狀態期間使該次要RF產生器處於該關閉狀態。該第二狀態期間該次要RF產生器的操作使用在該第一狀態期間所產生之該電漿鞘之該經增加的電荷的至少一部分作為用以增進該第二狀態期間所產生之該離子能量的添加功率。該添加功率減少當該離子朝向該基板之表面時該離子的該角分散。該主要RF產生器與該次要RF產生器根據該經脈動之訊號在該第一狀態與該第二狀態中持續操作,以增進該第一狀態與該第二狀態之複數循環期間的該蝕刻操作。
自下列參考附圖之詳細說明當更明白其他態樣。
下列的實施例說明用以達到具有低的角分散之峰值離子能量增強的系統及方法。應瞭解,可在缺乏部分或全部此些特定細節的情況下實施本發明之實施例。在其他情況下,不詳細說明習知之處理操作以免不必要地模糊本發明之實施例。
圖1A為用以達成具有低的角分散之峰值離子能量增強之電漿設備100之一實施例的方塊圖。電漿設備100包含射頻(RF)產生器 RFGx、另一RF產生器 RFGy、主機電腦116、阻抗匹配網路(IMN)104、電漿室108、另一IMN 112及RF偏壓產生器系統114,RF偏壓產生器系統114包含一或多個RF偏壓產生器。電漿設備100更包含將RF產生器系統114耦合至IMN 112的RF纜線系統137以及將IMN 112耦合至電漿室108之夾頭 110的RF傳輸線139。 RF傳輸線139包含被絕緣體圍繞的金屬棒,絕緣體更被鞘圍繞。金屬棒係藉由RF帶耦合至柱,柱係耦合至夾頭110。RF產生器RFGx的實例包含低頻RF產生器如400千赫(kHz)RF產生器、或2 兆赫 (MHz)RF產生器、或13.56 MHz RF產生器。RF產生器RFGy的實例包含高頻RF產生器如13.56 MHz、或27 MHz、或60 MHz RF產生器。RF產生器RFGy之操作頻率係高於RF產生器RFGx之操作頻率。主機電腦116的實例包含桌上型電腦、或筆電、或智慧型手機、或平板等。
RF纜線系統137包含使RF偏壓產生器系統114與IMN 112耦合的一或多條RF纜線。在複數RF纜線被包含於RF纜線系統137內的情況中,RF纜線係耦合至IMN 112的不同輸入。例如,一RF纜線使RF偏壓產生器系統114之RF產生器的輸出與IMN 112的輸入耦合,另一RF纜線使RF偏壓產生器系統114之另一RF產生器的輸出與IMN 112的另一輸入耦合。
IMN 112包含複數電路元件如電感、電容器、電阻、或其兩或更多者的組合等,以使耦合至IMN 112之輸出的負載的阻抗與耦合至IMN 112之一或多個輸入的源的阻抗相匹配。例如,IMN 112使耦合至IMN 112之輸出之電漿室108與RF傳輸線139的阻抗與耦合至IMN 112之一或多個輸入之RF偏壓產生器系統114與RF纜線系統137的阻抗相匹配。在一實施例中,調協IMN 112之多個電路元件中的一或多者,以促進耦合至IMN 112之輸出的負載的阻抗與耦合至IMN 112之一或多個輸入的源的阻抗之間的匹配。IMN 112減少RF功率沿著朝向源之方向反射的機率例如自負載朝向源的反射。
RF產生器RFGx包含數位訊號處理DSPx、功率參數控制器 PWRS1x、另一功率參數控制器 PWRS2x、自動頻率調協器(AFT)AFTS1x、另一自動頻率調協器AFTS2x、RF電源Psx及驅動器系統118。文中所用之RF電源的實例包含RF振盪器。例如,RF電源為產生在射頻下之振盪訊號如正弦波的電路。又例如,RF電源為具有石英晶體的晶體振盪器,當電壓被供給至靠近石英晶體或位於石英晶體上之電極時石英晶體會在預定頻率下形變。文中所用之處理器為特殊應用積體電路(ASIC)、或可程式化邏輯裝置、或中央處理單元(CPU)、或微處理器、或微控制器。文中所用之控制器為特殊應用積體電路(ASIC)、或可程式化邏輯裝置、或中央處理單元(CPU)、或微處理器、或微控制器、或處理器。文中所述之驅動器的實例包含一或多個電晶體。
電漿室108包含介電窗120,介電窗120形成電漿室108之上壁的一部分。介電窗120使上電極106與電漿室108之內部體積分離。介電窗120控制如減少電漿室108之體積內之上電極106所引發之電場的效應。上電極106的實例包含具有一或多圈之變壓器耦合電漿(TCP)線圈。例如,每一圈皆位於相同的水平面中。又例如,每一圈係位於不同的水平面中。上電極106係藉由介電窗120感應耦合至電漿室108的內體積。用以製造介電窗120的材料實例包含石英或陶瓷等。在某些實施例中,電漿室108亦包含其他部件(未顯示) 如圍繞夾頭110的下介電環、圍繞下介電環的下電極延伸件、下電漿排除區(PEZ)環、等。上電極106之位置係面對夾頭110並與其相對,夾頭110包含下電極。例如,夾頭110包含附接至下電極之上部的陶瓷層以及附接至下電極之底部的輔助板。下電極係由金屬如陽極化之鋁、鋁合金等所製成。又,上電極106係由金屬所製成。
基板122如半導體晶圓係於夾頭110之上表面受到支撐。積體電路如ASIC、PLD等係於基板122上建立,積體電路係用於各種裝置如手機、平板、智慧型手機、電腦、筆電、網路設備等。
一或多個入口接口如形成在電漿室108之側壁內的入口接口係耦合至中央氣體饋送件(未顯示)。中央氣體饋送件自氣體源(未顯示)接收一或多種處理氣體。一或多種處理氣體的實例包含含氧氣體如O2 。一或多種處理氣體的其他實例包含含氟氣體如四氟甲烷(CF4 )、六氟化硫(SF6 )、六氟乙烷(C2 F6 )等。
DSPx係耦合至功率參數控制器PWRS1x與PWRS2x且耦合至自動頻率調協器AFTS1x與AFTS2x。又,功率參數控制器PWRS1x與PWRS2x以及自動頻率調協器AFTS1x與AFTS2x係耦合至驅動器系統118。驅動器系統118係耦合至RF電源Psx。RF電源Psx係藉由RF產生器RFGx之輸出而耦合至RF纜線124,RF纜線124係耦合至IMN 104的輸出。
IMN 104的輸出係藉由RF傳輸纜線126而耦合至上電極106的端點E1。上電極106在其相對端點如端點E2處係耦合至地電位。例示性的RF傳輸纜線126為RF纜線。
RF產生器RFGy包含DSPy、功率參數控制器 PWRS1y、另一功率參數控制器 PWRS2y、自動頻率調協器AFTS1y、及另一自動頻率調協器AFTS2y。RF產生器RFGy更包含 RF電源Psy與驅動器系統128。DSPy係耦合至功率參數控制器PWRS1y與PWRS2y並耦合至自動頻率調協器AFTS1y與AFTS2y。RF產生器RFGy包含DSPy、功率參數控制器 PWRS1y、另一功率參數控制器 PWRS2y、自動頻率調協器AFTS1y、及另一自動頻率調協器AFTS2y。RF產生器RFGy更包含 RF電源Psy與驅動器系統128。DSPy係耦合至功率參數控制器PWRS1y與PWRS2y並耦合至自動頻率調協器AFTS1y與AFTS2y。
IMN 104包含複數電路元件如電感、電容器、電阻、或其兩或更多者的組合等,以使耦合至IMN 104之輸出的負載的阻抗與耦合至IMN 104之輸入的源的阻抗相匹配。例如,IMN 104使耦合至IMN 104之輸出之電漿室108與RF傳輸纜線126的阻抗與RF產生器RFGx、RF纜線124、RF產生器RFGy、及RF纜線130的阻抗相匹配。在一實施例中,調協IMN 104之多個電路元件中的一或多者,以促進耦合至IMN 104之輸出的負載的阻抗與耦合至IMN 104之輸入的源的阻抗之間的匹配。IMN 104減少RF功率沿著朝向源之方向反射的機率例如自負載朝向源的反射。
主機電腦116包含處理器132及記憶體裝置134。處理器132係耦合至記憶體裝置134。記憶體裝置的實例包含隨機存取記憶體(RAM)與唯讀記憶體(ROM)。例如,記憶體裝置為快閃記憶體、硬碟、或儲存裝置等。記憶體裝置為電腦可讀媒體的一實例。處理器132係藉由纜線136而耦合至DSPx並藉由纜線138而耦合至DSPy。纜線136或纜線138的實例包含用以序列傳輸數據的纜線、用以並列傳輸數據的纜線、及用以應用通用序列匯流排(USB)協定而傳輸數據的纜線。
處理器132的控制電路係用以產生經脈動之訊號102如電晶體-電晶體邏輯(TTL)訊號、數位脈動訊號、時脈訊號、具有工作週期之訊號等。用以產生經脈動之訊號102之處理器132之控制電路的實例包含TTL電路。
經脈動之訊號102包含狀態S1與S2。例如,經脈動之訊號102之狀態S1在經脈動之訊號102之週期的一部分期間具有邏輯位準1並在週期的另一部分期間具有邏輯位準零。在各種實施例中,在經脈動之訊號102的週期期間狀態S1與S2執行一次並隨著經脈動之訊號102的複數週期重覆。例如,經脈動之訊號102的一週期包含狀態S1與S2且經脈動之訊號102的另一週期包含狀態S1與S2。例如,在經脈動之訊號102之週期期間的一部分期間執行狀態S1而在該週期的剩下期間執行狀態S2。又例如,狀態S1的工作週期係與狀態S2的工作週期相同。例如,經脈動之訊號102之每一狀態S1與S2具有 50%的工作週期。更又例如,狀態S1的工作週期係不同於狀態S2的工作週期。例如, 經脈動之訊號102 之狀態S1具有a%的工作週期而經脈動之訊號102之狀態S2具有(100-a)%之工作週期 ,其中a為大於零之整數。a%的實例範圍介於10%與50%之間。a%的另一實例範圍介於20%與40%之間。a%的更另一實例為25%。
在各種實施例中,使用時脈源如晶體振盪器產生類比時脈訊號130取代以處理器132之控制電路,類比時脈訊號係藉由類比對數位轉換器而轉換為類似於經脈動之訊號102的數位訊號。例如,晶體振盪器係藉由施加電壓至靠近晶體振盪器的電極而在電場中振盪。在各種實施例中,數位時脈源產生經脈動之訊號102,而非處理器132。
處理器132自記憶體裝置134接取配方。配方之實例包含針對狀態S1而施加至RF產生器RFGx的功率參數設定點、針對狀態S2而施加至RF產生器RFGx的功率參數設定點、針對狀態S1而施加至RF產生器RFGx的頻率參數設定點、針對狀態S2而施加至RF產生器RFGx的頻率參數設定點、針對狀態S1而施加至RF產生器RFGy的功率參數設定點、針對狀態S2而施加至RF產生器RFGy的功率參數設定點、針對狀態S1而施加至RF產生器RFGy的頻率參數設定點、針對狀態S2而施加至RF產生器RFGy的頻率參數設定點、一或多種處理氣體的化學特性、或其組合。文中所用之功率參數設定點的實例包含電壓設定點及功率設定點。
處理器132藉由纜線136利用經脈動之訊號102將指令發送至DSPx。藉由纜線136發送至DSPx的指令具有關於下列者的資訊:經脈動之訊號102、針對狀態S1欲施加至RF產生器RFGx的功率參數設定點、針對狀態S2欲施加至RF產生器RFGx的功率參數設定點、針對狀態S1欲施加至RF產生器RFGx的頻率設定點、及針對狀態S2欲施加至RF產生器RFGx的頻率設定點。關於經脈動之訊號102的資訊對DSPx指示:RF產生器RFGx欲產生之RF訊號在經脈動之訊號102的過渡時間tst1處自狀態S1轉變為狀態S2及RF訊號在經脈動之訊號102的過渡時間tst2處自狀態S2轉變為狀態S1。DSPx自指令判斷:在經脈動之訊號102之狀態S1期間針對狀態S1欲施加之功率參數設定點、在經脈動之訊號102之狀態S2期間針對狀態S2欲施加之功率參數設定點、在經脈動之訊號102之狀態S1期間針對狀態S1欲施加之頻率設定點、及在經脈動之訊號102之狀態S2期間針對狀態S2欲施加之頻率設定點。又,DSPx自指令及經脈動之訊號102判斷:RF產生器RFGx欲產生之RF訊號在經脈動之訊號102的過渡時間tst1處自狀態S1轉變為狀態S2及RF訊號在經脈動之訊號102的過渡時間tst2處自狀態S2轉變為狀態S1。過渡時間tst1與tst2針對經脈動之訊號102的每一週期重覆。
在經脈動之訊號102之週期的過渡時間tst2處,DSPx將狀態S1之功率參數設定點發送至功率參數控制器 PWRS1x。類似地,在經脈動之訊號102之週期的過渡時間tst1處,DSPx將狀態S2之功率參數設定點發送至功率參數控制器 PWRS2x。又,在經脈動之訊號102之週期的過渡時間tst2處,DSPx將狀態S1之頻率設定點發送至自動頻率調諧器AFTS1x。又,在經脈動之訊號102之週期的過渡時間tst1處,DSPx將狀態S2之頻率設定點發送至自動頻率調諧器AFTS2x。
在接收到狀態S1之功率參數設定點時,功率參數控制器 PWRS1x判斷對應至(如一對一關係、映射至、連結至等)狀態S1之功率參數設定點的電流量。基於驅動器系統118在狀態S1期間欲產生的電流量,功率參數控制器 PWRS1x產生指令訊號並將指令訊號發送至驅動器系統118。針對狀態S1,驅動器系統118產生及發送具有電流量的電流訊號至RF電源Psx 以回應指令訊號之接收。RF電源Psx在接收到電流訊號時產生具有狀態S1之功率參數設定點的RF訊號,並藉由RF產生器RFGx 之輸出及RF纜線124將RF訊號供給至IMN 104的輸入。在狀態S1期間RF產生器RFGx的RF電源Psx維持狀態S1之功率參數設定點。
類似地,在接收到狀態S2之功率參數設定點時,功率參數控制器 PWRS2x判斷對應至狀態S2之功率參數設定點的電流量。基於驅動器系統118在狀態S2期間欲產生的電流量,功率參數控制器 PWRS2x產生指令訊號並將指令訊號發送至驅動器系統118。針對狀態S2,驅動器系統118產生及發送具有電流量的電流訊號至RF電源Psx 以回應指令訊號之接收。RF電源Psx在接收到電流訊號時產生具有狀態S2之功率參數設定點的RF訊號,並藉由RF產生器RFGx之輸出及RF纜線124將RF訊號供給至IMN 104的輸入。在狀態S2期間RF產生器RFGx的RF電源Psx維持狀態S2之功率參數設定點。
又,在接收到狀態S1之頻率設定點時,自動頻率調諧器AFTS1x判斷對應至狀態S1之頻率設定點的電流量。基於驅動器系統118在狀態S1期間欲產生的電流量,自動頻率調諧器AFTS1x產生指令訊號並將指令訊號發送至驅動器系統118。針對狀態S1,驅動器系統118產生及發送具有電流量的電流訊號至RF電源Psx 以回應指令訊號之接收。RF電源Psx在接收到電流訊號時產生具有狀態S1之頻率設定點的RF訊號,並藉由RF產生器RFGx之輸出及RF纜線124將RF訊號供給至IMN 104的輸入。在狀態S1期間RF電源Psx維持狀態S1之頻率設定點。在狀態S1期間RF產生器RFGx 產生具有狀態S1之功率參數設定點及狀態S1之頻率設定點的RF訊號。
類似地,在接收到狀態S2之頻率設定點時,自動頻率調諧器AFTS2x判斷對應至狀態S2之頻率設定點的電流量。基於驅動器系統118在狀態S2期間欲產生的電流量,自動頻率調諧器AFTS2x產生指令訊號並將指令訊號發送至驅動器系統118。針對狀態S2,驅動器系統118產生及發送具有電流量的電流訊號至RF電源Psx 以回應指令訊號之接收。RF電源Psx在接收到電流訊號時產生具有狀態S2之頻率設定點的RF訊號,並藉由RF產生器RFGx 之輸出及RF纜線124將RF訊號供給至IMN 104的輸入。在狀態S2期間RF電源Psx維持狀態S2之頻率設定點。在狀態S2期間RF產生器RFGx 產生具有狀態S2之功率參數設定點及狀態S2之頻率設定點的RF訊號。
處理器132藉由纜線138利用經脈動之訊號102將指令發送至DSPy。藉由纜線138發送至DSPy的指令具有關於下列者的資訊:經脈動之訊號102、針對狀態S1欲施加至RF產生器RFGy的功率參數設定點、針對狀態S2欲施加至RF產生器RFGy的功率參數設定點、針對狀態S1欲施加至RF產生器RFGy的頻率參數設定點、及針對狀態S2欲施加至RF產生器RFGy的頻率參數設定點。關於經脈動之訊號102的資訊對DSPy指示:RF產生器RFGy欲產生之RF訊號在經脈動之訊號102之週期的過渡時間tst1處自狀態S1轉變為狀態S2及RF訊號在經脈動之訊號102之週期的的過渡時間tst2處自狀態S2轉變為狀態S1。DSPy解析指令並自指令判斷:在經脈動之訊號102之狀態S1期間針對狀態S1欲施加之功率參數設定點、在經脈動之訊號102之狀態S2期間針對狀態S2欲施加之功率參數設定點、在經脈動之訊號102之狀態S1期間針對狀態S1欲施加之頻率設定點、及在經脈動之訊號102之狀態S2期間針對狀態S2欲施加之頻率設定點。又,DSPx自指令判斷:RF產生器RFGy欲產生之RF訊號在經脈動之訊號102之週期的過渡時間tst1處自狀態S1轉變為狀態S2及RF訊號在經脈動之訊號102之週期的過渡時間tst2處自狀態S2轉變為狀態S1。
在經脈動之訊號102之週期的過渡時間tst2處,DSPy將狀態S1之功率參數設定點發送至功率參數控制器 PWRS1y。類似地,在經脈動之訊號102之週期的過渡時間tst1處,DSPy將狀態S2之功率參數設定點發送至功率參數控制器 PWRS2y。又,在經脈動之訊號102之週期的過渡時間tst2處,DSPy將狀態S1之頻率設定點發送至自動頻率調諧器AFTS1y。又,在經脈動之訊號102之週期的過渡時間tst1處,DSPy將狀態S2之頻率設定點發送至自動頻率調諧器AFTS2y。
在接收到狀態S1之功率參數設定點時,功率參數控制器 PWRS1y判斷對應至狀態S1之功率參數設定點的電流量。基於驅動器系統128在狀態S1期間欲產生的電流量,功率參數控制器 PWRS1y產生指令訊號並將指令訊號發送至驅動器系統128。針對狀態S1,驅動器系統128產生及發送具有電流量的電流訊號至RF電源Psy以回應指令訊號之接收。RF電源Psy在接收到電流訊號時產生具有狀態S1之功率參數設定點的RF訊號,並藉由RF產生器RFGy之輸出及RF纜線130將RF訊號供給至IMN 104的其他輸入。在狀態S1期間RF電源Psy維持狀態S1之功率參數設定點。
類似地,在接收到狀態S2之功率參數設定點時,功率參數控制器 PWRS2y判斷對應至狀態S2之功率參數設定點的電流量。基於驅動器系統128在狀態S2期間欲產生的電流量,功率參數控制器 PWRS2y產生指令訊號並將指令訊號發送至驅動器系統128。針對狀態S2,驅動器系統128產生及發送具有電流量的電流訊號至RF電源Psy以回應指令訊號之接收。RF電源Psy在接收到電流訊號時產生具有狀態S2之功率參數設定點的RF訊號,並藉由RF產生器RFGy之輸出及RF纜線130將RF訊號供給至IMN 104的其他輸入。在狀態S2期間RF電源Psy維持狀態S2之功率參數設定點。
又,在接收到狀態S1之頻率設定點時,自動頻率調諧器AFTS1y判斷對應至狀態S1之頻率設定點的電流量。基於驅動器系統128在狀態S1期間欲產生的電流量,自動頻率調諧器AFTS1y產生指令訊號並將指令訊號發送至驅動器系統128。針對狀態S1,驅動器系統128產生及發送具有電流量的電流訊號至RF電源Psy以回應指令訊號之接收。RF電源Psy在接收到電流訊號時產生具有狀態S1之頻率設定點的RF訊號,並藉由RF產生器RFGy之輸出及RF纜線130將RF訊號供給至IMN 104的其他輸入。在狀態S1期間RF電源Psy維持狀態S1之頻率設定點。在狀態S1期間RF產生器RFGy產生具有狀態S1之功率參數設定點及狀態S1之頻率設定點的RF訊號。
類似地,在接收到狀態S2之頻率設定點時,自動頻率調諧器AFTS2y判斷對應至狀態S2之頻率設定點的電流量。基於驅動器系統128在狀態S2期間欲產生的電流量,自動頻率調諧器AFTS2y產生指令訊號並將指令訊號發送至驅動器系統128。針對狀態S2,驅動器系統128產生及發送具有電流量的電流訊號至RF電源Psy以回應指令訊號之接收。RF電源Psy在接收到電流訊號時產生具有狀態S2之頻率設定點的RF訊號,並藉由RF產生器RFGy 之輸出及RF纜線130將RF訊號供給至IMN 104的其他輸入。在狀態S2期間RF電源Psy維持狀態S2之頻率設定點。在狀態S2期間RF產生器RFGy 產生具有狀態S2之功率參數設定點及狀態S2之頻率設定點的RF訊號。
IMN 104的輸入藉由RF纜線124自RF產生器RFGx的輸出接收RF電源Psx所產生的RF訊號、在其他輸入處藉由RF纜線130自RF產生器RFGy的輸出接收 RF電源Psy 所產生的RF訊號,並使耦合至IMN 104的輸出 之負載的阻抗與耦合至IMN 104的輸入的源的阻抗相匹配,以在IMN 104的輸出處產生經修改的RF訊號。經修改的RF訊號係藉由RF傳輸纜線126而發送至上電極106如TCP線圈的端點E1。
又,RF產生器系統114產生一或多個RF訊號。例如,RF產生器系統114的RF產生器產生RF訊號。又例如,RF產生器系統114的RF產生器產生RF訊號且RF產生器系統114的另一RF產生器產生另一RF訊號。應注意,RF產生器系統114所供給之一或多個RF訊號的偏壓量或偏壓功率量係落在複數狀態如狀態S1與S2、或狀態S1、S2與S3期間的預定範圍內。例如,處理器132藉由將RF產生器系統114耦合至處理器132的纜線117將偏壓位準或偏壓功率位準發送至RF產生器系統114。RF產生器系統114在複數狀態期間產生具有偏壓位準或偏壓功率位準的一或多個RF訊號。一或多個RF訊號由RF產生器系統114所產生的方式係類似於文中所述之RF產生器RFGx或RFGy產生RF訊號的方式。一或多個RF訊號的偏壓或偏壓功率為常數如與處理器132所接收之偏壓位準或偏壓功率位準相同或落在其預定範圍內。RF偏壓產生器系統114在狀態S1與S2或狀態S1至S3期間係於連續波模式下操作。
IMN 112藉由RF纜線系統137接收一或多個RF訊號以使耦合至IMN 112的輸出之負載的阻抗與耦合至IMN 112之一或多個輸入之源的阻抗相匹配,以產生輸出RF訊號。輸出RF訊號係藉由RF傳輸線139發送至夾頭110。
當在上電極106與夾頭110之間供給一或多種處理氣體時,經修改的RF訊號被供給至上電極106而輸出RF訊號被供給至夾頭110,一或多種處理氣體被點燃以在電漿室108內產生或維持電漿。電漿具有電漿鞘123且係用以處理如蝕刻基板122、沉積材料至基板122上、清理基板122、濺射基板122等。電漿鞘123為形成在電漿室108內之電漿的邊界。例如,電漿鞘123包含形成在電漿室108內之電漿的上邊界125A及形成在電漿室108內之電漿的下邊界125B。上邊界125A更靠近上電極106而非更靠近夾頭110,下邊界更靠近夾頭110而非更靠近上電極106。
在某些實施例中,調協器與控制器兩詞在文中可互換使用。
在各種實施例中,功率參數控制器PWRS1x與PWRS2x以及自動頻率調協器AFTS1x與AFTS2x為DSPx所執行之電腦程式的模組如部分等。類似地,在某些實施例中,功率參數控制器PWRS1y與PWRS2y以及自動頻率調協器AFTS1y與AFTS2y為DSPy所執行之電腦程式的模組如部分等。
在數個實施例中,功率參數控制器PWRS1x與PWRS2x以及自動頻率調協器AFTS1x與AFTS2x為耦合至DSPx之積體電路的分離積體電路。例如,功率參數控制器 PWRS1x為RF產生器RFGx之第一積體電路、功率參數控制器 PWRS2x為RF產生器RFGx之第二積體電路、自動頻率調諧器AFTS1x為RF產生器RFGx之第三積體電路、自動頻率調諧器AFTS2x為RF產生器RFGx之第四積體電路、且DSPx為RF產生器RFGx之第五積體電路。RF產生器RFGx之第一至第四積體電路的每一者係耦合至RF產生器RFGx的第五積體電路。
類似地,在各種實施例中,功率參數控制器PWRS1y與PWRS2y以及自動頻率調協器AFTS1y與AFTS2y為耦合至DSPy之積體電路的分離積體電路。例如,功率參數控制器 PWRS1y為RF產生器RFGy之第一積體電路、功率參數控制器 PWRS2y為RF產生器RFGy之第二積體電路、自動頻率調諧器AFTS1y為RF產生器RFGy之第三積體電路、自動頻率調諧器AFTS2y為RF產生器RFGy之第四積體電路、且DSPy為RF產生器RFGy之第五積體電路。RF產生器RFGy之第一至第四積體電路中的每一者係耦合至RF產生器RFGy的第五積體電路。
在各種實施例中,文中所述之RF訊號之狀態S1的實例包含狀態S1之功率參數設定點及狀態S1之頻率設定點。狀態S1之功率參數設定點為操作性的功率參數設定點,操作性的功率參數設定點為功率參數位準如狀態S1期間RF訊號之功率量或電壓量的包絡或零至峰值大小。狀態S1之頻率設定點為操作性的頻率設定點,操作性的頻率設定點為頻率位準如狀態S1期間RF訊號之頻率值的包絡或零至峰值大小。類似地,文中所述之RF訊號之狀態S2的實例包含狀態S2之功率參數設定點及狀態S2之頻率設定點。狀態S2之功率參數設定點為操作性的功率參數設定點,操作性的功率參數設定點為功率參數位準如狀態S2期間RF訊號之功率量或電壓量的包絡或零至峰值大小。狀態S2之頻率設定點為操作性的頻率設定點,操作性的頻率設定點為頻率位準如狀態S2期間RF訊號之頻率值的包絡或零至峰值大小。應注意,在一實施例中,零功率參數位準為文中所述之功率參數設定點的一實例。類似地,在一實施例中,零頻率位準為文中所述之頻率設定點的一實例。
在各種實施例中,三個RF產生器係耦合至IMN 104。例如,額外的RF產生器係藉由另一RF纜線(未顯示)而耦合至IMN 104之更另一輸入。 額外的RF產生器為除了RF產生器RFGx與RF產生器RFGy之外的RF產生器。該更另一輸入係不同於RF纜線124所耦合的IMN 104的輸入或RF纜線130所耦合的IMN 104的其他輸入。除了額外的RF產生器與RF產生器RFGy具有不同的操作頻率如2 MHz、27 MHz、60 MHz等,額外的RF產生器與RF產生器RFGy具有相同的結構與功能。例如,RF產生器RFGy具有13.56 MHz的操作頻率而額外的RF產生器具有 2 MHz、或27 MHz、或60 MHz之操作頻率。IMN 104組合自RF產生器RFGx、RF產生器RFGy及額外的RF產生器所接收的RF訊號,然後使耦合至IMN 104的輸出的負載的阻抗與源(如RF產生器RFGx、RF產生器RFGy、額外的RF產生器、RF纜線124、RF纜線130、其他RF纜線等)的阻抗相匹配,以在IMN 104的輸出處產生經修改的RF訊號。
在一實施例中,在文中阻抗匹配電路與阻抗匹配網路兩詞可交互使用。
在某些實施例中,夾頭110係耦合至地電位而非耦合至IMN 112 與RF偏壓產生器系統114。
在各種實施例中,在上電極106處使用CCP板而非將TCP線圈用作為上電極106。例如,CCP板為具有圓形體積且位於電漿室108內之水平平面中的圓形板。CCP板係由金屬如鋁或鋁合金所製成。在此些實施例中,電漿室108缺乏介電窗120而是具有上壁。電漿室108亦包含其他元件如圍繞CCP板的上介電環、圍繞上介電環的上電極延伸件、上PEZ環等。CCP板之位置係與夾頭110相對並面向夾頭110。
在某些實施例中,經脈動之訊號102係自主RF產生器發送至從RF產生器如RF產生器RFGy而非經脈動之訊號102自處理器132發送至RF產生器RFGx與RFGy。主RF產生器的實例包含RF產生器RFGx。例如,RF產生器RFGx的數位訊號處理DSPx自處理器132接收經脈動之訊號102並藉由纜線如平行傳輸纜線、序列傳輸纜線、或USB纜線將經脈動之訊號102發送至 RF產生器RFGy 的數位訊號處理DSPy。圖1B為圖140、142、144的實施例圖。圖140繪示經脈動之訊號102之邏輯位準對時間t的關係。邏輯位準的實例包含零位準與1位準。零位準為低邏輯位準的實例而1位準為高邏輯位準的實例。又,圖142繪示RF產生器RFGx 所產生與供給之RF訊號如RF訊號146A之功率參數位準如電壓位準或功率位準對時間t的關係。圖142更繪示 RF產生器RFGy 所產生與供給之RF訊號如RF訊號146B之功率參數位準與時間t的關係。又,圖144繪示RF訊號146A之功率參數位準對時間t的關係。圖144更繪示RF產生器RFGy所產生與供給之RF訊號如RF訊號146C之功率參數位準對時間t的關係。
參考140與142,在經脈動之訊號102的每一週期期間,經脈動之訊號102在過渡時間tst1處自狀態S1轉變為狀態S2並在過渡時間tst2處自狀態S2轉變為狀態S1。又,在狀態S1期間,RF訊號146A具有功率參數位準Px1而RF訊號146B具有零功率參數位準。又,在狀態S1期間,RF訊號146A具有頻率位準fx1而RF訊號146B具有零頻率位準。
又,在過渡時間tst1處,RF訊號146A與146B每一者自狀態S1轉變為狀態S2。在狀態S2期間,RF訊號146A具有零功率參數位準而RF訊號146B具有功率參數位準Py2。又,在狀態S2期間,RF訊號146A具有零頻率位準而RF訊號146B具有頻率位準fy2。當文中所述的任何RF產生器在零頻率位準及零功率參數位準下操作時,RF產生器係關閉如未操作、切換為關狀態等。功率參數位準Py2 係與功率參數位準Px1相同。又,頻率位準fy2係大於頻率位準fx1。在過渡時間tst2處,RF訊號146A與146B每一者自狀態S2 轉變回狀態S1。
更應注意,經脈動之訊號102或RF訊號146A或RF訊號146B之狀態S1的工作週期係與經脈動之訊號102或RF訊號146A或RF訊號146B之狀態S2的工作週期相同。例如,狀態S1的工作週期為50%而狀態S2的工作週期為50%。RF訊號146A或RF訊號146B之狀態S1佔據經脈動之訊號102之週期的50%而RF訊號146A或RF訊號146B之狀態S2佔據經脈動之訊號102之週期的剩餘50%。
在各種實施例中,訊號如經脈動之訊號102或RF訊號146A或RF訊號146B之狀態S1的工作週期係不同於訊號之狀態S2的工作週期。例如,狀態S1的工作週期為25%而狀態S2的工作週期為75%。RF訊號146A或RF訊號146B之狀態S1佔據經脈動之訊號102之週期的25%而RF訊號146A或RF訊號146B之狀態S2佔據經脈動之訊號102之週期的剩餘75%。又例如,狀態S1的工作週期為a%而狀態S2的工作週期為(100-a)%。RF訊號146A或RF訊號146B之狀態S1佔據經脈動之訊號102之週期的a%而RF訊號146A或RF訊號146B之狀態S2佔據經脈動之訊號102之週期的剩餘(100-a)%。例如,在校正操作期間,基於欲達成的蝕刻率決定狀態S1之頻率位準、狀態S2之頻率位準、狀態S1之功率參數位準、狀態S2之功率參數位準、一或多種處理氣體的類型、基板122的材料類型、RF產生器RFGx針對經脈動之訊號102之週期的多少百分比而產生RF訊號。蝕刻率量測裝置(ERMD)在校正操作期間量測蝕刻率。基板120材料之類型的實例包含基板122的氧化物層或金屬層。又,RF產生器RFGx係針對經脈動之訊號102之週期的多少百分比而產生RF訊號係關於狀態S1期間儲存在電漿鞘123上之電荷的閾值量。電荷閾值量、蝕刻率及RF產生器RFGx係針對經脈動之訊號102之週期的多少百分比而產生RF訊號之間的關聯性係儲存在記憶體裝置134中。在處理基板122期間,RF產生器RFGx係針對經脈動之訊號102之週期的多少百分比而產生RF訊號係用來作為配方的一部分或 經脈動之訊號102的工作週期。
ERMD係藉由纜線而耦合至處理器132且藉由電漿室108的窗而有視線。視線係朝向電漿室108內產生電漿的空間中。例如,ERMD包含能監測電漿室108內之電漿以藉由窗量測電漿所發出之輻射強度的分光光度計。在某些實施例中,窗係由能允許電漿所發出之光穿透的透明材料如玻璃所製成。在各種實施例中,窗為半透明的窗。強度係直接和電漿所蝕刻之虛置晶圓之一膜層的蝕刻率成比例。又例如,針對一已知的配方,自校正操作期間電漿所發生之輻射的強度,ERMD量測時間tm1處虛置晶圓的厚度並量測時間tm2處虛置晶圓的厚度,其中時間tm2係於時間tm1之後且在蝕刻虛置晶圓之後。ERMD以下列方式決定虛置晶圓的蝕刻率:時間tm2處之厚度與時間tm1處之厚度的差除以時間tm2與時間tm1之間之差所得的比值。在各種實施例中,虛置晶圓具有與基板122相同的材料。
在某些實施例中,RF訊號146B之功率參數位準Py2係不同於如低於或高於RF訊號146A之功率參數位準Px1。
除了RF訊號146B與146C具有不同的功率參數位準外,圖144係類似於圖142。例如,RF訊號146B在狀態S2期間具有功率參數位準Py2且 RF訊號146B的功率參數位準Py2係高於RF訊號146C的功率參數位準Py2。
參考圖140與144,RF訊號146C之狀態S1係與RF訊號146B之狀態S1相同。例如,在狀態S1期間,RF訊號146C具有零功率參數位準。又,在狀態S1期間,RF訊號146C具有零頻率位準。
又,在過渡時間tst1處,RF訊號146C自狀態S1轉變為狀態S2。在狀態S2期間,RF訊號146C具有功率參數位準Py2。又,在狀態S2期間,RF訊號146C具有頻率位準fy2。RF訊號146C之功率參數位準Py2係低於RF訊號146A之功率參數位準Px1 且RF訊號146C之頻率位準fy2係與RF訊號146B之頻率位準相同。在過渡時間tst2處,RF訊號146C自狀態S2轉變回狀態S1。
應注意,RF訊號146C之狀態S1的工作週期係與RF訊號146C之狀態S2的工作週期相同。例如,RF訊號146C之狀態S1的工作週期為50%而RF訊號146C之狀態S2的工作週期為50%。RF訊號146C之狀態S1佔據經脈動之訊號102之週期的50%而RF訊號146C之狀態S2佔據經脈動之訊號102之週期的剩餘50%。
在各種實施例中,RF訊號146C之狀態S1的工作週期係不同於 of RF訊號146C之狀態S2的工作週期。例如,RF訊號146C之狀態S1的工作週期為25%而RF訊號146C之狀態S2的工作週期為75%。RF訊號146C之狀態S1 佔據經脈動之訊號102之週期的25%而RF訊號146C之狀態S2佔據經脈動之訊號102之週期的剩餘75%。又例如,RF訊號146C之狀態S1的工作週期為a%而RF訊號146C之狀態S2的工作週期為(100-a)%。RF訊號146C之狀態S1佔據經脈動之訊號102之週期的a%而RF訊號146C之狀態S2佔據經脈動之訊號102之週期的剩餘(100-a)%。
在某些實施例中,RF訊號146C之功率參數位準Py2係高於RF訊號146A之功率參數位準Px1。
應注意, 如圖142中所示,功率參數位準Px1與Py2皆非零。又,如圖142中所示,頻率位準fx1與fy2皆非零。又,如圖144中所示,功率參數位準Px1與Py2 皆非零。又,如圖144中所示,頻率位準fx1與fy2皆非零。
更應注意, RF產生器RFGx係受到控制以在狀態S1期間於頻率位準fx1下操作。在電漿室108內,RF產生器RFGx在狀態S1期間所產生之RF訊號的功率參數係添加至RF產生器RFGy在狀態S2期間所產生之RF訊號的功率參數。電漿室108內的電漿鞘123具有電容器的功能。在狀態S1期間電容器自與頻率位準fx1相關的功率參數位準Px1充電並在狀態S2期間放電。在狀態S1期間功率參數位準Px1充電電漿鞘123以增加電漿鞘123的電荷量。又,在狀態S1期間電漿鞘123的充電會增加狀態S1期間電漿鞘123的厚度。例如,由於在狀態1期間在狀態S1期間所產生之許多電漿離子累積在電漿鞘123上,因此狀態S1期間電漿鞘123的厚度增加。充電發生而將功率參數位準Px1的一部分添加至功率參數位準Py2。將功率參數位準Px1 的一部分添加至功率參數位準Py2及在狀態S2期間放電電容會增加狀態S2期間電漿室108內之電漿之離子之離子能量並減少狀態S2期間離子能量之角分散。例如,在狀態S2期間電漿室108之電漿之垂直方向性隨著在狀態S2期間將功率參數位準Px1的一部分添加至功率參數位準Py2而增加。形成在電漿室108內之電漿的離子能量會由於功率參數位準Px1的一部分添加至功率參數位準Py2而增加。經加總之功率參數位準(包含功率參數位準Px1的一部分及功率參數位準Px2)能增進狀態S2期間之離子能量。在狀態S1期間儲存經增進之離子能量之電漿鞘123之電荷的至少一部分在狀態S2期間自上邊界125A釋放至電漿鞘123的下邊界125B以減少入射至基板122之上表面上之電漿離子的角分散以更進一步地增加蝕刻基板122的蝕刻率。
亦應注意,在RF產生器RFGx在狀態S1之頻率設定點下操作的期間 ,狀態S1期間形成在電漿室108內之電漿之電漿鞘123的電抗增加。電漿鞘123之電抗係與操作以產生或維持電漿鞘123之RF產生器的頻率成反比。由於在狀態S1期間電漿鞘123之電抗高於狀態S2,因此狀態S1期間通過電漿鞘123之離子流減少。
在狀態S1期間在基板122之表面處的電漿離子的離子流會隨著電漿鞘123之電抗的增加而減少。針對狀態S1與S2期間之相同量的電漿離子功率,與電漿鞘123相關之電阻R係與離子流的平方成反比。為了輸送相同量如狀態S1與S2期間的常量功率,在狀態S1期間與電漿鞘123相關的電阻R係隨著離子流的減少而增加。在狀態S1期間經增加的電阻R會增加狀態1期間與電漿鞘123相關的時間常數RC,延伸狀態S1與S2之平均時間常數以增進峰值能量並減少離子能量與角分佈函數(IEADF)中之角度如角分散,其中C為電漿鞘123之電容而為電漿鞘123之輸出處的電阻。例如,電漿鞘123之輸出為離子流流向的電漿鞘123部分。在狀態S1與S2期間與電漿鞘123相關之電阻的平均量隨著狀態1期間之電阻R的增加而增加。狀態S1與S2之平均時間常數隨著電阻之平均量的增加而增加。在狀態S1與S2之任一者或兩者期間之電漿鞘123的放電經歷時間隨著平均時間常數的增加而增加。放電時間量的增加會增加狀態S1與S2期間電漿鞘123之間之電漿體積之電漿之峰值離子能量的增加並減少狀態S1與S2期間電漿之離子的角分散。
又,在狀態1期間,電漿鞘123基於狀態S1之功率參數位準充電。例如,在狀態1期間,電漿鞘123具有電容器的功能並儲存自經修改之RF訊號所接收的電荷量,經修改之RF訊號係基於RF產生器RFGx 所供給的RF訊號產生。在狀態S2期間,在電漿鞘123內基於狀態S1之功率參數位準如功率參數位準之一部分所產生之電荷被添加至電漿鞘123內基於狀態S2之功率參數位準的電荷,以產生對應至經總和之功率參數位準的總電荷。經總和之功率參數位準為狀態S1之功率參數位準之一部分與狀態S2之功率參數位準的總和。總電荷留存於電漿鞘123內。總電荷在狀態S2期間基於用以放電具有電容器之功能之電漿鞘123的時間常數RC放電。例如,電漿鞘123需要時間放電,但當使用連續波模式RF產生器時電漿鞘毋須在電漿操作期間放電。由於放電,入射至基板122之表面上之離子能量增加,以增加形成在電漿室108內之電漿之電漿離子的垂直方向性、減少電漿離子之角分散、及增加處理基板122之處理率如蝕刻率或濺射率。
在某些實施例中,功率參數位準針對狀態S1的部分在文中被稱為添加功率。
圖1C為圖140、152及154的實施例圖。圖152繪示功率參數位準如RF產生器RFGx 所產生及供給之RF訊號如RF訊號156A之電壓位準或功率位準對時間t的關係。又,圖152繪示RF產生器RFGy所產生及供給之RF訊號如RF訊號156B之功率參數位準對時間t的關係。又,圖154繪示RF訊號156A之功率參數位準對時間t的關係。圖154更繪示RF產生器RFGy所產生及供給之RF訊號如RF訊號156C之功率參數位準對時間t的關係。
參考140與152,在狀態1期間,RF訊號156A具有功率參數位準Px1而RF訊號156B具有非零之功率參數位準Py1。又,在狀態1期間,RF訊號156A具有頻率位準fx1而RF訊號156B具有非零之頻率位準fy1。
又,在過渡時間tst1處,RF訊號156A與156B每一者自狀態S1轉變至狀態S2。在狀態S2期間,RF訊號156A具有功率參數位準Px2 and RF訊號156B具有功率參數位準Py2。又,在狀態S2期間,RF訊號156A具有頻率位準fx2而RF訊號156B具有頻率位準fy2。功率參數位準Px1、Px2、Py1及Py2相同。又,頻率位準fx2係高於頻率位準fx1而頻率位準fy1係低於頻率位準fy2。在過渡時間tst2處,RF訊號156A與156B每一者自狀態S2轉變回狀態S1。
在某些實施例中,RF訊號156A之頻率位準fx2係低於RF訊號156A之頻率位準fx1而RF訊號156B之頻率位準fy1係高於RF訊號156B之頻率位準fy2。在數個實施例中,RF訊號156A之頻率位準fx2係高於RF訊號156A之頻率位準fx1而RF訊號156B之頻率位準fy1係高於RF訊號156B之頻率位準fy2。在各種實施例中,RF訊號156A之頻率位準fx2係低於RF訊號156A之頻率位準fx1而RF訊號156B之頻率位準fy1係低於RF訊號156B之頻率位準fy2。
應注意,經脈動之訊號102或RF訊號156A或RF訊號156B之狀態S1的工作週期係與經脈動之訊號102或RF訊號156A或RF訊號156B 之狀態S2的工作週期相同。例如,R狀態S1的工作週期為50%而R狀態S2的工作週期為50%。RF訊號156A或RF訊號156B的狀態S1佔據經脈動之訊號102之週期的50%而RF訊號156A或RF訊號156B之狀態S2佔據經脈動之訊號102之週期的剩餘50%。
在各種實施例中,訊號如經脈動之訊號102或RF訊號156A或RF訊號156B之狀態S1的工作週期係不同於訊號之狀態S2的工作週期。例如,狀態S1的工作週期為25%而狀態S2的工作週期為75%。RF訊號156A 或RF訊號156B的狀態S1佔據經脈動之訊號102之週期的25%而RF訊號156A或RF訊號156B的狀態S2佔據經脈動之訊號102之週期的剩餘75%。又例如,狀態S1的工作週期為a%而狀態S2的工作週期為(100-a)%。RF訊號156A或RF訊號156B之狀態S1佔據經脈動之訊號102之週期的a%而RF訊號156A或RF訊號156B之狀態S2佔據經脈動之訊號102之週期的剩餘(100-a)%。
除了RF訊號156A與156C具有不同功率參數位準之外,圖154係類似於圖152。例如,RF訊號156A在狀態S1與S2期間具有功率參數位準Px1與Px2而RF訊號156C在狀態S1與S2期間具有功率參數位準Py1與Py2。在狀態S1與S2期間RF訊號156C之功率參數位準Py1與Py2係低於在狀態S1與S2期間RF訊號156A的功率參數位準Px1與Px2。RF訊號156A之功率參數位準Px1係與RF訊號156A之功率參數位準Px2相同。類似地,RF訊號156C之功率參數位準Py1係與RF訊號156C之功率參數位準Py2相同。
參考圖140與154,在RF訊號156C之狀態S1期間,RF訊號156C具有功率參數位準Py1且具有頻率位準fy1。又,在過渡時間tst1處,RF訊號156C自狀態S1轉變為狀態S2。在狀態S2期間,RF訊號156C具有功率參數位準Py2。又,在狀態S2期間,RF訊號156C具有頻率位準fy2。RF訊號156C之頻率位準fy2係高於RF訊號156C之頻率位準fy1。在過渡時間tst2處,RF訊號156A與156C每一者自狀態S2轉變回狀態S1。
在某些實施例中,RF訊號156A之頻率位準fx2係低於RF訊號156A之頻率位準fx1而RF訊號156C之頻率位準fy1係高於RF訊號156C之頻率位準fy2。在數個實施例中,RF訊號156A的頻率位準fx2係高於RF訊號156A的頻率位準fx1而RF訊號156C之頻率位準fy1係高於RF訊號156C之頻率位準fy2。在各種實施例中,RF訊號156A的頻率位準fx2係低於RF訊號156A的頻率位準fx1而RF訊號156C之頻率位準fy1係低於RF訊號156C之頻率位準fy2。
應注意, RF訊號156C之狀態S1的工作週期係與RF訊號156C之狀態S2的工作週期相同。例如,RF訊號156C之狀態S1的工作週期為50%且RF訊號156C之狀態S2的工作週期 為50%。RF訊號156C之狀態S1佔據經脈動之訊號102之週期的50%而RF訊號156C之狀態S2佔據經脈動之訊號102之週期的剩餘50%。
在各種實施例中,RF訊號156C之狀態S1的工作週期係不同於RF訊號156C之狀態S2的工作週期。例如,RF訊號156C之狀態S1的工作週期為25%而RF訊號156C之狀態S2的工作週期為75%。RF訊號156C之狀態S1佔據經脈動之訊號102之週期的25%而RF訊號156C之狀態S2佔據經脈動之訊號102之週期的剩餘75%。又例如,RF訊號156C之狀態S1的工作週期為a%而RF訊號156C之狀態S2的工作週期為(100-a)%。RF訊號156C之狀態S1佔據經脈動之訊號102之週期的a%而RF訊號156C之狀態S2佔據經脈動之訊號102之週期的剩餘(100-a)%。
在某些實施例中,RF訊號156C之功率參數位準Py1與Py2係高於RF訊號156A之功率參數位準Px1與Px2。
在各種實施例中,除了脈動RF訊號156C之頻率位準外,使RF訊號156C之功率參數位準在狀態S1與S2之間脈動。例如,在狀態S1期間RF訊號156C的Py1係不同於如高於或低於在狀態S2期間RF訊號156C的功率參數位準Py2。
在某些實施例中,除了脈動RF訊號156A之頻率位準外,使RF訊號156A之功率參數位準在狀態S1與S2之間脈動。例如,在狀態S1期間RF訊號156A的功率參數位準Px1係不同於如高於或低於在狀態S2期間RF訊號156A的功率參數位準Px2。
應注意,如圖152所示,功率參數位準Px1、Px2、Py1及Py2皆非零。又,如圖152所示,頻率位準fx1、fx2、fy1及fy2皆非零。又,如圖154所示,功率參數位準Px1、Px2、Py1及Py2皆非零。又,如圖154所示,頻率位準fx1、fx2、fy1及fy2皆非零。
圖2A為用以達到具有低的角分散之峰值離子能量增強之電漿設備200之一實施例的方塊圖。除了電漿設備200係關於經脈動之訊號202之三狀態操作而非經脈動之訊號102(圖1A)之兩狀態操作之外,電漿設備200係類似於電漿設備100。電漿設備200包含RF產生器 RFGa、另一RF產生器 RFGb、主機電腦116、IMN 104、電漿室108、IMN 112及RF偏壓產生器系統114。RF產生器RFGa為低頻RF產生器如400 kHz RF產生器、或2 MHz RF產生器、或13.56 MHz RF產生器。RF產生器RFGb為高頻RF產生器。RF產生器RFGb的實例包含2 MHz、或13.56 MHz、或27 MHz、或60 MHz RF產生器。RF產生器RFGb的操作頻率係高於RF產生器RFGa的操作頻率。
RF產生器RFGa包含DSPx、功率控制器PWRS1x、功率控制器PWRS2x、更另一功率控制器 PWRS3x、自動頻率調協器AFTS1x、自動頻率調協器AFTS2x、更另一自動頻率調協器AFTS3x、RF電源Psx、及驅動器系統118。
DSPx係耦合至功率控制器PWRS1x、PWRS2x與PWRS3x並耦合至自動頻率調協器AFTS1x、AFTS2x與AFTS3x。又,功率控制器PWRS1x、PWRS2x與PWRS3x而自動頻率調協器AFTS1x、AFTS2x與AFTS3x係耦合至驅動器系統118。驅動器系統118係耦合至RF電源Psx,RF電源Psx係藉由RF產生器RFGa 之輸出而耦合至RF纜線124。
RF產生器RFGb包含DSPy、功率控制器PWRS1y、功率控制器PWRS2y、更另一功率控制器 PWRS3y、自動頻率調協器AFTS1y及自動頻率調協器AFTS2y。RF產生器RFGb更包含另一自動頻率調協器AFTS3y、RF電源Psy及驅動器系統128。DSPy係耦合至功率控制器PWRS1y、PWRS2y及PWRS3y且耦合至自動頻率調協器AFTS1y、AFTS2y及AFTS3y。又,功率控制器PWRS1y、PWRS2y及PWRS3y以及自動頻率調協器AFTS1y、AFTS2y及AFTS3y係耦合至驅動器系統128。驅動器系統128係耦合至RF電源Psy,RF電源Psy係藉由RF產生器RFGb 之輸出而耦合至RF纜線130。
處理器132的控制電路係用以產生經脈動之訊號202如TTL訊號、數位脈動訊號、方形波形、具有三狀態S1至S3之三工作週期之經脈動之訊號等。用以產生經脈動之訊號202之處理器132之控制電路的實例包含TTL電路。
經脈動之訊號202包含狀態S1、S2及S3。例如,經脈動之訊號202之狀態S1在時脈訊號204之時脈週期的一部分期間具有邏輯位準1並在時脈週期的另一部分期間具有邏輯位準零、經脈動之訊號202之狀態S2在時脈週期的一部分期間具有邏輯位準1並在時脈週期的另一部分期間具有邏輯位準零、經脈動之訊號202之狀態S3在時脈週期的一部分期間具有邏輯位準1並在時脈週期的另一部分期間具有邏輯位準零。在各種實施例中,在經脈動之訊號202的時脈週期期間狀態S1、S2及S3執行一次並隨著複數時脈週期重覆。例如,時脈週期包含狀態S1至S3且脈動訊號204的另一時脈週期包含狀態S1至S3。例如,在時脈週期之期間的一部分中執行狀態S1、在時脈週期之之期間的另一部分中執行狀態S2、在時脈週期之剩餘部分期間執行狀態S3。
在某些實施例中,狀態S1至S3中的每一者具有三分之一工作週期。在數個實施例中,狀態S1至S3中之每一者所具有的工作週期係不同於狀態S1至S3中之任何剩餘者的工作週期。例如,狀態S1具有工作週期a%、狀態S2具有工作週期 b%而狀態S3具有工作週期(100-a-b)%,其中a與b為正整數且a係不同於b。
在各種實施例中,使用時脈源如晶體振盪器等產生類比時脈訊號取代用以產生經脈動之訊號202之處理器132之控制電路,類比時脈訊號係藉由類比對數位轉換器而轉換為類似於經脈動之訊號202的數位訊號。例如,晶體振盪器係藉由施加電壓至靠近晶體振盪器的電極而在電場中振盪。例如,晶體振盪器在脈動訊號204之時脈週期的第一部分期間在第一頻率處振盪、在脈動訊號204之時脈週期的第二部分期間在第二頻率處振盪、在脈動訊號204之時脈週期的剩餘部分期間在第三頻率處振盪。第三頻率係不同於第二頻率,第二頻率係不同於第一頻率。在某些實施例中,第一頻率係與第二頻率相同但不同於第三頻率。在各種實施例中,第一頻率係與第三頻率相同但不同於第二頻率。在各種實施例中,數位時脈源產生經脈動之訊號202,而非處理器132。
處理器132自記憶體裝置144接取配方。配方之實例包含針對狀態S1而施加至RF產生器RFGa的功率參數設定點、針對狀態S2而施加至RF產生器RFGa的功率參數設定點、針對狀態S3而施加至RF產生器RFGa的功率參數設定點、針對狀態S1而施加至RF產生器RFGa的頻率設定點、針對狀態S2而施加至RF產生器RFGa的頻率設定點、針對狀態S3而施加至RF產生器RFGa的頻率設定點、針對狀態S1而施加至RF產生器RFGb的功率參數設定點、針對狀態S2而施加至RF產生器RFGb的功率參數設定點、針對狀態S3而施加至RF產生器RFGb的功率參數設定點、針對狀態S1而施加至RF產生器RFGb的頻率設定點、針對狀態S2而施加至RF產生器RFGb的頻率設定點、針對狀態S3而施加至RF產生器RFGb的頻率設定點、一或多種處理氣體的化學特性、或其組合。
處理器132藉由纜線146利用經脈動之訊號202將指令發送至DSPx。藉由纜線146發送至DSPx的指令具有關於下列者的資訊:經脈動之訊號202、針對狀態S1欲施加至RF產生器RFGa的功率參數設定點、針對狀態S2欲施加至RF產生器RFGa的功率參數設定點、針對狀態S3欲施加至RF產生器RFGa的功率參數設定點、針對狀態S1欲施加至RF產生器RFGa的頻率設定點、針對狀態S2欲施加至RF產生器RFGa的頻率設定點、針對狀態S3欲施加至RF產生器RFGa的頻率設定點。關於經脈動之訊號202的資訊對DSPx指示:RF產生器RFGa欲產生之RF訊號在時脈週期的過渡時間ts1處自狀態S1轉變為狀態S2、RF訊號在時脈週期的過渡時間ts2處自狀態S2轉變為狀態S3、RF訊號在時脈週期的過渡時間ts3處自狀態S3轉變為狀態S1。DSPx自指令判斷:在經脈動之訊號202之狀態S1期間針對狀態S1欲施加之功率參數設定點、在經脈動之訊號202之狀態S2期間針對狀態S2欲施加之功率參數設定點、在經脈動之訊號202之狀態S3期間針對狀態S2欲施加之功率參數設定點、在經脈動之訊號202之狀態S1期間針對狀態S1欲施加之頻率設定點、在經脈動之訊號202之狀態S2期間針對狀態S2欲施加之頻率設定點、及在經脈動之訊號202之狀態S3期間針對狀態S3欲施加之頻率設定點。又,DSPx自指令及經脈動之訊號202判斷:RF產生器RFGa欲產生之RF訊號在時脈週期的過渡時間ts1處自狀態S1轉變為狀態S2、RF訊號在時脈週期的過渡時間ts2處自狀態S2轉變為狀態S3、及RF訊號在時脈週期的過渡時間ts3處自狀態S3轉變為狀態S1。過渡時間ts1至ts3針對脈動訊號204的每一時脈週期重覆。
在脈動訊號204之時脈週期的過渡時間ts3處,DSPx將狀態S1之功率參數設定點發送至功率控制器 PWRS1x。類似地,在脈動訊號204之時脈週期的過渡時間ts1處,DSPx將狀態S2之功率參數設定點發送至功率控制器 PWRS2x。又,在脈動訊號204之時脈週期的過渡時間ts2處,DSPx將狀態S3之功率參數設定點發送至功率控制器 PWRS1x。在時脈週期的過渡時間ts3處,DSPx將狀態S1之頻率設定點發送至自動頻率調諧器AFTS1x。又,在時脈週期的過渡時間ts1處,DSPx將狀態S2之頻率設定點發送至自動頻率調諧器AFTS2x。又,在時脈週期的過渡時間ts2處,DSPx將狀態S3之頻率設定點發送至自動頻率調諧器AFTS3x。
在接收到狀態S1之功率參數設定點時,功率控制器 PWRS1x判斷對應至狀態S1之功率參數設定點的電流量。基於驅動器系統118在狀態S1期間欲產生的電流量,功率控制器 PWRS1x產生指令訊號並將指令訊號發送至驅動器系統118。針對狀態S1,驅動器系統118產生及發送具有電流量的電流訊號至RF電源Psx 以回應指令訊號之接收。RF電源Psx在接收到電流訊號時產生具有狀態S1之功率參數設定點的RF訊號,並藉由RF產生器RFGa之輸出及RF纜線124將RF訊號供給至IMN 104的輸入。在狀態S1期間RF產生器RFGa的RF電源Psx維持狀態S1之功率參數設定點。
類似地,在接收到狀態S2之功率參數設定點時,功率控制器 PWRS2x判斷對應至狀態S2之功率參數設定點的電流量。基於驅動器系統118在狀態S2期間欲產生的電流量,功率控制器 PWRS2x產生指令訊號並將指令訊號發送至驅動器系統118。針對狀態S2,驅動器系統118產生及發送具有電流量的電流訊號至RF電源Psx 以回應指令訊號之接收。RF電源Psx在接收到電流訊號時產生具有狀態S2之功率參數設定點的RF訊號,並藉由RF產生器RFGa之輸出及RF纜線124將RF訊號供給至IMN 104的輸入。在狀態S2期間RF產生器RFGa的RF電源Psx維持狀態S2之功率參數設定點。
又,在接收到狀態S2之功率參數設定點時,功率參數控制器 PWRS2x判斷對應至狀態S2之功率參數設定點的電流量。基於驅動器系統118在狀態S3期間欲產生的電流量,功率控制器 PWRS3x產生指令訊號並將指令訊號發送至驅動器系統118。針對狀態S3,驅動器系統118產生及發送具有電流量的電流訊號至RF電源Psx 以回應指令訊號之接收。RF電源Psx在接收到電流訊號時產生具有狀態S3之功率參數設定點的RF訊號,並藉由RF產生器RFGa之輸出及RF纜線124將RF訊號供給至IMN 104的輸入。在狀態S3期間RF產生器RFGa的RF電源Psx維持狀態S3之功率參數設定點。
又,在接收到狀態S1之頻率設定點時,自動頻率調諧器AFTS1x判斷對應至狀態S1之頻率設定點的電流量。基於驅動器系統118在狀態S1期間欲產生的電流量,自動頻率調諧器AFTS1x產生指令訊號並將指令訊號發送至驅動器系統118。針對狀態S1,驅動器系統118產生及發送具有電流量的電流訊號至RF電源Psx 以回應指令訊號之接收。RF電源Psx在接收到電流訊號時產生具有狀態S1之頻率設定點的RF訊號,並藉由RF產生器RFGa之輸出及RF纜線124將RF訊號供給至IMN 104的輸入。在狀態S1期間RF產生器RFGa 之RF電源Psx維持狀態S1之頻率設定點。具有狀態S1之功率參數設定點及狀態S1之頻率設定點的RF訊號為在狀態S1期間RF產生器RFGa產生的RF訊號。
類似地,在接收到狀態S2之頻率設定點時,自動頻率調諧器AFTS2x判斷對應至狀態S2之頻率設定點的電流量。基於驅動器系統118在狀態S2期間欲產生的電流量,自動頻率調諧器AFTS2x產生指令訊號並將指令訊號發送至驅動器系統118。針對狀態S2,驅動器系統118產生及發送具有電流量的電流訊號至RF電源Psx 以回應指令訊號之接收。RF電源Psx在接收到電流訊號時產生具有狀態S2之頻率設定點的RF訊號,並藉由RF產生器RFGa之輸出及RF纜線124將RF訊號供給至IMN 104的輸入。在狀態S2期間RF產生器RFGa 之RF電源Psx維持狀態S2之頻率設定點。具有狀態S2之功率參數設定點及狀態S2之頻率設定點的RF訊號為在狀態S2期間RF產生器RFGa 產生的RF訊號。
又,在接收到狀態S3之頻率設定點時,自動頻率調諧器AFTS3x判斷對應至狀態S3之頻率設定點的電流量。基於驅動器系統118在狀態S3期間欲產生的電流量,自動頻率調諧器AFTS3x產生指令訊號並將指令訊號發送至驅動器系統118。針對狀態S3,驅動器系統118產生及發送具有電流量的電流訊號至RF電源Psx 以回應指令訊號之接收。RF電源Psx在接收到電流訊號時產生具有狀態S3之頻率設定點的RF訊號,並藉由RF產生器RFGa之輸出及RF纜線124將RF訊號供給至IMN 104的輸入。在狀態S3期間RF產生器RFGa 之RF電源Psx維持狀態S3之頻率設定點。具有狀態S3之功率參數設定點及狀態S3之頻率設定點的RF訊號為在狀態S3期間RF產生器RFGa產生的RF訊號。
處理器132藉由纜線148利用經脈動之訊號202將指令發送至DSPy。藉由纜線148發送至DSPy的指令具有關於下列者的資訊:經脈動之訊號202、針對狀態S1欲施加至RF產生器RFGb的功率參數設定點、針對狀態S2欲施加至RF產生器RFGb的功率參數設定點、針對狀態S3欲施加至RF產生器RFGb的功率參數設定點、針對狀態S1欲施加至RF產生器RFGb的頻率參數設定點、針對狀態S2欲施加至RF產生器RFGb的頻率參數設定點、及針對狀態S3欲施加至RF產生器RFGb的頻率參數設定點。關於經脈動之訊號202的資訊對DSPy指示:RF產生器RFGb欲產生之RF訊號在脈動訊號204之週期的過渡時間ts1處自狀態S1轉變為狀態S2、RF訊號在週期的的過渡時間ts2處自狀態S2轉變為狀態S3、及RF訊號在週期的的過渡時間ts3處自狀態S3轉變為狀態S1。DSPy解析指令並自指令判斷:在經脈動之訊號202之狀態S1期間針對狀態S1欲施加之功率參數設定點、在經脈動之訊號202之狀態S2期間針對狀態S2欲施加之功率參數設定點、在經脈動之訊號202之狀態S3期間針對狀態S3欲施加之功率參數設定點、在經脈動之訊號202之狀態S1期間針對狀態S1欲施加之頻率設定點、在經脈動之訊號202之狀態S2期間針對狀態S2欲施加之頻率設定點、及在經脈動之訊號202之狀態S3期間針對狀態S3欲施加之頻率設定點。又,DSPx自指令判斷:RF產生器RFGb欲產生之RF訊號在時脈週期的過渡時間ts1處自狀態S1轉變為狀態S2、RF訊號在時脈週期的過渡時間ts2處自狀態S2轉變為狀態S3、及RF訊號在時脈週期的過渡時間ts3處自狀態S3轉變為狀態S1。
在脈動訊號204之時脈週期的過渡時間ts3處,DSPy將狀態S1之功率參數設定點發送至功率控制器 PWRS1y。類似地,在脈動訊號204之時脈週期的過渡時間ts1處,DSPy將狀態S2之功率參數設定點發送至功率控制器 PWRS2y。又,在脈動訊號204之時脈週期的過渡時間ts2處,DSPy將狀態S3之功率參數設定點發送至功率控制器 PWRS3y。又,在時脈週期的過渡時間ts3處,DSPy將狀態S1之頻率設定點發送至自動頻率調諧器AFTS1y。又,在時脈週期的過渡時間ts1處,DSPy將狀態S2之頻率設定點發送至自動頻率調諧器AFTS2y。又,在時脈週期的過渡時間ts2處,DSPy將狀態S3之頻率設定點發送至自動頻率調諧器AFTS3y。
在接收到狀態S1之功率參數設定點時,功率控制器 PWRS1y判斷對應至狀態S1之功率參數設定點的電流量。基於驅動器系統128在狀態S1期間欲產生的電流量,功率控制器 PWRS1y產生指令訊號並將指令訊號發送至驅動器系統128。針對狀態S1,驅動器系統128產生及發送具有電流量的電流訊號至RF電源Psy以回應指令訊號之接收。RF電源Psy在接收到電流訊號時產生具有狀態S1之功率參數設定點的RF訊號,並藉由RF產生器RFGb之輸出及RF纜線130將RF訊號供給至IMN 104的其他輸入。在狀態S1期間RF電源Psy維持狀態S1之功率參數設定點。
類似地,在接收到狀態S2之功率參數設定點時,功率參數控制器 PWRS2y判斷對應至狀態S2之功率參數設定點的電流量。基於驅動器系統128在狀態S2期間欲產生的電流量,功率參數控制器 PWRS2y產生指令訊號並將指令訊號發送至驅動器系統128。針對狀態S2,驅動器系統128產生及發送具有電流量的電流訊號至RF電源Psy以回應指令訊號之接收。RF電源Psy在接收到電流訊號時產生具有狀態S2之功率參數設定點的RF訊號,並藉由RF產生器RFGb之輸出及RF纜線130將RF訊號供給至IMN 104的其他輸入。在狀態S2期間RF電源Psy維持狀態S2之功率參數設定點。
又,在接收到狀態S3之功率參數設定點時,功率控制器 PWRS3y判斷對應至狀態S3之功率參數設定點的電流量。基於驅動器系統128在狀態S3期間欲產生的電流量,功率控制器 PWRS3y產生指令訊號並將指令訊號發送至驅動器系統128。針對狀態S3,驅動器系統128產生及發送具有電流量的電流訊號至RF電源Psy以回應指令訊號之接收。RF電源Psy在接收到電流訊號時產生具有狀態S3之功率參數設定點的RF訊號,並藉由RF產生器RFGb之輸出及RF纜線130將RF訊號供給至IMN 104的其他輸入。在狀態S3期間RF電源Psy維持狀態S3之功率參數設定點。
又,在接收到狀態S1之頻率設定點時,自動頻率調諧器AFTS1y判斷對應至狀態S1之頻率設定點的電流量。基於驅動器系統128在狀態S1期間欲產生的電流量,自動頻率調諧器AFTS1y產生指令訊號並將指令訊號發送至驅動器系統128。針對狀態S1,驅動器系統128產生及發送具有電流量的電流訊號至RF電源Psy以回應指令訊號之接收。RF電源Psy在接收到電流訊號時產生具有狀態S1之頻率設定點的RF訊號,並藉由RF產生器RFGb之輸出及RF纜線130將RF訊號供給至IMN 104的其他輸入。在狀態S1期間RF電源Psy維持狀態S1之頻率設定點。具有狀態S1之功率參數設定點及狀態S1之頻率設定點的RF訊號為在狀態S1期間RF產生器RFGb產生的RF 訊號。
類似地,在接收到狀態S2之頻率設定點時,自動頻率調諧器AFTS2y判斷對應至狀態S2之頻率設定點的電流量。基於驅動器系統128在狀態S2期間欲產生的電流量,自動頻率調諧器AFTS2y產生指令訊號並將指令訊號發送至驅動器系統128。針對狀態S2,驅動器系統128產生及發送具有電流量的電流訊號至RF電源Psy以回應指令訊號之接收。RF電源Psy在接收到電流訊號時產生具有狀態S2之頻率設定點的RF訊號,並藉由RF產生器RFGb之輸出及RF纜線130將RF訊號供給至IMN 104的其他輸入。在狀態S2期間RF電源Psy維持狀態S2之頻率設定點。具有狀態S2之功率參數設定點及狀態S2之頻率設定點的RF訊號為在狀態S2期間RF產生器RFGb產生之RF訊號。
又,在接收到狀態S3之頻率設定點時,自動頻率調諧器AFTS3y判斷對應至狀態S3之頻率設定點的電流量。基於驅動器系統128在狀態S3期間欲產生的電流量,自動頻率調諧器AFTS3y產生指令訊號並將指令訊號發送至驅動器系統128。針對狀態S3,驅動器系統128產生及發送具有電流量的電流訊號至RF電源Psy以回應指令訊號之接收。RF電源Psy在接收到電流訊號時產生具有狀態S3之頻率設定點的RF訊號,並藉由RF產生器RFGb之輸出及RF纜線130將RF訊號供給至IMN 104的其他輸入。在狀態S3期間RF電源Psy維持狀態S3之頻率設定點。具有狀態S3之功率參數設定點及狀態S3之頻率設定點的RF訊號為在狀態S3期間RF產生器RFGb產生之RF訊號。
IMN 104的輸入在輸出處藉由RF纜線124自RF產生器RFGa的輸出接收RF產生器RFGa所產生的RF訊號、在另他輸入處藉由RF纜線130自RF產生器RFGb的輸出接收 RF產生器RFGb所產生的RF訊號,並使耦合至IMN 104的輸出 之負載的阻抗與耦合至IMN 104的輸入的源的阻抗相匹配,以在IMN 104的輸出處產生經修改的RF訊號。經修改的RF訊號係藉由RF傳輸纜線126而發送至上電極106。當一或多種處理氣體被供給至上電極108與夾頭110之間時,經修改的RF訊號被供給至下電極120而輸出RF訊號被供給至夾頭110,然後一或多種處理氣體受到點燃而在電漿室108內產生電極或在電漿室108內維持電漿。
在各種實施例中,功率控制器PWRS1x、PWRS2x與PWRS3x及自動頻率調協器AFTS1x、AFTS2x與AFTS3x為模組如RF產生器RFGa之DSPx執行之電腦程式的部分等。
在數個實施例中,功率控制器PWRS1x、PWRS2x與PWRS3x以及自動頻率調協器AFTS1x、AFTS2x與AFTS3x為耦合至RF產生器RFGa之DSPx之積體電路的分離積體電路。例如,功率控制器PWRS1x為RF產生器RFGa的第一積體電路、功率控制器PWRS2x為RF產生器RFGa之第二積體電路、功率控制器PWRS3x為RF產生器RFGa之第三積體電路、自動頻率調諧器AFTS1x為 RF產生器RFGa之第四積體電路、自動頻率調諧器AFTS2x為RF產生器RFGa之第五積體電路、自動頻率調諧器AFTS3x 為RF產生器RFGa之第六積體電路、而DSPx為RF產生器RFGa之第七積體電路。RF產生器RFGa之第一至第六積體電路中的每一者係耦合至RF產生器RFGa的第七積體電路。
在某些實施例中,功率控制器PWRS1y、PWRS2y與PWRS3y以及自動頻率調協器AFTS1y、AFTS2y與AFTS3y為模組如RF產生器RFGb之DSPy執行之電腦程式的部分等。
在各種實施例中,功率控制器PWRS1y、PWRS2y及PWRS3y以及自動頻率調協器AFTS1y、AFTS2y及AFTS3y為耦合至RF產生器RFGb之DSPy之積體電路的分離積體電路。例如,功率控制器PWRS1y為RF產生器RFGb之第一積體電路、功率控制器PWRS2y為RF產生器RFGb之第二積體電路、功率控制器PWRS3y為RF產生器RFGb之第三積體電路、自動頻率調諧器AFTS1y 為RF產生器RFGb之第四積體電路、自動頻率調諧器AFTS2y為RF產生器RFGb之第五積體電路、自動頻率調諧器AFTS3y為RF產生器RFGb之第六積體電路丁DSPy為RF產生器RFGb之第七積體電路。RF產生器RFGb之第一至第六積體電路之每一者係耦合至RF產生器RFGb之第七積體電路。
在某些實施例中,RF訊號之狀態S3的實例包含狀態S3之功率參數設定點及狀態S3之頻率設定點。狀態S3之功率參數設定點為操作性的功率參數設定點,操作性的功率參數設定點為功率參數位準如狀態S3期間RF訊號之功率量或電壓量的包絡或零至峰值大小。狀態S3之頻率設定點為操作性的頻率設定點,操作性的頻率設定點為頻率位準如狀態S3期間RF訊號之頻率值的包絡或零至峰值大小。
在各種實施例中,脈動訊號204係由處理器132所產生或由時脈源所產生,其實例係如上文所提供。在某些實施例中,脈動訊號204係藉由纜線146自處理器132發送至RF產生器RFGa 的DSPx並藉由纜線148發送至RF產生器RFGb 的DSPy。
在某些實施例中,經脈動之訊號202係自主RF產生器發送至從RF產生器如RF產生器RFGb,而非自處理器132發送至RF產生器RFGa與RFGb。主RF產生器的實例包含RF產生器RFGa。例如,RF產生器RFGa之數位訊號處理DSPx自處理器132接收經脈動之訊號202並藉由纜線如平行傳輸纜線、序列傳輸纜線、或USB纜線將經脈動之訊號202發送至RF產生器RFGb的數位訊號處理DSPy。
圖2B之圖210、212及214之實施例圖例示RF產生器RFGa 產生及供給之RF訊號如RF訊號216A以及RF產生器RFGb之產生及供給之RF訊號如RF訊號216B的狀態S1、S2及S3。圖210繪示脈動訊號204之邏輯位準對時間t的關係。 類似地,圖212繪示經脈動之訊號202之邏輯位準對時間t的關係。
時間期間t1為在脈動訊號204之時脈週期內RF產生器RFGa與RFGb所產生之RF訊號維持狀態S1的時間期間。類似地,時間期間t2為在脈動訊號204之時脈週期內RF產生器RFGa與RFGb所產生之RF訊號維持狀態S2的時間期間。又,時間期間t3為在脈動訊號204之時脈週期內RF產生器RFGa與RFGb所產生之RF訊號維持狀態S3的時間期間。例如,時間期間t1佔據時脈週期的一部分、時間期間t2佔據時脈週期的另一部分而時間期間t3佔據時脈週期的剩餘部分。脈動訊號204之時脈週期係由時間期間t1至t3所構成且重覆以產生脈動訊號204的多個時脈週期。
在時間期間t1期間,經脈動之訊號202自邏輯位準1脈動至邏輯位準零。邏輯位準1為高邏輯位準之實例而邏輯位準 0為低邏輯位準之實例。在時間期間t1期間,RF產生器RFGa與RFGb所產生之RF訊號受到控制而維持狀態S1。
在時脈週期之過渡時間ts1處,經脈動之訊號202自邏輯位準 0轉變為邏輯位準 1,RF產生器RFGa與RFGb所產生之RF訊號係受到控制以自狀態S1轉變至狀態S2。過渡時間ts1發生在時間期間t1之後。
時間期間t2發生在過渡時間ts1之後。在時間期間t2期間,經脈動之訊號202自邏輯位準 1脈動至邏輯位準 0。又,在時間期間t2期間,RF產生器RFGa與RFGb所產生之RF訊號係受到控制以維持狀態S2。
在時脈週期之過渡時間ts2處,經脈動之訊號202自邏輯位準 0轉變至邏輯位準 1,RF產生器RFGa與RFGb所產生之RF訊號係受到控制以自狀態S2轉變至狀態S3。過渡時間ts2發生在時間期間t2之後。
時間期間t3發生在過渡時間ts2之後。在時間期間t3期間,經脈動之訊號202自邏輯位準 1脈動至邏輯位準 0。又,在時間期間t3期間,RF產生器RFGa與RFGb所產生之RF訊號係受到控制以維持狀態S3。
在時脈週期之過渡時間ts3處,經脈動之訊號102自邏輯位準 0轉變至邏輯位準 1,RF產生器RFGa與RFGb所產生之RF訊號係受到控制以自狀態S3轉變至狀態S1。過渡時間ts3發生在時間期間t3之後,在脈動訊號204之的連續時脈週期期間,時間期間t1在過渡時間ts3之後重覆。在脈動訊號204之連續時脈週期期間的時間期間t1後,接著是脈動訊號204之連續時脈週期的時間期間t2與t3。脈動訊號204之連續時脈週期是連貫的如連續地或依序地接在脈動訊號204之時脈週期之後。過渡時間ts1至ts3及時間期間t1至t3針對連續時脈週期重覆。又,針對在連續週期之後重覆之脈動訊號204的後續週期,過渡時間ts1至ts3及時間期間t1至t3重覆。
RF訊號216A與216B之狀態S1至S3與脈動訊號204的每一週期同步重覆。例如,RF訊號216A的狀態S1至S3在脈動訊號204之時脈週期內發生且RF訊號216A的狀態S1至S3在脈動訊號204之連續時脈週期內重覆。又例如,RF訊號216B的狀態S1至S3在脈動訊號204之時脈週期內發生且RF訊號216B的狀態S1至S3在脈動訊號204之連續時脈週期內重覆。
RF訊號216A在狀態S1期間具有頻率位準f1x且在狀態S1期間具有功率參數位準P1x。又,RF訊號216B在狀態S1期間具有零頻率位準f1y且在狀態S1期間具有零功率參數位準P1y。
類似地,RF訊號216A在狀態S2期間具有頻率位準f2x且在狀態S2期間具有功率參數位準P2x。頻率位準f2x係與頻率位準f1x相同而功率參數位準P2x係與功率參數位準P1x相同。又,RF訊號216B在狀態S2期間具有頻率位準f2y且在狀態S2期間具有功率參數位準P2y。功率參數位準P2y係與功率參數位準P2x相同。頻率位準f2y係高於頻率位準f2x。
類似地,RF訊號216A在狀態S3期間具有零頻率位準f3x且在狀態S3期間具有零功率參數位準P3x。又,RF訊號216B在狀態S3期間具有頻率位準f3y且在狀態S3期間具有功率參數位準P3y。頻率位準f3y係低於頻率位準f2y但高於頻率位準f2x。又,功率參數位準P3y係與功率參數位準P2y相同。
應注意,如圖214中所示,功率參數位準P1x、P2x、P2y及P3y皆非零。類似地,如圖214中所示,頻率位準f1x、f2x、f2y及f3y皆非零。
在某些實施例中,功率參數位準P2y與P3y不同於如低於或高於功率參數位準P1x與P2x。
在各種實施例中,頻率位準f3y係高於頻率位準f2y。在數個實施例中,頻率位準f3y係與頻率位準f2y相同。在某些實施例中,功率參數位準P2x係不同於如高於或低於功率參數位準P1x。在各種實施例中,功率參數位準P2y係不同於如高於或低於功率參數位準P3y。
在某些實施例中,頻率位準f2x係不同於如高於或低於頻率位準f1x。在各種實施例中,頻率位準f1x與功率參數位準P1x皆為零。在某些實施例中,頻率位準f2x與功率參數位準P2x皆為零。在數個實施例中,頻率位準f3y 與功率參數位準P3y皆為零。在某些實施例中,頻率位準f2y與功率參數位準P2y皆為零。
在某些實施例中,RF訊號216A或RF訊號216B的狀態S1至S3中的每一者皆具有三分之一工作週期。在數個實施例中, RF訊號如RF訊號216A 或RF訊號216B之狀態S1至S3中的每一者的工作週期皆不同於RF訊號之狀態S1至S3中之剩餘者中之任何者的工作週期。例如,RF訊號之狀態S1具有a%工作週期、RF訊號之狀態S2具有工作週期b%而RF訊號之狀態S3具有工作週期(100-a-b)%。例如,RF訊號之狀態S1的工作週期係不同於RF訊號之狀態S2的工作週期。又例如,RF訊號之狀態S1的工作週期係不同於RF訊號之狀態S2的工作週期且RF訊號之狀態S1的工作週期係與RF訊號之狀態S3的工作週期相同。又例如,RF訊號之狀態S1的工作週期係不同於RF訊號之狀態S3的工作週期。又更例如,RF訊號之狀態S1的工作週期係不同於RF訊號之狀態S3的工作週期且RF訊號之狀態S1的工作週期係與RF訊號之狀態S2的工作週期。又更另例如,RF訊號之狀態S2的工作週期係不同於RF訊號之狀態S3的工作週期。又例如,RF訊號之狀態S2的工作週期係不同於RF訊號之狀態S3的工作週期且RF訊號之狀態S2的工作週期係與RF訊號之狀態S1的工作週期相同。
應注意, RF產生器RFGa在狀態S2期間係受到控制以在頻率位準f2x下操作。在狀態S2期間RF產生器RFGa所產生之RF訊號的功率參數被添加至在狀態S3期間RF產生器RFGb所產生之RF訊號的功率參數。形成在電漿室108內之電漿的電漿鞘123具有電容器的功能,在狀態S2期間電容器自與頻率位準fx2相關的功率參數位準Px2充電並在狀態S3期間放電。添加功率參數及電容器的放電增加在狀態S3期間電漿室108內電漿之離子的離子能量並減少狀態S3期間離子能量的角分散。例如,在狀態S3期間電漿室108內電漿的垂直方向性會隨著狀態S3期間功率參數的添加而增加。
圖2C之圖210、212及218之實施例圖例示RF產生器RFGa 產生及供給之RF訊號如RF訊號220A以及RF產生器RFGb之產生及供給之RF訊號如RF訊號220B的狀態S1、S2及S3。
RF訊號220A與220B之狀態S1至S3與脈動訊號204的每一週期同步重覆。例如,RF訊號220A的狀態S1至S3在脈動訊號204之時脈週期內發生且RF訊號220A的狀態S1至S3在脈動訊號204之連續時脈週期內重覆。又例如,RF訊號220B的狀態S1至S3在脈動訊號204之時脈週期內發生且RF訊號220B的狀態S1至S3在脈動訊號204之連續時脈週期內重覆。
RF訊號220A在狀態S1期間具有零頻率位準f1x且在狀態S1期間具有零功率參數位準P1x。又,RF訊號220B在狀態S1期間具有零頻率位準f1y且在狀態S1期間具有零功率參數位準P1y。
類似地,RF訊號220A在狀態S2期間具有頻率位準f2x且在狀態S2期間具有功率參數位準P2x。又,RF訊號220B在狀態S2期間具有頻率位準f2y且在狀態S2期間具有功率參數位準P2y。功率參數位準P2y係與功率參數位準P2x相同且頻率位準f2y係高於頻率位準f2x。
類似地,RF訊號220A在狀態S3期間具有頻率位準f3x且在狀態S3期間具有功率參數位準P3x。頻率位準f3x係高於頻率位準f2x且功率參數位準P3x係等於功率參數位準P2x。又,RF訊號220B在狀態S3期間具有頻率位準f3y且在狀態S3期間具有功率參數位準P3y。頻率位準f3y係低於頻率位準f2y。又,功率參數位準P3y係與功率參數位準P2y相同。又,頻率位準f3x係高於頻率位準f2x。
在某些實施例中,功率參數位準P2y與P3y係不同於如低於或高於功率參數位準P2x與P3x。
在各種實施例中,頻率位準f3x係與頻率位準f2x相同。在數個實施例中,頻率位準f3x係低於頻率位準f2x。在各種實施例中,頻率位準f3y係高於頻率位準f2y。在數個實施例中,頻率位準f3y係與頻率位準f2y相同。
在某些實施例中,功率參數位準P2x係不同於如高於或低於功率參數位準P3x。在各種實施例中,功率參數位準P2y係不同於如高於或低於功率參數位準P3y。
在某些實施例中,頻率位準f2x與功率參數位準P2x為零。在各種實施例中,頻率位準f3x與功率參數位準P3x為零。在某些實施例中,頻率位準f2y與功率參數位準P2y為零。在數個實施例中,頻率位準f3y與功率參數位準P3y為零。
在某些實施例中,RF訊號220A或RF訊號220B之狀態S1至S3中的每一者皆具有三分之一的工作週期。在數個實施例中,RF訊號如RF訊號220A或RF訊號220B之狀態S1至S3中的每一者所具有的工作週期係不同於RF訊號之狀態S1至S3之任何剩餘者的工作週期。例如,RF訊號的的狀態S1具有工作週期a%、RF訊號的狀態S2具有工作週期b%、RF訊號的狀態S3具有工作週期(100-a-b)%。
應注意, RF產生器RFGa在狀態S2期間係受到控制以在頻率位準f2x下操作。在狀態S2期間RF產生器RFGa所產生之RF訊號的功率參數被添加至在狀態S3期間RF產生器RFGb所產生之RF訊號的功率參數。形成在電漿室108內之電漿的電漿鞘123具有電容器的功能,在狀態S2期間電容器自與頻率位準fx2相關的功率參數位準Px2充電並在狀態S3期間放電。添加功率參數及電容器的放電增加在狀態S3期間電漿室108內電漿之離子的離子能量並減少狀態S3期間離子能量的角分散。例如,在狀態S3期間電漿室108內電漿的垂直方向性會隨著狀態S3期間功率參數的添加而增加。
應注意,如圖218中所示,功率參數位準P2x、P3x、P2y、及P3y皆非零。又,如圖218中所示,頻率位準f2x、f3x、f2y、及f3y皆非零。
圖2D之圖210、212及222之實施例圖例示RF產生器RFGa 產生及供給之RF訊號如RF訊號224A以及RF產生器RFGb之產生及供給之RF訊號如RF訊號224B的狀態S1、S2及S3。
RF訊號224A與224B的狀態S1至S3與脈動訊號204的每一週期同步重覆。例如,RF訊號224A的狀態S1至S3在脈動訊號204之時脈週期內發生且RF訊號224A的狀態S1至S3在脈動訊號204之連續時脈週期內重覆。又例如,RF訊號224B的狀態S1至S3在脈動訊號204之時脈週期內發生且RF訊號224B的狀態S1至S3在脈動訊號204之連續時脈週期內重覆。
RF訊號224A在狀態S1期間具有零頻率位準f1x且在狀態S1期間具有零功率參數位準P1x。又,RF訊號224B在狀態S1期間具有零頻率位準f1y且在狀態S1期間具有零功率參數位準P1y。
類似地,RF訊號224A在狀態S2期間具有頻率位準f2x且在狀態S2期間具有零功率參數位準P2x。又,RF訊號224B在狀態S2期間具有頻率位準f2y且在狀態S2期間具有功率參數位準P2y 。RF訊號224B的頻率位準f2y係大於RF訊號224A在狀態S2期間之頻率位準f2x,RF訊號224B之功率參數位準P2y係等於RF訊號224A在狀態S2期間之功率參數位準P2x。
類似地,RF訊號224A在狀態S3期間具有零頻率位準f3x且在狀態S3期間具有零功率參數位準P3x。又,RF訊號224B在狀態S3期間具有頻率位準f3y且在狀態S3期間具有功率參數位準P3y。RF訊號224B在狀態S3期間的頻率位準f3y係與RF訊號224B在狀態S2期間的頻率位準f2y相同。又,RF訊號224B在狀態S3期間的功率參數位準P3y係與RF訊號224B在狀態S2期間的功率參數位準P2y相同。
在某些實施例中,功率參數位準P2y與P3y係不同於如低於或高於功率參數位準P2x。
在各種實施例中,頻率位準f3y係不同於如高於或低於頻率位準f2y。在數個實施例中,頻率位準f2y與功率參數位準P2y為零。在各種實施例中,頻率位準f3y與功率參數位準P3y為零。
在某些實施例中,RF訊號224A或RF訊號224B的狀態S1至S3中的每一者皆具有三分之一工作週期。在數個實施例中,RF訊號如RF訊號224A 或RF訊號224B之狀態S1至S3中的每一者的工作週期皆不同於RF訊號之狀態S1至S3中之剩餘者中之任何者的工作週期。例如,RF訊號之狀態S1具有a%工作週期、RF訊號之狀態S2具有工作週期b%而RF訊號之狀態S3具有工作週期(100-a-b)%,其中a為不同於b之整數。
應注意, RF產生器RFGa在狀態S2期間係受到控制以在頻率位準f2x下操作。在狀態S2期間RF產生器RFGa所產生之RF訊號的功率參數被添加至在狀態S3期間RF產生器RFGb所產生之RF訊號的功率參數。形成在電漿室108內之電漿的電漿鞘223具有電容器的功能,在狀態S2期間電容器自與頻率位準fx2相關的功率參數位準Px2充電並在狀態S3期間放電。添加功率參數及電容器的放電增加在狀態S3期間電漿室108內電漿之離子的離子能量並減少狀態S3期間離子能量的角分散。例如,在狀態S3期間電漿室108內電漿的垂直方向性會隨著狀態S3期間功率參數的添加而增加。
應注意, 如圖222中所示,功率參數位準P2x、P2y、及P3y皆非零。又,如圖222中所示,頻率位準f2x、f2y、及f3y皆非零。
圖3之圖302a與302b之實施例圖例示,入射於基板122之表面上如入射至基板122之通道之表面上之電漿離子的峰值能量會隨著頻率受到脈動之RF產生器如RF產生器RFGx或RF產生器RFGa所產生之RF訊號之頻率位準的脈動而增加。圖302a與302b中的每一者繪示IEAD,IEAD繪示以電子伏特(eV)作為單位量測到之電漿離子的能量對以度作為單位量測到之橫跨形成在基板122內之通道的角度西塔。圖302a 繪示在RF產生器之頻率位準受到脈動如操作在連續波(CW)模式下時的能量。圖302b繪示當使用頻率受到脈動之RF產生器時的能量。應注意,當RF產生器RFGx或RFGa之頻率位準在多個狀態之間脈動時電漿室108內之電漿之電漿離子之峰值離子能量比使用CW模式RF產生器時電漿離子的峰值離子能量更高。又,當RF產生器RFGx或RFGa之頻率位準在多個狀態之間脈動時橫跨通道之電漿離子的角分散比使用CW模式RF產生器時電漿離子的角分散更低。更應注意,如圖302a與302b中所示,不論使用頻率受到脈動之RF產生器或CW模式RF產生器,RF偏壓產生器系統114所供給之偏壓的量皆相同如300伏。峰值離子能量的增加及角分散的減少會增加蝕刻基板122的蝕刻率且毋須增加偏壓來增加蝕刻率。例如,當脈動RF產生器RFGx或RFGa之頻率位準時,RF偏壓產生器系統114所產生及供給之一或多個RF訊號的偏壓為常量。又例如,當脈動RF產生器RFGx或RFGa之頻率位準時,RF偏壓產生器系統114所產生及供給之一或多個RF訊號的偏壓為實質上常量如落在預定閾值內、落在預定值的5-10%內。
圖4之圖400之實施例圖例示電漿離子之角分佈隨著RF偏壓產生器系統114所供給之偏壓的增加而減少。圖400繪示以度為單位量測所得之角分佈對偏壓的關係。如同證據所示,當偏壓自200伏增加至1600伏時,角分散分佈減少而蝕刻率增加。角分佈有時在文中被稱為角分散。
在蝕刻期間,針對快速蝕刻增加偏壓。由於峰值離子能量隨著偏壓增加而增加且電漿離子的角分散隨著偏壓增加而減少,經增加之偏壓以較快速度蝕刻基板122中之高深寬比特徵部但仍維持近垂直的輪廓如相當不錯的關鍵尺寸。然而,經增加的偏壓會窄化角分散,窄化角分散會增加基板122之上部之遮罩層的腐蝕。又,偏壓之增加會在硬體實施上產生複雜度。又,超出預定偏壓量時如高於5千伏時,由於電漿鞘123的高厚度,因此角分散不會變得更緊密。
應注意, 在一實施例中,RF產生器系統114所供給之偏壓量係低於5千伏。
圖5之圖500之實施例圖例示角分散,其係藉由脈動RF產生器RFGx或RFGy或RFGa或RFGb或其組合之一或多個頻率位準所達到且與利用偏壓增加所達到之角分散相當。針對相同的偏壓,耦合至上電極106之RF產生器 RFGx或RFGy或RFGa或RFGb或其組合之一或多個頻率位準受到脈動時所到得之角分散係低於操作在CW模式下之RF產生器所得到之角分散。角分散的減少能增加蝕刻基板122之蝕刻率。當RF產生器RFGx或RFGy或RFGa或RFGb或其組合之一或多個頻率位準受到脈動時,毋須增加偏壓。
圖6之圖602A與圖602B的實施例圖例示形成在基板122內之通道之關鍵尺寸(CD)之間的差異。圖602A繪示相較於以奈米為單位之通道寬度之以奈米(nm)為單位之通道高度。圖602A所示之通道的關鍵尺寸為22.2。圖602A之關鍵尺寸係於使用CW模式之RF產生器而非RFGx或RFGy或RFGa或RFGb或其組合時所達到。圖602B繪示相較於以奈米為單位之基板122之通道寬度之以奈米為單位之基板122 的通道高度。圖602B所示之關鍵尺寸為20.1 nm 。相較於圖602A中的關鍵尺寸,圖602B中的下關鍵尺寸係於RFGx或RFGy或RFGa或RFGb或其組合之一或多個之頻率位準受到脈動時所達到。下關鍵尺寸係於下列情況下達到:電漿室108內之電漿之電漿離子之垂直方向性因為電漿離子之角分散的減少而增加。當增加垂直方向性而增加蝕刻率時,電漿離子更聚焦於基板122之通道之下表面上。
圖7A為用以達到具有低的角分散的峰值離子能量增強之電漿設備700之一實施例之方塊圖。電漿設備700包含RF產生器 RFGx1、主機電腦116、IMN 104、電漿室108、IMN 112、及RF偏壓產生器系統114。RF產生器RFGx1的實例包含低頻RF產生器如400 kHz RF產生器、或2 MHz RF產生器、或13.56 MHz RF產生器。RF產生器RFGx1的其他實例包含高頻產生器如13.56 MHz RF產生器、或27 MHz RF產生器、或60 MHz RF產生器。
RF產生器RFGx1包含數位訊號處理DSPx、功率參數控制器 PWRS1x、另一功率參數控制器 PWRS2x、自動頻率調協器AFTx1、RF電源Psx、及驅動器系統118。
DSPx係耦合至功率參數控制器PWRS1x與PWRS2x並耦合至自動頻率調諧器AFTx1。又,功率參數控制器PWRS1x與PWRS2x及自動頻率調諧器AFTx1係耦合至驅動器系統118。RF電源Psx係藉由RF產生器RFGx1之輸出而耦合至RF纜線124。
處理器132自記憶體裝置134接取配方。配方的實例包含針對狀態S1欲施加至RF產生器RFGx1的功率參數設定點、針對狀態S2欲施加至RF產生器RFGx1的功率參數設定點、針對狀態S1與S2欲施加至RF產生器RFGx1的頻率設定點、一或多種處理氣體的化學特性、及其組合。
處理器132藉由纜線136利用經脈動之訊號102將指令發送至RF產生器RFGx1的DSPx。藉由纜線136發送至RF產生器RFGx1的DSPx的指令具有關於下列者的資訊:經脈動之訊號102、針對狀態S1欲施加至RF產生器RFGx1的功率參數設定點、針對狀態S2欲施加至RF產生器RFGx1的功率參數設定點、針對狀態S1與S2欲施加至RF產生器RFGx1的頻率設定點。關於經脈動之訊號102的資訊對RF產生器RFGx1的DSPx指示:RF產生器RFGx1欲產生之RF訊號在經脈動之訊號102的過渡時間tst1處自狀態S1轉變為狀態S2及RF訊號在經脈動之訊號102的過渡時間tst2處自狀態S2轉變為狀態S1 。RF產生器RFGx1的DSPx自指令判斷:在經脈動之訊號102之狀態S1期間針對狀態S1欲施加之功率參數設定點、在經脈動之訊號102之狀態S2期間針對狀態S2欲施加之功率參數設定點、在經脈動之訊號102之狀態S1與S2期間針對狀態S1與S2欲施加之頻率設定點。又,RF產生器RFGx1的DSPx自指令及經脈動之訊號102判斷:RF產生器RFGx1欲產生之RF訊號在經脈動之訊號102的過渡時間tst1處自狀態S1轉變為狀態S2及RF訊號在經脈動之訊號102的過渡時間tst2處自狀態S2轉變為狀態S1。
在經脈動之訊號102之週期的過渡時間tst2處,RF產生器RFGx1之DSPx將狀態S1之功率參數設定點發送至功率參數控制器PWRS1x。類似地,在經脈動之訊號102之週期的過渡時間tst1處,DSPx將狀態S2之功率參數設定點發送至功率參數控制器PWRS2x。又,在經脈動之訊號102之週期的過渡時間tst1或tst2處,DSPx將狀態S1與S2之頻率設定點發送至自動頻率調諧器AFTx1。
在接收到狀態S1之功率參數設定點時,RF產生器RFGx1的功率參數控制器PWRS1x判斷對應至狀態S1之功率參數設定點的電流量。基於RF產生器RFGx1的驅動器系統118在狀態S1期間欲產生的電流量,RF產生器RFGx1的功率參數控制器 PWRS1x產生指令訊號並將指令訊號發送至驅動器系統118。針對狀態S1,RF產生器RFGx1的驅動器系統118產生及發送具有電流量的電流訊號至RF電源Psx以回應指令訊號之接收。RF產生器RFGx1的RF電源Psx在接收到電流訊號時產生具有狀態S1之功率參數設定點的RF訊號,並藉由RF產生器RFGx之輸出及RF纜線124將RF訊號供給至IMN 104的輸入。在狀態S1期間RF產生器RFGx1的RF電源Psx維持狀態S1之功率參數設定點。
類似地,在接收到狀態S2之功率參數設定點時,RF產生器RFGx1的功率參數控制器PWRS2x判斷對應至狀態S2之功率參數設定點的電流量。基於RF產生器RFGx1之驅動器系統118在狀態S2期間欲產生的電流量,RF產生器RFGx1之功率參數控制器 PWRS2x產生指令訊號並將指令訊號發送至驅動器系統118。針對狀態S2,RF產生器RFGx1之驅動器系統118產生及發送具有電流量的電流訊號至RF電源Psx以回應指令訊號之接收。RF產生器RFGx1之RF電源Psx在接收到電流訊號時產生具有狀態S2之功率參數設定點的RF訊號,並藉由RF產生器RFGx之輸出1及RF纜線124將RF訊號供給至IMN 104的輸入。在狀態S2期間RF產生器RFGx1的RF電源Psx維持狀態S2之功率參數設定點。
又,在接收到狀態S1與S2之頻率設定點時,RF產生器RFGx1的自動頻率調諧器AFTx1判斷對應至狀態S1之頻率設定點的電流量。基於驅動器系統118在狀態S1與S2期間欲產生的電流量,自動頻率調諧器AFTx1產生指令訊號並將指令訊號發送至RF產生器RFGx1的驅動器系統118。針對狀態S1與S2,RF產生器RFGx1之驅動器系統118產生及發送具有電流量的電流訊號至RF產生器RFGx1的RF電源Psx以回應指令訊號之接收。RF產生器RFGx1的RF電源Psx在接收到電流訊號時產生具有狀態S1之頻率設定點的RF訊號,並藉由RF產生器RFGx之輸出1及RF纜線124將RF訊號供給至IMN 104的輸入。在狀態S1與S2期間RF產生器RFGx1之RF電源Psx維持狀態S1與S2之頻率設定點。具有狀態S1之功率參數設定點及狀態S1之頻率設定點的RF訊號為在狀態S1期間RF產生器RFGx1產生的RF訊號。類似地,具有狀態S2之功率參數設定點及狀態S2之頻率設定點的RF訊號為在狀態S2期間RF產生器RFGx1產生的RF訊號。
IMN 104的輸入藉由RF纜線124自RF產生器RFGx的輸出1接收由RF產生器RFGx1之RF電源Psx所產生的RF訊號,然後使耦合至IMN 104的輸出之負載的阻抗與耦合至IMN 104的輸入的源的阻抗相匹配,以在IMN 104的輸出處產生輸出RF訊號。耦合至IMN 104的輸入的源的實例包含RF纜線124 及RF產生器RFGx1。經修改的RF訊號係藉由RF傳輸纜線126而發送至上電極106如TCP線圈的端點E1。
當一或多種處理氣體被供給至上電極106 與夾頭110之間時,經修改的RF訊號被供給至上電極106而輸出RF訊號被供給至夾頭110,點燃一或多種處理氣體以在電漿室108內產生或維持電漿。
在各種實施例中,功率參數控制器PWRS1x與PWRS2x及自動頻率調諧器AFTx1為RF產生器RFGx1之DSPx所執行之電腦程式的模組如部分等。
在數個實施例中,功率參數控制器PWRS1x與PWRS2x及自動頻率調諧器AFTx1為耦合至RF產生器RFGx1之DSPx之積體電路的分離積體電路。例如,功率參數控制器 PWRS1x為RF產生器RFGx1之第一積體電路、功率參數控制器 PWRS2x為RF產生器RFGx1之第二積體電路、自動頻率調諧器AFTx1為RF產生器RFGx1之第三積體電路、及DSPx為RF產生器RFGx1之第四積體電路。RF產生器RFGx1之第一至第三積體電路中的每一者係耦合至RF產生器RFGx1的第四積體電路。
在各種實施例中,兩個RF產生器係耦合至IMN 104。例如,RF產生器RFGy係藉由RF纜線130而耦合至IMN 104的其他輸入。IMN 104結合自RF產生器RFGx1與RF產生器RFGy所接收的RF訊號,並使耦合至IMN 104的輸出之負載的阻抗與源如RF產生器RFGx1、RF產生器RFGy、RF纜線124、及RF纜線130等的阻抗相匹配,以在IMN 104的輸出處產生經修改的RF訊號。
圖7B為圖140、圖710、及圖712的實施例圖,其例示圖7A之RF產生器RFGx1所產生之RF訊號之功率參數的脈動。圖710繪示RF產生器RFGx1所產生之RF訊號如RF訊號714之功率參數位準對時間t的關係。類似地,圖712繪示RF產生器RFGx1所產生之RF訊號如RF訊號716之功率參數位準對時間t的關係。
參考圖140與710,在狀態S1期間RF訊號714具有功率參數位準Px1與頻率位準fx1。又,在過渡時間tst1處,RF訊號714自轉變至狀態S1 狀態S2。在狀態S2期間,RF訊號714具有零功率參數位準及零頻率位準。在過渡時間tst2處,RF訊號714自狀態S2轉變回狀態S1。
應注意,RF訊號714之狀態S1的工作週期係與RF訊號714之狀態S2的工作週期相同。例如,R狀態S1的工作週期為50%而狀態S2的工作週期為50%。RF訊號714之狀態S1佔據經脈動之訊號102之週期的50% 而RF訊號714之狀態S2佔據經脈動之訊號102之週期的剩餘50%。
在各種實施例中,RF訊號714之狀態S1的工作週期係不同於RF訊號714之狀態S2的工作週期。例如,狀態S1的工作週期為25%而狀態S2的工作週期為75%。RF訊號714之狀態S1佔據經脈動之訊號102之週期的25%而RF訊號714之狀態S2佔據經脈動之訊號102之週期的剩餘75%. 又例如,狀態S1的工作週期為a%而狀態S2的工作週期為(100-a)%。RF訊號714之狀態S1佔據經脈動之訊號102之週期的a%而RF訊號714之狀態S2佔據經脈動之訊號102之週期的剩餘(100-a)%。
應注意,如圖710中所示,功率參數位準Px1與頻率位準fx1皆非零。
除了RF訊號714與716在狀態S2期間具有不同的功率參數位準之外,圖712係類似於圖710。例如,RF訊號714在狀態S2期間具有零功率參數位準而RF訊號716在狀態S2期間具有功率參數位準Px2。又,RF訊號716在狀態S2期間具有頻率位準fx2且狀態S2期間的頻率位準fx2係與RF訊號716 在狀態S1期間的頻率位準fx1相同。RF訊號716在狀態S1期間具有功率參數位準Px1。
參考圖140與712,RF訊號716之狀態S1係與RF訊號714之狀態S1相同。例如,在狀態S1期間RF訊號716之功率參數位準Px1係與RF訊號714之功率參數位準Px1相同。又,在狀態S1期間RF訊號716之頻率位準fx1係與 RF訊號714之頻率位準fx1相同。
又,在過渡時間tst1處,RF訊號716自狀態S1轉變為狀態S2。在狀態S2期間RF訊號716之功率參數位準Px2係大於狀態S1期間RF訊號714的零功率參數位準Px1但低於狀態S1期間RF訊號716之功率參數位準Px1。在過渡時間tst2處,RF訊號716 自狀態S2轉變回狀態S1。
應注意,如圖712中所示,功率參數位準Px1與Px2及頻率位準fx1與fx2皆非零。
應注意,RF訊號716之狀態S1的工作週期係與RF訊號716之狀態S2的工作週期相同。例如,RF訊號716之狀態S1的工作週期為50%而RF訊號716之狀態S2的工作週期為50%。RF訊號716之狀態S1佔據經脈動之訊號102之週期的50%而RF訊號716之狀態S2佔據經脈動之訊號102之週期的剩餘50%。
在各種實施例中,RF訊號716之狀態S1的工作週期係不同於RF訊號716之狀態S2的工作週期。例如,RF訊號716之狀態S1的工作週期為25%而RF訊號716之狀態S2的工作週期為75%。RF訊號716之狀態S1佔據經脈動之訊號102之週期的25%而RF訊號716之狀態S2佔據經脈動之訊號102之週期的剩餘75%。又例如,RF訊號716之狀態S1的工作週期為a%而RF訊號716之狀態S2的工作週期為(100-a)%。RF訊號716之狀態S1佔據經脈動之訊號102之週期的a%而RF訊號716之狀態S2佔據經脈動之訊號102之週期的剩餘(100-a)%。
應注意, 在狀態S2期間RF產生器RFGx1 係受到控制以在功率參數位準Px2下操作。RF產生器RFGx1在狀態S2期間所產生之RF訊號的功率參數係添加至RF產生器RFGx1在狀態S1期間所產生之RF訊號的功率參數。形成在電漿室108內的電漿鞘123具有電容器的功能,在狀態S2期間電容器自與頻率位準fx2相關的功率參數位準Px2充電並在狀態S1期間放電。添加功率參數及電容器的放電增加在狀態S1期間電漿室108內電漿之離子的離子能量並減少狀態S1期間離子能量的角分散。例如,在狀態S1期間電漿室108內電漿的垂直方向性會隨著狀態S1期間功率參數的添加而增加。
圖8為圖800、802、804及806之實施例圖,其例示電漿離子之垂直方向性隨著偏壓增加而增加。圖800、802、804及806每一者繪示電漿離子之能量對量測到之橫跨形成在基板122內之通道的角度的關係。如所示,電漿室108內電漿之峰值離子能量隨著RF偏壓產生器系統114所供給之偏壓的增加而增加。橫跨通道之電漿離子之角分散隨著峰值離子能量的增加而減少,電漿離子之垂直方向性隨著峰值離子能量的增加而增加。
圖9為圖902與904之實施例圖,其例示入射至基板122表面上之電漿離子的峰值能量隨著功率參數脈動RF產生器(如RF產生器RFGx或RFGy或RFGa或RFGb或RFGx1)所產生之RF訊號之功率參數位準的脈動而增加。圖902與904每一者繪示離子能量分佈函數(IEDF),其繪示電漿離子之能量對量測到之橫跨形成在基板122內之通道的角度的關係。圖902繪示當RF產生器之功率參數位準未經脈動如在CW模式下操作時的能量。圖904繪示當使用功率參數受到脈動之RF產生器以使功率參數位準在多個狀態之間脈動時的能量。應注意,當功率參數受到脈動之RF產生器的功率參數位準在多個狀態之間脈動時,電漿室108內之電漿之電漿離子的峰值離子能量比使用CW模式RF產生器時之電漿離子的峰值離子能量增加。又,當功率參數受到脈動之RF產生器之功率參數位準在多個狀態之間脈動時,橫跨通道之電漿離子的角分佈比使用CW模式RF產生器時之電漿離子的角分佈減少。更應注意,不論使用功率參數受到脈動之RF產生器或CW模式RF產生器,RF偏壓產生器系統114供給之偏壓量都是相同。峰值離子能量的增加及角分佈的減少會增加蝕刻基板122的蝕刻率且不需增加偏壓以增加蝕刻率。例如,當功率參數受到脈動之RF產生器之功率參數位準受到脈動時,RF偏壓產生器系統114所產生及供給的一或多個RF訊號的偏壓為常數。
圖10為圖400之一實施例圖。
圖11為圖1100之一實施例圖,其例示一角分散,此角分散係與利用脈動RF產生器之功率參數位準所達到之偏壓增加所達到的角分散相當。針對相同的偏壓,當耦合至上電極106之RF產生器的功率參數位準係操作在CW模式下如未經脈動時,角分散較高。角分散比利用功率參數受到脈動之RF產生器所達到的角分散更高。當功率參數受到脈動之RF產生器的功率參數位準受到脈動時,不需增加偏壓以達到更低的角分散而增加蝕刻率。
圖12之圖1202A與圖1202B的實施例圖例示形成在基板122內之通道之關鍵尺寸之間的差異。圖1202A繪示相較於以奈米為單位之通道寬度之以奈米為單位之通道高度。在圖1202A中顯示通道的關鍵尺寸為21.9 nm。圖1202A之關鍵尺寸係於使用CW模式之RF產生器而非功率參數經脈動之RF產生器時所達到。圖1202B繪示相較於以奈米為單位之基板122之通道寬度之以奈米為單位之基板122 的通道高度。圖1202B顯示關鍵尺寸為19.2 nm。相較於圖1202A 中的關鍵尺寸,圖1202B 中的下關鍵尺寸係於使用功率參數經脈動之RF產生器之功率參數位準受到脈動時所達到。下關鍵尺寸係於下列情況下達到:電漿室108內之電漿之電漿離子之垂直方向性因為電漿室108內之電漿之電漿離子之角分散的減少而增加。
圖13A為用以達到具有低的角分散的峰值離子能量增強之電漿設備1300的一實施例的方塊圖。除了在電漿設備1300中使用RF偏壓產生器 RFGbs而非RF偏壓產生器系統114之外,電漿設備1300係與圖1A的電漿設備100相同。RF偏壓產生器 RFGbs相較於RF偏壓產生器系統114為多狀態RF產生器,RF偏壓產生器系統114為連續波模式RF產生器。電漿設備1300更包 主機電腦116、IMN 112、電漿室108、RF產生器RFGx(顯示於圖1A中)、RF產生器RFGy(顯示於圖1A中)及IMN 104(顯示於圖1A中)。
RF產生器RFGbs包含數位訊號處理DSPbs、功率參數控制器 PWRS1、另一功率參數控制器 PWRS2、自動頻率調協器AFTS、RF電源Pbs、及驅動器系統1302。數位訊號處理DSPbs係耦合至功率參數控制器PWRS1與PWRS2並耦合至自動頻率調諧器AFTS。又,功率參數控制器PWRS1與PWRS2及自動頻率調諧器AFTS係耦合至驅動器系統1302。驅動器系統1302係耦合至RF電源Pbs。RF電源Pbs係有RF產生器RFGbs之輸出而耦合至RF纜線系統137如耦合至RF纜線系統137的RF纜線。
處理器132自記憶體裝置134接取配方。配方的實例包含針對狀態S1欲施加至RF產生器RFGbs的功率參數設定點、針對狀態S2欲施加至RF產生器RFGbs的功率參數設定點、針對狀態S1與S2欲施加至RF產生器RFGbs的頻率設定點、及其組合。
處理器132藉由纜線117利用經脈動之訊號102將指令發送至DSPbs。藉由纜線117發送至DSPbs的指令具有關於下列者的資訊:經脈動之訊號102、針對狀態S1欲施加至RF產生器RFGbs的功率參數設定點、針對狀態S2欲施加至RF產生器RFGbs的功率參數設定點、針對狀態S1與S2欲施加至RF產生器RFGbs的頻率設定點。關於經脈動之訊號102的資訊對DSPbs指示:RF產生器RFGbs欲產生之RF訊號在經脈動之訊號102的過渡時間tst1處自狀態S1轉變為狀態S2及RF訊號在經脈動之訊號102的過渡時間tst2處自狀態S2轉變為狀態S1。DSPbs自指令判斷:在經脈動之訊號102之狀態S1期間針對狀態S1欲施加之功率參數設定點、在經脈動之訊號102之狀態S2期間針對狀態S2欲施加之功率參數設定點、在經脈動之訊號102之狀態S1與S2期間針對狀態S1與S2欲施加之頻率設定點。又,DSPbs自指令及經脈動之訊號102判斷:RF產生器RFGbs欲產生之RF訊號在經脈動之訊號102的過渡時間tst1處自狀態S1轉變為狀態S2及RF訊號在經脈動之訊號102的過渡時間tst2處自狀態S2轉變為狀態S1。過渡時間tst1與tst2針對經脈動之訊號102的每一週期重覆。
在經脈動之訊號102之週期的過渡時間tst2處,DSPbs將狀態S1之功率參數設定點發送至功率參數控制器PWRS1。類似地,在經脈動之訊號102之週期的過渡時間tst1處,DSPbs將狀態S2之功率參數設定點發送至功率參數控制器PWRS2。又,在經脈動之訊號102之週期的過渡時間tst1或tst2處,DSPbs將狀態S1與S2之頻率設定點發送至自動頻率調諧器AFTS。
在接收到狀態S1之功率參數設定點時,功率參數控制器 PWRS1判斷對應至狀態S1之功率參數設定點的電流量。基於驅動器系統1302在狀態S1期間欲產生的電流量,功率參數控制器 PWRS1產生指令訊號並將指令訊號發送至驅動器系統1302。針對狀態S1,驅動器系統1302產生及發送具有電流量的電流訊號至RF電源Pbs以回應指令訊號之接收。RF電源Pbs在接收到電流訊號時產生具有狀態S1之功率參數設定點的RF訊號,並藉由RF產生器RFGbs 之輸出及RF纜線系統137的RF纜線將RF訊號供給至IMN 112的輸入。在狀態S1期間RF產生器RFGbs的RF電源Pbs維持狀態S1之功率參數設定點。
類似地,在接收到狀態S2之功率參數設定點時,功率參數控制器PWRS2判斷對應至狀態S2之功率參數設定點的電流量。基於驅動器系統1302在狀態S2期間欲產生的電流量,功率參數控制器 PWRS2產生指令訊號並將指令訊號發送至驅動器系統1302。針對狀態S2,驅動器系統1302產生及發送具有電流量的電流訊號至RF電源Psbs以回應指令訊號之接收。RF電源Pbs在接收到電流訊號時產生具有狀態S2之功率參數設定點的RF訊號,並藉由RF產生器RFGbs之輸出及RF纜線系統137之RF纜線將RF訊號供給至IMN 112的輸入。在狀態S2期間RF產生器RFGbs的RF電源Pbs維持狀態S2之功率參數設定點。
又,在接收到狀態S1與S2之頻率設定點時,自動頻率調諧器AFTS判斷對應至狀態S1與S2之頻率設定點的電流量。基於驅動器系統1302在狀態S1與S2期間欲產生的電流量,自動頻率調諧器AFTS產生指令訊號並將指令訊號發送至驅動器系統1302。針對狀態S1與S2,驅動器系統1302產生及發送具有電流量的電流訊號至RF電源Pbs以回應指令訊號之接收。RF電源Pbs在接收到電流訊號時產生具有狀態S1與S2之頻率設定點的RF訊號,並藉由RF產生器RFGbs之輸出及RF纜線系統137之RF纜線將RF訊號供給至IMN 112的輸入。在狀態S1與S2期間RF電源Pbs維持狀態S1與S2之頻率設定點。在狀態S1期間RF產生器RFGbs產生具有狀態S1之功率參數設定點及狀態S1與S2之頻率設定點的RF訊號。類似地,在狀態S2期間RF產生器RFGbs產生具有狀態S2之功率參數設定點及狀態S1與S2之頻率設定點的RF訊號。
IMN 112的輸入藉由RF纜線系統137之RF纜線自RF產生器RFGbs的輸出接收由RF電源Pbs所產生的RF訊號,然後使耦合至IMN 112的輸出之負載的阻抗與耦合至IMN 112的輸入的源的阻抗相匹配,以在IMN 112的輸出處產生輸出RF訊號。耦合至IMN 112的輸入的源的實例包含RF纜線系統137 及RF產生器RFGbs。輸出RF訊號係藉由RF傳輸線139發送至夾頭110如夾頭110的下電極。
當一或多種處理氣體被供給至上電極106 與夾頭110之間時,經修改的RF訊號被供給至上電極106而輸出RF訊號被供給至夾頭110,點燃一或多種處理氣體以在電漿室108內產生或維持電漿。
在各種實施例中,功率參數控制器PWRS1與PWRS2及自動頻率調諧器AFTS為DSPbs所執行之電腦程式的模組如部分等。
在數個實施例中,功率參數控制器PWRS1與PWRS2及自動頻率調諧器AFTS為耦合至DSPbs之積體電路之分離積體電路。例如,功率參數控制器 PWRS1為RF產生器RFGbs之第一積體電路、功率參數控制器 PWRS2為RF產生器RFGbs之第二積體電路、自動頻率調諧器AFTS為RF產生器RFGbs之第三積體電路 、DSPbs為RF產生器RFGbs之第四積體電路 。RF產生器RFGbs之第一至第三積體電路中的每一者係耦合至RF產生器RFGbs的第四積體電路 。
圖13B為圖140、圖1310及圖1312之實施例圖,其例示圖13A之RF產生器RFGbs所產生之RF訊號之功率參數的脈動。圖1310繪示RF產生器RFGbs 所產生之RF訊號如RF訊號1314之功率參數位準對時間t的關係。類似地,圖1312繪示RF產生器RFGbs所產生之RF訊號如RF訊號1316之功率參數位準對時間t的關係。
參考圖140與1310,在狀態S1期間RF訊號1314具有零功率參數位準及零頻率位準。又,在過渡時間tst1處,RF訊號1314自狀態S1轉變為狀態S2。在狀態S2期間,RF訊號1314具有功率參數位準Pb2及頻率位準fb2。在過渡時間tst2處,RF訊號1314自狀態S2轉變回狀態S1。RF訊號1314之零功率參數位準避免在狀態S1所產生的電漿離子朝向夾頭110。是以,針對在狀態S2期間的應用保留電漿離子以增加電漿離子的垂直方向性並更進一步地增加蝕刻率。
應注意, RF訊號1314之狀態S1的工作週期係與RF訊號1314之狀態S2的工作週期相同。例如,狀態S1的工作週期為50%且狀態S2的工作週期為50%。RF訊號1314的狀態S1佔據經脈動之訊號102之週期的50%且RF訊號1314的狀態S2佔據經脈動之訊號102之週期的剩餘50%。
在各種實施例中,RF訊號1314之狀態S1的工作週期係不同於RF訊號1314之狀態S2的工作週期。例如,狀態S1的工作週期為25%而狀態S2的工作週期為75%。RF訊號1314的狀態S1佔據經脈動之訊號102之週期的25%而RF訊號1314的狀態S2佔據經脈動之訊號102之週期的剩餘75%。又例如,狀態S1的工作週期為a%而狀態S2的工作週期為(100-a)%。RF訊號1314的狀態S1佔據經脈動之訊號102之週期的a%而RF訊號1314的狀態S2佔據經脈動之訊號102之週期的剩餘(100-a)%。
應注意,如圖1310中所示,功率參數位準Pb2與頻率位準fb2皆非零。
除了RF訊號1314與1316在狀態S1期間具有不同之功率參數位準外,圖1312係類似於圖1310。例如,RF訊號1314在狀態S1期間具有零功率參數位準而RF訊號1316在狀態S1期間具有功率參數位準Pb1。又,RF訊號1316在狀態S1期間具有頻率位準fb1而狀態S1期間的頻率位準fb1係與狀態S2期間RF訊號1316的頻率位準fb2相同。RF訊號1316在狀態S2期間具有功率參數位準Pb2。RF訊號1316在狀態S1 期間低於狀態S2期間之較低功率參數位準能避免在狀態S1期間所產生的電漿離子在狀態S1朝向夾頭110。是以,針對在狀態S2期間的應用保留電漿離子以增加電漿離子的垂直方向性並更進一步地增加蝕刻率。
參考140與1312,RF訊號1316之狀態S2係與 RF訊號1314的狀態S2相同。例如,在狀態S2期間RF訊號1316具有功率參數位準Pb2,功率參數位準Pb2係與狀態S2期間之RF訊號1314之功率參數位準Pb2相同。又,在狀態S2期間RF訊號1316具有頻率位準fb2,頻率位準fb2係與狀態S2期間之RF訊號1314之頻率位準相同。
又,在過渡時間tst1處,RF訊號1316自狀態S1轉變為狀態S2。功率參數位準Pb1係高於在狀態S1期間RF訊號1314的零功率參數位準但低於在狀態S2期間RF訊號1316的功率參數位準Pb2。在過渡時間tst2處,RF訊號1316自狀態S2轉變回狀態S1。
應注意,如圖1312中所示,功率參數位準Pb1與Pb2及頻率位準fb1與fb2皆非零。
應注意,RF訊號1316之狀態S1的工作週期係與RF訊號1316之狀態S2的工作週期相同。例如,RF訊號1316之狀態S1的工作週期為50% 而RF訊號1316之狀態S2的工作週期為50%。RF訊號1316之狀態S1佔據經脈動之訊號102之週期的50%而RF訊號1316之狀態S2佔據經脈動之訊號102之週期的剩餘50%。
在各種實施例中,RF訊號1316之狀態S1的工作週期係不同於RF訊號1316之狀態S2的工作週期。例如,RF訊號1316之狀態S1的工作週期為25%而RF訊號1316之狀態S2的工作週期為75%。RF訊號1316之狀態S1佔據經脈動之訊號102之週期的25%而RF訊號1316之狀態S2佔據經脈動之訊號102之週期的剩餘75%。又例如,RF訊號1316之狀態S1的工作週期 為a%而RF訊號1316之狀態S2的工作週期為(100-a)%。RF訊號1316之狀態S1佔據經脈動之訊號102之週期的a%而RF訊號1316之狀態S2佔據經脈動之訊號102之週期的剩餘(100-a)%。
在某些實施例中,頻率位準fb1係不同於如低於或高於頻率位準fb2。
本文中所述的實施例可利用各種電腦系統配置施行之,此些電腦系統配置包含手持硬體單元、微處理器系統、微處理器系或可程式化的消費電子裝置、迷你電腦、主機等。實施例亦可在分散式的計算環境中施行,在此種環境中任務係由經由網路鏈結的複數遠端處理硬體單元所執行。
在某些實施例中,控制器為系統的一部分,系統可為上述實例的一部分。此類系統包含半導體處理設備,半導體處理設備包含處理工具或複數處理工具、處理室或複數處理室、處理平臺或複數平臺、及/或特定的處理元件(晶圓座臺、氣體流動系統等)。此些系統係與一些電子裝置整合,此些電子裝置係用以在半導體晶圓或基板的處理之前、期間及之後控制系統的操作。此些電子裝置係稱為「控制器」,其可控制系統或複數系統的各種元件或子部件。取決於處理需求及/或系統類型,控制器可被程式化以控制文中所揭露的任何處理,處理包含處理氣體的輸送、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、RF產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置與操作設定、晶圓傳輸進入或離開設備與耦合至系統或與系統具有界面的其他傳輸設備及/或裝載互鎖機構。
概括地說,在各種實施例中,控制器可被定義為具有各種積體電路、邏輯、記憶體及/或軟體的電子裝置,其可接收指令、發佈指令、控制操作、致能清理操作、致能終點量測等。積體電路可包含儲存了程式指令之具有韌體形式的晶片、數位訊號處理器(DSP)、被定義為特殊應用積體電路(ASIC)的晶片、PLD、及/或能執行程式指令(如軟體)的一或多個微處理器或微控制器。程式指令可為與控制器通訊之具有各種獨立設定(或程式檔案)形式的指令,其定義為了在半導體晶圓上或針對半導體晶圓或對系統進行特定處理所用的操作參數。在某些實施例中,程式指令為製程工程師為了完成一或多膜層、材料、金屬、氧化物、矽、二氧化矽、表面、電路及/或晶圓之晶粒之製造期間的一或多個處理步驟所定義之配方的一部分。
在某些實施例中控制器為整合至系統、耦合至系統、藉由網路連接至系統、或其組合的電腦的一部分或控制器耦合至電腦。例如,控制器係位於「雲端」中或工廠主機電腦系統的全部或部分中,這允許使用者遠端接取晶圓處理。電腦致能遠端接取系統以監控製造操作的目前進展、檢視過去製造操作的歷程、自複數製造操作檢視驅勢或效能度量、改變現有處理的參數、設定處理步驟以符合現有處理、或開始一新的製程。
在某些實施例中,遠端電腦(或伺服器)可經由電腦網路對系統提供處理配方,電腦網路包含區域網路或網際網路。遠端電腦可包含使用者介面,使用者介面讓使用者能進入或程式化參數及/或設定,然後自遠端電腦與系統通訊。在某些實例中,控制器接收數據形式的指令,此些指令指定在一或多個操作期間欲進行之每一處理步驟用的複數參數。應瞭解,複數參數、因子及/或變數係特別針對欲施行之處理的類型及控制器用以交界或控制之設備的類型。因此如上所述,可分散控制器如藉著包含一或多個藉由網路互連並朝向共同目的如文中所述之處理與控制工作的離散控制器。為了此類目的的分散控制器的實例包含處理室上的一或多個積體電路,其係與一或多個位於遠端(例如位於平臺位準處或為遠端電腦的一部分)的積體電路通訊而共同控制處理室中的處理。
不受限地,在各種實施例中,可應用方法的例示系統包含電漿蝕刻室或模組、沉積室或模組、旋轉沖洗室或模組、金屬鍍室或模組、清理室或模組、邊緣蝕刻室或模組、物理氣相沉積(PVD)室或模組、化學氣相沉積(CVD)室或模組、原子層沉積(ALD)室或模組、原子層蝕刻(ALE)室或模組、離子植入室或模組、軌道室或模組、及和半導體晶圓之製造相關及/或用於製造的任何其他半導體處理系統。
更應注意,在某些實施例中,雖然上述操作可應用至數種電漿室如包含感應耦合電漿(ICP)反應器的電漿室、變壓器耦合電漿室、電容耦合電漿反應器、導體設備、介電設備、包含電子迴旋共振(ECR)反應室的電漿室等。例如,一或多個RF產生器係耦合至ICP反應器內的一電感。電感形狀的實例包含螺管、圓頂形線圈、平面形線圈等。
如上所述,取決於設備所欲進行的處理步驟或複數步驟,主機電腦可與下列的一或多者通訊交流:其他設備電路或模組、其他設備的元件、叢集設備、其他設備的界面、相鄰設備、鄰近設備、位於工廠內的設備、主電腦、另一控制器、或半導體製造工廠中用以將晶圓容器載入與載出設備位置及/或裝載接口的材料運輸用設備。
考慮到上述實施例,應瞭解,某些實施例可進行涉及儲存在電腦系統中之數據的各種電腦施行操作。此些電腦施行操作為需要操控物理數量的操作。形成實施例之文中所述操作的任何操作對於機械操作皆為有用的。
某些實施例亦關於用以執行此些操作的硬體單元或設備。可針對專門用途的電腦專門建構設備。當一電腦被定義為專門用途之電腦時,此電腦除了能夠針對專門用途運行之外,亦可進行其他處理、程式執行或其他非屬特別用途的子程式。
在某些實施例中,操作可由選擇性活化的電腦執行或者可由儲存在電腦記憶體、快取記憶體、或自電腦網路所獲得的一或多個電腦程式所配置。當數據係自電腦網路獲得時,該數據可由電腦網路上的其他電腦如雲端計算資源所處理。
亦可將文中所述之一或多個實施例製作成非瞬變電腦可讀媒體上的電腦可讀碼。非瞬變電腦可讀媒體可以是可儲存數據且後續可被電腦系統讀取的任何數據儲存硬體單元如記憶體裝置。非瞬變電腦可讀媒體的實例包含硬碟、網路附加儲存(NAS)、ROM、RAM、光碟-ROM(CD-ROM)、可錄CD(CD-R)、可重覆寫入之CD(CD-RW)、磁帶及其他光學式及非光學式數據儲存硬體單元。在某些實施例中,非瞬變電腦可讀媒體可包含分散於網路耦合電腦系統的電腦可讀實質媒體,因此電腦可讀碼係以分散方式儲存及執行。
雖然上述某些方法操作係以特定順序說明之,但應瞭解,在各種實施例中,在方法操作之間可進行其他閒雜步驟或者可調整方法操作使其發生的時間略有不同,或者可將方法操作分配至允許方法操作以各種間隔進行的系統中,或者可以不同於文中所示的順序來進行方法操作。
更應注意,在不脫離本發明之各種實施例的範疇的情況下,在一實施例中,來自上述任何實施例的一或多個特徵可與來自任何其他實施例的一或多個特徵結合。
為了讓熟知此項技藝者能清楚瞭解本發明,已詳細說明了前面的實施例,應明白,在隨附之申請專利範圍的範疇內可進行某些變化與修改。因此,此些實施例應被視為是說明性而非限制性的,且實施例並不限於文中所述的細節。
100‧‧‧電漿設備
102‧‧‧訊號
104‧‧‧阻抗匹配網路
106‧‧‧上電極
108‧‧‧電漿室
110‧‧‧夾頭
112‧‧‧阻抗匹配網路
114‧‧‧RF偏壓產生器系統
116‧‧‧主機電腦
117‧‧‧纜線
118‧‧‧驅動器系統
120‧‧‧介電窗
122‧‧‧基板
123‧‧‧電漿鞘
124‧‧‧RF纜線
125A‧‧‧上邊界
125B‧‧‧上邊界
126‧‧‧RF傳輸纜線
128‧‧‧驅動器系統
130‧‧‧RF纜線
132‧‧‧處理器
134‧‧‧記憶體裝置
136‧‧‧纜線
137‧‧‧RF纜線
138‧‧‧纜線
139‧‧‧RF傳輸線
140‧‧‧圖
142‧‧‧圖
144‧‧‧圖
146‧‧‧纜線
146A‧‧‧RF訊號
146B‧‧‧RF訊號
146C‧‧‧RF訊號
148‧‧‧纜線
152‧‧‧圖
154‧‧‧圖
156A‧‧‧RF訊號
156B‧‧‧RF訊號
156C‧‧‧RF訊號
200‧‧‧電漿設備
202‧‧‧經脈動之訊號
204‧‧‧時脈訊號
210‧‧‧圖
212‧‧‧圖
214‧‧‧圖
216A‧‧‧RF訊號
216B‧‧‧RF訊號
218‧‧‧圖
220A‧‧‧RF訊號
220B‧‧‧RF訊號
222‧‧‧圖
223‧‧‧電漿鞘
224A‧‧‧RF訊號
224B‧‧‧RF訊號
320a‧‧‧圖
320b‧‧‧圖
400‧‧‧圖
500‧‧‧圖
602A‧‧‧圖
602B‧‧‧圖
700‧‧‧電漿設備
710‧‧‧圖
712‧‧‧圖
714‧‧‧RF訊號
716‧‧‧RF訊號
800‧‧‧圖
802‧‧‧圖
804‧‧‧圖
806‧‧‧圖
902‧‧‧圖
904‧‧‧圖
1100‧‧‧圖
1202A‧‧‧圖
1202B‧‧‧圖
1300‧‧‧電漿設備
1302‧‧‧驅動器系統
1310‧‧‧圖
1312‧‧‧圖
1314‧‧‧RF訊號
1316‧‧‧RF訊號
參考下面參考附圖的說明將最佳地瞭解本發明之實施例。
圖1A為電漿設備之一實施例的方塊圖,其例示用以達成具有低的角分散之峰值離子能量增強的頻率位準兩狀態脈動。
圖1B為實施例圖,其例示其中一狀態為關閉狀態的頻率位準兩狀態脈動。
圖1C為實施例圖,其例示其中兩狀態皆為非零狀態的頻率位準兩狀態脈動。
圖2A為電漿設備一實施例的方塊圖,其例示用以達成具有低的角分散之峰值離子能量增強的頻率位準三狀態脈動。
圖2B為實施例圖,其例示頻率位準三狀態脈動。
圖2C為實施例圖,其例示頻率位準三狀態脈動。
圖2D為實施例圖,其例示頻率位準三狀態脈動。
圖3之實施例圖例示,入射至基板表面上之電漿離子的峰值能量隨著由頻率脈動之RF產生器所產生之RF訊號之頻率位準的脈動而增加。
圖4之實施例圖例示,電漿離子的角分佈隨著RF偏壓產生器所產生之偏壓的增加而減少。
圖5之實施例圖例示一角分散,其係與利用脈動RF產生器之頻率位準所達到之偏壓增加所達到的角分散相當。
圖6之實施例圖例示形成在基板內之通道之關鍵尺寸(CD)的差異。
圖7A為電漿設備之一實施例之方塊圖,其例示用以達到具有低的角分散之峰值離子能量增強的功率參數位準脈動。
圖7B之實施例圖例示圖7A之電漿設備之RF產生器所產生之功率參數的脈動。
圖8之實施例圖例示電漿離子之垂直方向性隨著偏壓增加而增加。
圖9之實施例圖例示入射至基板表面上之電漿離子的峰值能量隨著RF產生器所產生之RF訊號之功率參數位準的脈動而增加。
圖10為圖4之一實施例圖。
圖11之實施例圖例示一角分散,其係與利用脈動RF產生器之功率參數位準所達到之偏壓增加所達到的角分散相當。
圖12之實施例圖例示脈動功率參數位準與施加連續波模式所達到之關鍵尺寸之間的差異。
圖13A為電漿設備之一實施例之方塊圖,其例示用以達到具有低的角分散之峰值離子能量增強之RF偏壓產生器的功率參數位準脈動。
圖13B之實施例圖例示圖13A之RF偏壓產生器所產生之功率參數的脈動。

Claims (39)

  1. 一種操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,包含: 接收一經脈動之訊號以驅動該電漿室之操作,其中該經脈動之訊號具有兩狀態,該兩狀態包含第一狀態與第二狀態; 於該第一狀態期間在一主要頻率位準下操作一主要射頻(RF)產生器及在該第二狀態期間使該主要RF產生器維持於一關閉狀態,其中在該第一狀態期間操作該主要RF產生器對形成在該基板上方之一電漿鞘產生經增加的電荷,其中該經增加的電荷增加該電漿鞘的一厚度; 於該第二狀態期間在一次要頻率位準下操作一次要RF產生器及在該第一狀態期間使該次要RF產生器維持於該關閉狀態,其中在該第二狀態期間操作該次要RF產生器使用在該第一狀態期間所產生之該電漿鞘之該經增加的電荷的至少一部分作為添加功率以增進該第二狀態期間所產生之該離子能量,該添加功率減少當該離子朝向該基板之表面時該離子的該角分散,其中該主要RF產生器與該次要RF產生器係藉由一阻抗匹配電路而耦合至與該電漿室相關的一上電極;及 根據該經脈動之訊號在該第一狀態與該第二狀態中持續操作該主要RF產生器與該次要RF產生器,以增進該第一狀態與該第二狀態之複數循環期間的該蝕刻操作。
  2. 如申請專利範圍第1項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,其中該主要RF產生器在該第一狀態期間在開啟狀態下操作至少一預定時間期間,該預定時間期間足以產生用以增加該電漿鞘之電荷的一電荷閾值量。
  3. 如申請專利範圍第2項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,其中該預定時間期間係於一配方校正日常任務期間決定,該配方校正日常任務係用以蝕刻該基板之該表面的一種材料。
  4. 如申請專利範圍第2項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,其中該預定時間期間的範圍係介於該經脈動之訊號之一工作週期的10百分比至50百分比之間。
  5. 如申請專利範圍第1項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,其中該主要RF產生器為一低頻RF產生器而該次要RF產生器為一高頻RF產生器,其中該高頻RF產生器所具有之一操作頻率係高於該低頻RF產生器所具有之一操作頻率。
  6. 如申請專利範圍第1項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,其中在該第一狀態期間與該電漿鞘相關的一時間常數增加,其中該主要RF產生器在該第一狀態期間所產生之一RF訊號之一主要功率位準的至少一部分被添加至該次要RF產生器在該第二狀態期間所產生之一RF訊號之一次要功率位準,以促進該第二狀態期間的該蝕刻操作。
  7. 如申請專利範圍第1項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,更包含操作一RF偏壓產生器以在該第一狀態期間具有一第一偏壓功率參數位準並在該第二狀態期間具有一第二偏壓功率參數位準,其中該第一偏壓功率參數位準係低於該第二偏壓功率參數位準,其中該RF偏壓產生器係藉由另一阻抗匹配電路耦合至該電漿室的一夾頭,其中該RF偏壓產生器的該第一偏壓功率參數位準協助將在該第一狀態期間所形成之離子能量傳輸至該第二狀態。
  8. 如申請專利範圍第1項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,其中在該第一狀態期間在該主要頻率位準下操作該主要RF產生器增加該電漿鞘之電抗,其中該電漿鞘之該電抗的增加對應地減少流經該電漿鞘的電流,其中該電流的減少使與該電漿鞘相關之電阻的一平均量增加,其中該電阻之該平均量的增加提高與該電漿鞘及該第一狀態與該第二狀態相關的一平均時間常數,以增加在該第一狀態與該第二狀態期間該電漿鞘之放電的一時間量,其中放電之該時間量的增加提高該離子的峰值離子能量並減少該離子的該角分散。
  9. 如申請專利範圍第1項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,更包含: 判斷出該主要RF產生器在該第一狀態期間係於一主要功率參數位準下操作; 控制該主要RF產生器使其在該第一狀態期間操作於該主要功率參數位準; 判斷出該次要RF產生器在該第二狀態期間係於一次要功率參數位準下操作;及 控制該次要RF產生器使其在該第二狀態期間操作於該次要功率參數位準。
  10. 如申請專利範圍第9項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,其中該主要功率參數位準係與該次要功率參數位準相同。
  11. 如申請專利範圍第9項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,其中該主要功率參數位準係不同於該次要功率參數位準。
  12. 如申請專利範圍第1項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,其中該上電極面對該電漿室的一夾頭,其中該上電極為一變壓器耦合電漿線圈,其中該夾頭係藉由另一阻抗匹配電路耦合至一RF偏壓產生器。
  13. 如申請專利範圍第1項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,其中該上電極面對該電漿室的一夾頭,其中該上電極為一變壓器耦合電漿線圈,其中該夾頭係耦合至一地電位。
  14. 一種操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,包含: 接收一經脈動之訊號以驅動該電漿室之操作,其中該經脈動之訊號具有兩狀態,該兩狀態包含一第一狀態與一第二狀態; 於該第一狀態期間在一第一主要頻率位準下、及於該第二狀態期間在一第二主要頻率位準下操作一主要射頻(RF)產生器,其中在該第一狀態期間操作該主要RF產生器對形成在該基板上方之一電漿鞘產生經增加的電荷,其中該經增加的電荷增加該電漿鞘的一厚度; 於該第一狀態期間在一第一次要頻率位準下、及於該第二狀態期間在一第二次要頻率位準下操作一次要RF產生器,其中在該第二狀態期間操作該次要RF產生器使用在該第一狀態期間所產生之該電漿鞘之該經增加的電荷的至少一部分作為添加功率以增進該第二狀態期間所產生之該離子能量,該添加功率減少當該離子朝向該基板之表面時該離子的該角分散,其中該主要RF產生器與該次要RF產生器係藉由一阻抗匹配電路而耦合至與該電漿室相關的一上電極,其中該第一主要頻率位準、該第二主要頻率位準、該第一次要頻率位準、及該第二次要頻率位準中的每一者皆非零;及 根據該經脈動之訊號在該第一狀態與該第二狀態中持續操作該主要RF產生器與該次要RF產生器,以增進該第一狀態與該第二狀態之複數循環期間的該蝕刻操作。
  15. 如申請專利範圍第14項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,其中該主要RF產生器在該第一狀態期間在開啟狀態下操作至少一預定時間期間,該預定時間期間足以產生用以增加該電漿鞘之電荷的一電荷閾值量。
  16. 如申請專利範圍第15項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,其中該預定時間期間係於一配方校正日常任務期間決定,該配方校正日常任務係用以蝕刻該基板之該表面的一種材料。
  17. 如申請專利範圍第15項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,其中該預定時間期間的範圍係介於該經脈動之訊號之一工作週期的10百分比至50百分比之間。
  18. 如申請專利範圍第14項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,其中該主要RF產生器為一低頻RF產生器而該次要RF產生器為一高頻RF產生器,其中該高頻RF產生器所具有之一操作頻率係高於該低頻RF產生器所具有之一操作頻率。
  19. 如申請專利範圍第14項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,其中在該第一狀態期間與該電漿鞘相關的一時間常數增加,其中該主要RF產生器在該第一狀態期間所產生之一RF訊號之一主要功率位準的至少一部分被添加至該次要RF產生器在該第二狀態期間所產生之一RF訊號之一次要功率位準,以促進該第二狀態期間的該蝕刻操作。
  20. 如申請專利範圍第14項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,更包含操作一RF偏壓產生器以在該第一狀態期間具有一第一偏壓功率參數位準並在該第二狀態期間具有一第二偏壓功率參數位準,其中該第一偏壓功率參數位準係低於該第二偏壓功率參數位準,其中該RF偏壓產生器係藉由另一阻抗匹配電路耦合至該電漿室的一夾頭,其中該RF偏壓產生器的該第一偏壓功率參數位準協助將在該第一狀態期間所形成之離子能量傳輸至該第二狀態。
  21. 如申請專利範圍第14項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,其中在該第一狀態期間在該第一主要頻率位準下操作該主要RF產生器增加該電漿鞘之電抗,其中該電漿鞘之該電抗的增加對應地減少流經該電漿鞘的電流,其中該電流的減少使與該電漿鞘相關之電阻的一平均量增加,其中該電阻之該平均量的增加提高與該電漿鞘及該第一狀態與該第二狀態相關的一平均時間常數,以增加在該第一狀態與該第二狀態期間該電漿鞘之放電的一時間量,其中放電之該時間量的增加提高該離子的峰值離子能量並減少該離子的該角分散。
  22. 如申請專利範圍第14項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,更包含: 判斷出該主要RF產生器在該第一狀態期間係於一第一主要功率參數位準下操作且在該第二狀態期間係於一第二主要功率參數位準下操作; 控制該主要RF產生器使其在該第一狀態期間操作於該第一主要功率參數位準並在該第二狀態期間操作於該第二主要功率參數位準; 判斷出該次要RF產生器在該第一狀態期間係於一第一次要功率參數位準下操作且在該第二狀態期間係於一第二次要功率參數位準下操作;及 控制該次要RF產生器使其在該第一狀態期間操作於該第一次要功率參數位準且在該第二狀態期間操作於該第二次要功率參數位準。
  23. 如申請專利範圍第22項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,其中該第一主要功率參數位準、該第二主要功率參數位準、該第一次要功率參數位準、及該第二次要功率參數位準係相同。
  24. 如申請專利範圍第22項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,其中該第一主要功率參數位準與該第二主要功率參數位準係不同於該第一次要功率參數位準與該第二次要功率參數位準。
  25. 如申請專利範圍第14項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,其中該上電極面對該電漿室的一夾頭,其中該上電極為一變壓器耦合電漿線圈,其中該夾頭係藉由另一阻抗匹配電路耦合至一RF偏壓產生器。
  26. 如申請專利範圍第14項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的方法,其中該上電極面對該電漿室的一夾頭,其中該上電極為一變壓器耦合電漿線圈,其中該夾頭係耦合至一地電位。
  27. 一種操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的系統,包含: 一主要射頻(RF)產生器,具有用以產生一主要RF訊號的一主要電源; 一次要RF產生器,具有用以產生一次要RF訊號的一次要電源; 一阻抗匹配網路,係耦合至該主要電源與該次要電源,其中該阻抗匹配網路係用以接收該主要RF訊號與該次要RF訊號以產生一經修改的RF訊號; 一電漿室,具有耦合至該阻抗匹配網路的一上電極,其中該電漿室係用以接收該經修改的RF訊號; 其中該主要RF產生器包含用於下列操作的一或多個處理器: 接收一經脈動之訊號以驅動該電漿室的操作,其中該經脈動之訊號具有兩狀態,該兩狀態包含一第一狀態與一第二狀態;及 於該第一狀態期間在一主要頻率位準下操作該主要RF產生器及在該第二狀態期間使該主要RF產生器維持於一關閉狀態,其中該第一狀態期間該主要RF產生器的該操作對形成在該基板上方之一電漿鞘產生經增加的電荷,其中該經增加的電荷增加該電漿鞘的一厚度, 其中該次要RF產生器包含用於下列操作的一或多個處理器: 接收該經脈動之訊號;及 於該第二狀態期間在一次要頻率位準下操作該次要RF產生器及在該第一狀態期間使該次要RF產生器維持於該關閉狀態,其中在該第二狀態期間該次要RF產生器的操作使用在該第一狀態期間所產生之該電漿鞘之該經增加的電荷的至少一部分作為添加功率以增進該第二狀態期間所產生之該離子能量,該添加功率減少當該離子朝向該基板之表面時該離子的該角分散, 其中該主要RF產生器與該次要RF產生器係配置以根據該經脈動之訊號在該第一狀態與該第二狀態中持續操作,以增進該第一狀態與該第二狀態之複數循環期間的該蝕刻操作。
  28. 如申請專利範圍第27項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的系統,其中該主要RF產生器係用以在該第一狀態期間開啟至少一預定時間期間,該預定時間期間足以產生用以增加該電漿鞘之電荷的一電荷閾值量。
  29. 如申請專利範圍第28項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的系統,其中該預定時間期間係於一配方校正日常任務期間決定,該配方校正日常任務係用以蝕刻該基板之該表面的一種材料。
  30. 如申請專利範圍第28項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的系統,其中該預定時間期間的範圍係介於該經脈動之訊號之一工作週期的10百分比至50百分比之間。
  31. 如申請專利範圍第27項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的系統,其中該主要RF產生器為一低頻RF產生器而該次要RF產生器為一高頻RF產生器,其中該高頻RF產生器所具有之一操作頻率係高於該低頻RF產生器所具有之一操作頻率。
  32. 如申請專利範圍第27項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的系統,其中在該第一狀態期間與該電漿鞘相關的一時間常數增加,其中該主要RF訊號在該第一狀態期間具有一主要功率位準,其中該主要功率位準的至少一部分被添加至該次要RF訊號之該第二狀態期間的一次要功率位準,以促進該第二狀態期間的該蝕刻操作。
  33. 如申請專利範圍第27項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的系統,其中該電漿室包含一夾頭,該系統更包含: 一RF偏壓產生器,用以在該第一狀態期間具有一第一偏壓功率參數位準並在該第二狀態期間具有一第二偏壓功率參數位準,其中該第一偏壓功率參數位準係低於該第二偏壓功率參數位準;及 一阻抗匹配電路,係耦合至該RF偏壓產生器及該電漿室的該夾頭,其中該RF偏壓產生器的該第一偏壓功率參數位準協助將在該第一狀態期間所形成之離子能量傳輸至該第二狀態。
  34. 如申請專利範圍第27項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的系統,其中該主要RF產生器係用以在該第一狀態期間在該主要頻率位準下操作以增加該電漿鞘的電抗,其中該電漿鞘之該電抗的增加對應地減少流經該電漿鞘的電流,其中該電流的減少使與該電漿鞘相關之電阻的一平均量增加,其中該電阻之該平均量的增加提高與該電漿鞘及該第一狀態與該第二狀態相關的一平均時間常數,以增加在該第一狀態與該第二狀態期間該電漿鞘之放電的一時間量,其中放電之該時間量的增加提高該離子的峰值離子能量並減少該離子的該角分散。
  35. 如申請專利範圍第27項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的系統,其中: 該主要RF產生器係用以在該第一狀態期間於一主要功率參數位準下操作; 該次要RF產生器係用以在該第二狀態期間於一次要功率參數位準下操作。
  36. 如申請專利範圍第35項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的系統,其中該主要功率參數位準係與該次要功率參數位準相同。
  37. 如申請專利範圍第35項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的系統,其中該主要功率參數位準係不同於該次要功率參數位準。
  38. 如申請專利範圍第27項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的系統,其中該電漿室包含一夾頭,其中該上電極面對該夾頭,其中該上電極為一變壓器耦合電漿線圈,該系統更包含: 一RF偏壓產生器;及 一阻抗匹配電路,係耦合至該RF偏壓產生器及該夾頭。
  39. 如申請專利範圍第27項之操作電漿室以在蝕刻操作期間增加離子能量並減少朝向基板之表面之離子之角分散的系統,其中該電漿室包含一夾頭,其中該上電極面對該夾頭,其中該上電極為一變壓器耦合電漿線圈,其中該夾頭係耦合至一地電位。
TW107129721A 2017-08-31 2018-08-27 用以達成具有低的角分散之峰值離子能量增強的系統及方法 TWI803513B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/693,134 2017-08-31
US15/693,134 US10395894B2 (en) 2017-08-31 2017-08-31 Systems and methods for achieving peak ion energy enhancement with a low angular spread

Publications (2)

Publication Number Publication Date
TW201923816A true TW201923816A (zh) 2019-06-16
TWI803513B TWI803513B (zh) 2023-06-01

Family

ID=65437643

Family Applications (2)

Application Number Title Priority Date Filing Date
TW112115489A TW202331785A (zh) 2017-08-31 2018-08-27 用以達成具有低的角分散之峰值離子能量增強的系統及方法
TW107129721A TWI803513B (zh) 2017-08-31 2018-08-27 用以達成具有低的角分散之峰值離子能量增強的系統及方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW112115489A TW202331785A (zh) 2017-08-31 2018-08-27 用以達成具有低的角分散之峰值離子能量增強的系統及方法

Country Status (7)

Country Link
US (5) US10395894B2 (zh)
JP (3) JP7229232B2 (zh)
KR (1) KR20200038316A (zh)
CN (2) CN111295731B (zh)
SG (1) SG11202001658YA (zh)
TW (2) TW202331785A (zh)
WO (1) WO2019046093A1 (zh)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US10395894B2 (en) 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
KR102550393B1 (ko) * 2017-10-25 2023-06-30 삼성전자주식회사 플라즈마 처리 장치 및 이를 이용한 반도체 장치의 제조 방법
US10679825B2 (en) * 2017-11-15 2020-06-09 Lam Research Corporation Systems and methods for applying frequency and match tuning in a non-overlapping manner for processing substrate
JP2021503702A (ja) 2017-11-17 2021-02-12 エーイーエス グローバル ホールディングス, プライベート リミテッド プラズマ処理システムにおける変調供給源の改良された印加
WO2019099925A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
JP7235761B2 (ja) * 2017-11-17 2023-03-08 エーイーエス グローバル ホールディングス, プライベート リミテッド プラズマ処理源および基板バイアスの同期パルス化
US10714319B2 (en) * 2018-02-21 2020-07-14 Applied Materials, Inc. Apparatus and methods for removing contaminant particles in a plasma process
US10224183B1 (en) * 2018-03-21 2019-03-05 Lam Research Corporation Multi-level parameter and frequency pulsing with a low angular spread
US11398387B2 (en) * 2018-12-05 2022-07-26 Lam Research Corporation Etching isolation features and dense features within a substrate
US11361947B2 (en) 2019-01-09 2022-06-14 Tokyo Electron Limited Apparatus for plasma processing and method of etching
CN116844934A (zh) 2019-02-05 2023-10-03 东京毅力科创株式会社 等离子体处理装置
WO2020185353A1 (en) * 2019-03-13 2020-09-17 Applied Materials, Inc. Plasma ignition circuit
WO2020231881A1 (en) * 2019-05-10 2020-11-19 Lam Research Corporation Method and system for automated frequency tuning of radiofrequency (rf) signal generator for multi-level rf power pulsing
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
US11315757B2 (en) * 2019-08-13 2022-04-26 Mks Instruments, Inc. Method and apparatus to enhance sheath formation, evolution and pulse to pulse stability in RF powered plasma applications
US11545341B2 (en) 2019-10-02 2023-01-03 Samsung Electronics Co., Ltd. Plasma etching method and semiconductor device fabrication method including the same
WO2021134000A1 (en) * 2019-12-24 2021-07-01 Eagle Harbor Technologies, Inc. Nanosecond pulser rf isolation for plasma systems
KR20220010648A (ko) 2020-07-16 2022-01-26 삼성전자주식회사 플라즈마 식각 장치, 플라즈마 식각 방법 및 그를 포함하는 반도체 소자의 제조 방법
US11462389B2 (en) * 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US20230372528A1 (en) 2020-10-16 2023-11-23 University Of Georgia Research Foundation, Inc. Glycoconjugates
US11527384B2 (en) * 2020-11-24 2022-12-13 Mks Instruments, Inc. Apparatus and tuning method for mitigating RF load impedance variations due to periodic disturbances
TW202226899A (zh) * 2020-12-22 2022-07-01 荷蘭商Asm Ip私人控股有限公司 具匹配器的電漿處理裝置
KR20230133339A (ko) 2021-01-29 2023-09-19 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 소스 고주파 전력의 소스 주파수를제어하는 방법
WO2022177846A1 (en) * 2021-02-22 2022-08-25 Advanced Energy Industries, Inc. Integrated control of a plasma processing system
US20230170194A1 (en) * 2021-11-29 2023-06-01 Applied Materials, Inc. Ion energy control on electrodes in a plasma reactor
US20230187176A1 (en) * 2021-12-15 2023-06-15 Applied Materials, Inc. Auxiliary plasma source for robust ignition and restrikes in a plasma chamber
WO2023132300A1 (ja) * 2022-01-07 2023-07-13 東京エレクトロン株式会社 プラズマ処理装置、電源システム、制御方法、プログラム、及び記憶媒体
US12046448B2 (en) 2022-01-26 2024-07-23 Advanced Energy Industries, Inc. Active switch on time control for bias supply
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11996274B2 (en) * 2022-04-07 2024-05-28 Mks Instruments, Inc. Real-time, non-invasive IEDF plasma sensor
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply
WO2024091796A1 (en) * 2022-10-25 2024-05-02 Lam Research Corporation Systems and methods for controlling a pulse width of a square pulse waveform

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3112610B2 (ja) * 1994-02-22 2000-11-27 東京エレクトロン株式会社 プラズマ発生装置
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US6222718B1 (en) 1998-11-12 2001-04-24 Lam Research Corporation Integrated power modules for plasma processing systems
KR100712124B1 (ko) 2005-01-18 2007-04-27 삼성에스디아이 주식회사 용량결합형 플라즈마 처리 장치
DE102006052061B4 (de) 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
KR101353684B1 (ko) 2006-11-14 2014-01-20 엘지전자 주식회사 플라즈마 발생장치 및 방법
JP5426811B2 (ja) * 2006-11-22 2014-02-26 パール工業株式会社 高周波電源装置
JP5058909B2 (ja) 2007-08-17 2012-10-24 株式会社半導体エネルギー研究所 プラズマcvd装置及び薄膜トランジスタの作製方法
JP5395491B2 (ja) 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US8404598B2 (en) * 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US9117767B2 (en) * 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8692467B2 (en) * 2011-07-06 2014-04-08 Lam Research Corporation Synchronized and shortened master-slave RF pulsing in a plasma processing chamber
JP5867701B2 (ja) * 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
US9462672B2 (en) * 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9171699B2 (en) * 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US10157729B2 (en) * 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US8952765B2 (en) * 2012-03-23 2015-02-10 Mks Instruments, Inc. System and methods of bimodal automatic power and frequency tuning of RF generators
US9082590B2 (en) 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
TWI599272B (zh) * 2012-09-14 2017-09-11 蘭姆研究公司 根據三個或更多狀態之功率及頻率調整
US9232628B2 (en) * 2013-02-20 2016-01-05 Varian Semiconductor Equipment Associates, Inc. Method and system for plasma-assisted ion beam processing
JP6277055B2 (ja) 2014-04-25 2018-02-07 株式会社日立ハイテクノロジーズ プラズマ処理装置
TWI673753B (zh) * 2014-08-22 2019-10-01 美商蘭姆研究公司 一狀態期間中的次脈動方法
US9536749B2 (en) * 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US9595424B2 (en) * 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US9761459B2 (en) * 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
TWI559821B (zh) * 2015-09-25 2016-11-21 紫焰科技股份有限公司 獲得穩定電漿源之方法
CN106609362B (zh) * 2015-10-27 2020-12-01 奥塔装置公司 用于半导体化学气相沉积反应器的平铺式喷头
US10395894B2 (en) 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread

Also Published As

Publication number Publication date
CN118380304A (zh) 2024-07-23
KR20200038316A (ko) 2020-04-10
JP2024095763A (ja) 2024-07-10
JP2020532859A (ja) 2020-11-12
US20240162005A1 (en) 2024-05-16
CN111295731A (zh) 2020-06-16
US11569067B2 (en) 2023-01-31
JP7471478B2 (ja) 2024-04-19
US20190362942A1 (en) 2019-11-28
US20210313149A1 (en) 2021-10-07
JP2023062052A (ja) 2023-05-02
WO2019046093A1 (en) 2019-03-07
SG11202001658YA (en) 2020-03-30
JP7229232B2 (ja) 2023-02-27
US20230124201A1 (en) 2023-04-20
TW202331785A (zh) 2023-08-01
US20190066979A1 (en) 2019-02-28
TWI803513B (zh) 2023-06-01
US11049693B2 (en) 2021-06-29
US11915912B2 (en) 2024-02-27
CN111295731B (zh) 2024-04-09
US10395894B2 (en) 2019-08-27

Similar Documents

Publication Publication Date Title
TWI803513B (zh) 用以達成具有低的角分散之峰值離子能量增強的系統及方法
JP7441819B2 (ja) 制御されたエッチングのための単一エネルギイオン生成
US10755895B2 (en) Ion energy control by RF pulse shape
US10256077B2 (en) Sub-pulsing during a state
US20230005717A1 (en) Multi-state pulsing for achieving a balance between bow control and mask selectivity
US10304662B2 (en) Multi regime plasma wafer processing to increase directionality of ions
US20220319856A1 (en) Etching isolation features and dense features within a substrate