KR20200038316A - 저 각도 확산과 함께 피크 이온 에너지 향상을 달성하기 위한 시스템들 및 방법들 - Google Patents

저 각도 확산과 함께 피크 이온 에너지 향상을 달성하기 위한 시스템들 및 방법들 Download PDF

Info

Publication number
KR20200038316A
KR20200038316A KR1020207009234A KR20207009234A KR20200038316A KR 20200038316 A KR20200038316 A KR 20200038316A KR 1020207009234 A KR1020207009234 A KR 1020207009234A KR 20207009234 A KR20207009234 A KR 20207009234A KR 20200038316 A KR20200038316 A KR 20200038316A
Authority
KR
South Korea
Prior art keywords
state
generator
signal
during
power parameter
Prior art date
Application number
KR1020207009234A
Other languages
English (en)
Inventor
쥴린 슈브
잉 우
알렉스 패터슨
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20200038316A publication Critical patent/KR20200038316A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Electron Sources, Ion Sources (AREA)
  • Electron Tubes For Measurement (AREA)
  • Other Investigation Or Analysis Of Materials By Electrical Means (AREA)

Abstract

이온들의 저 각도 확산과 함께 피크 이온 에너지를 상승시키기 위한 시스템들 및 방법들이 기술된다. 시스템들 중 일 시스템에서, 플라즈마 챔버와 연관된 상부 전극에 커플링되는 복수의 RF 생성기들은 RF 생성기들의 펄싱을 위해 2 개의 상이한 주파수 레벨들과 같은, 2 개의 상이한 상태들에서 동작한다. RF 생성기들의 펄싱은 기판을 프로세싱하는 레이트를 더 상승시키기 위해 또 다른 상태 동안 이온 에너지를 상승시키기 위해 상태들 중 일 상태 동안 이온 에너지를 상태들 중 상기 또 다른 상태로의 전송을 용이하게 한다.

Description

저 각도 확산과 함께 피크 이온 에너지 향상을 달성하기 위한 시스템들 및 방법들
본 실시예들은 저 각도 확산과 함께 피크 이온 에너지 향상을 달성하기 위한 시스템들 및 방법들에 관한 것이다.
일부 플라즈마 프로세싱 시스템들에서, RF (radio frequency) 신호가 플라즈마 챔버 내 전극에 제공된다. RF 신호는 플라즈마 챔버 내에서 플라즈마를 생성하도록 사용된다. 플라즈마는 예를 들어, 하부 전극 상에 배치된 기판을 세정, 기판을 에칭하는, 등의 다양한 동작들에 사용된다. 플라즈마를 사용한 기판의 프로세싱 동안, RF 신호는 연속적이다.
이것이 본 개시에 기술된 실시예들이 발생하는 이러한 맥락이다.
본 개시의 실시예들은 저 각도 확산과 함께 피크 이온 에너지 향상을 달성하기 위한 시스템들, 장치, 방법들 및 컴퓨터 프로그램들을 제공한다. 다양한 방식들, 예를 들어, 프로세스, 장치, 시스템, 디바이스, 또는 컴퓨터 판독가능 매체 상의 방법으로 구현될 수 있다는 것이 인식될 것이다. 몇몇 실시예들이 이하에 기술된다.
일부 실시예들에서, 본 명세서에 기술된 시스템들 및 방법들은 공급되는 RF 바이어스 전압 또는 RF 바이어스 전력을 상승시키거나 실질적으로 상승시키지 않고 이온 에너지를 향상시키고, 피크 에너지에서 좁은 각도 확산을 생성한다. 피크 에너지에서 좁은 각도 확산은 고 종횡비 에칭을 달성하기 위해 사용된다.
본 명세서에 기술된 시스템들 및 방법들은 펄싱 시간 기간 동안 고 주파수 레벨 및 저 주파수 레벨을 인가한다. 고 주파수 레벨은 고 주파수 RF 생성기, 예컨대 27 ㎒ RF 생성기 또는 60 ㎒ RF 생성기에 의해 인가되고, 저 주파수 레벨은 또 다른 저 주파수 RF 생성기, 예컨대 2 ㎒ RF 생성기 또는 13.56 ㎒ RF 생성기 또는 400 ㎑ RF 생성기에 의해 인가된다. 시스템들 및 방법들은 RF 신호를 펄싱하지 않은, 예컨대 연속파 RF 신호로 달성된 피크 이온 에너지와 비교하여 35 %보다 큰 것과 같이, 피크 이온 에너지의 상승과 함께 타이트한 (tight), 예컨대 좁은 이온 각도를 용이하게 하는 장점을 갖는다. 타이트한 이온 각도 및 피크 이온 에너지의 상승은 저 주파수 및 고 주파수 동기된 RF 전압 펄스들의 결과로서 달성된다. 고 주파수 레벨의 온셋 (onset) 동안, 플라즈마 이온들은 이전의, 예컨대 선행하는, 저 주파수 레벨로부터 전압 부스트를 수용한다. 예를 들어, 저 주파수 레벨로부터의 전압의 양은 저 주파수 레벨에 연속적인 고 주파수 레벨로의 전압의 양을 부가한다. 이는 본 명세서에 기술된 시스템들 및 방법들에 대해 동일한 RF 바이어스 전압에 대한 연속파 기술과 비교하여 IEADF (ion energy and angular distribution function) 에서 보다 높은 피크 에너지를 유발한다. 플라즈마 시스의 시스 전압은 이하에 제공된 수식 (1) 에 기초하여 충전하거나 방전한다.
Figure pct00001
여기서, VLow는 저 주파수 레벨을 갖는 RF 신호의 전압 레벨이고, VHigh는 고 주파수 레벨을 갖는 RF 신호의 전압 레벨이고, VHigh _Peak는 이전 저 주파수 레벨의 요인이 전류 고 주파수 전압 레벨이 부가되는, 저 주파수 레벨과 고 주파수 레벨 사이의 천이 시간 t 후 최종 전압 레벨이다. 게다가, 수식 (1) 에서, exp은 지수 함수이고, R은 커패시터로서 작용하는 플라즈마 시스의 출력에서 레지스턴스이고, RC는 커패시터의 시간 상수이고, 그리고 C는 커패시터의 커패시턴스이다. 고 주파수 레벨의 온셋 동안, 플라즈마 이온들은 플라즈마 시스를 통해 이동하고 이전 저 주파수 레벨로부터 전압 레벨 때문에 연속파 기술과 비교하여 보다 높은 전압을 갖고 기판에 부딪친다 (strike). 이전 저 주파수 레벨로부터 전압 레벨이 고 주파수 레벨의 전압 레벨에 부가된다. 플라즈마 시스 전압 레벨의 이 상승은 이하에 제공된 식 (2) 의 분모를 증가시킨다.
Figure pct00002
여기서, Vs는 플라즈마 시스의 전압이고, Ti는 시스 에지에서 이온 온도이고, e는 단일 전자에 의해 반송되는 전하의 양이고, 그리고 tan은 탄젠트 함수이다. 수식 (2) 의 분모의 증가는 보다 높은 이온 각도 sθ를 제공한다. 또한, 저 주파수 레벨은 레지스턴스 R을 상승시키고 레지스턴스 R의 상승은 시간 상수 RC를 상승시킨다. 시간 상수 RC가 저 주파수 레벨 동안 상승할 때, 기판에서 바이어스 전압은 단일 주파수가 펄싱 없이, 예를 들어, 연속파 모드에서 사용될 때와 비교하여 훨씬 보다 많이 향상된다. 고 주파수 레벨과 저 주파수 레벨 간 펄싱은 바이어스 RF 생성기 시스템에 의해 공급되는 바이어스 전압을 상승시킴으로써 기판의 바이어스 전압이 상승되는 시스템과 비교하여 에칭 레이트에서 미리 결정된 양, 35 내지 50 %와 같은, 미리 결정된 양의 향상 및 채널의 CD (critical dimension) 의 미리 결정된 양, 예컨대 10 %의 개선을 함께 생성한다. CD의 개선은 보다 곧게 에칭된 피처가 있을 때 달성된다.
이에 더하여, 본 명세서에 기술된 시스템들 및 방법들은 바이어스 전압 또는 바이어스 전력을 실질적으로 상승시키지 않고 이온 에너지를 향상시키고 저 전력 파라미터 레벨로부터 전력 또는 전압의 양을 고 전력 파라미터 레벨의 전력의 양에 요인이 됨으로써 피크 에너지에서 좁은 각도 확산을 생성한다. 본 명세서에 기술된 시스템들 및 방법들은 펄싱 기간의 하이 상태 동안 고 전력 파라미터 레벨 및 로우 상태 동안 저 전력 파라미터 레벨을 채용한다. 저 전력 파라미터 레벨은 하이 상태 동안 전력 파라미터의 레벨의 백분율이다. 고 전력 파라미터 레벨 및 저 전력 파라미터 레벨은 동일한 RF 생성기, 예컨대 고 주파수 RF 생성기 또는 저 주파수 RF 생성기에 의해 공급된다. 이와 같이, 하이 상태의 온셋동안, 커패시터로서 작용하는 플라즈마 시스는 IEADF에서 보다 높은 피크 에너지를 유발하기 위해 이어서 고 전력 파라미터 레벨의 고 전압 또는 고 전력에 부가되는, 저 전력 파라미터 레벨의 이전 저 전압 또는 전력을 홀딩한다. 피크 에너지는 동일한 바이어스 전압에 대한 연속파 기술과 비교하여 하이 상태 및 로우 상태 동안 보다 높다. 플라즈마 시스의 전압이 수식 (1) 에 기초하여 충전되고 방전된다.
고 전력 파라미터 레벨의 온셋 동안, 플라즈마 이온들은 플라즈마 시스를 통해 이동하고 연속파 기술과 비교하여 보다 높은 전압으로 기판에 부딪친다. 이전의, 예컨대 선행하는, 저 전력 파라미터 레벨로부터 전압 또는 전력의 양이 고 전력 파라미터 레벨의 전압에 요인을 부가한다. 고 전력 파라미터 레벨의 전압으로의 부가는 수식 (2) 의 분모를 더 상승시키기 위해 플라즈마 시스의 전압을 상승시킨다. 수식 (2) 의 분모의 증가는 좁은 이온 각도를 발생시킨다. 또한, 연속파 기술과 달리, 저 전력 파라미터 레벨로부터 고 전력 파라미터 레벨로의 천이 동안, 플라즈마 시스는 처음으로 보다 얇아지기 때문에, 플라즈마 이온들은 이온 에너지 및 보다 타이트한 이온 각도 모두를 보존하기 위해 보다 적은 충돌들 및 보다 적은 스케터링을 통해 진행한다. 충돌들 및 스케터링이 연속파 모드에서 보다 두꺼운 시스와 비교하여 보다 적다. 고 전력 파라미터 레벨 동안 피크 에너지에서 이 에너지 향상된 이온들은 연속파 모드에 대해서와 비교하여 고 종횡비 에칭을 위해 사용된 보다 타이트한 이온 각도를 유지한다. 또한, 저 전력 파라미터 레벨 동안 시스 에지에서 이온 온도 Ti가 낮기 때문에, 저 전력 파라미터 레벨로부터 고 전력 파라미터 레벨로의 천이 동안 이온 각도 확산은 CW 기술과 비교하여 보다 좁다. 모든 이들 인자들은 함께 IEDF의 피크 에너지를 향상시키고 이 피크 에너지에서 이온 각도를 더 조이게 (tighten) 된다. 게다가, 저 전력 파라미터 레벨과 고 전력 파라미터 레벨 간 펄싱으로 인해, 마스크가 연속파 기술과 비교하여 급격하게 보다 적게 부식된다.
일부 실시예들에서, 에칭 동작 동안 기판의 표면을 향해 지향된 이온들의 각도 확산을 감소시키고 이온 에너지를 상승시키도록 플라즈마 챔버를 동작시키는 방법이 기술된다. 방법은 플라즈마 챔버의 동작을 구동시키도록 펄싱된 신호를 수신하는 단계를 포함한다. 펄싱된 신호는 제 1 상태 및 제 2 상태를 포함하는 2 개의 상태들을 갖는다. 방법은 주 (primary) RF 생성기를 제 1 상태 동안 주 주파수 레벨에서 동작시키고 주 RF 생성기를 제 2 상태 동안 오프 상태로 유지하는 단계를 더 포함한다. 제 1 상태 동안 주 RF 생성기의 동작은 기판 위에 형성된 플라즈마 시스에 대해 증가된 전하를 생성한다. 증가된 전하는 플라즈마 시스의 두께를 부가한다. 방법은 또한 보조 (secondary) RF 생성기를 제 2 상태 동안 보조 주파수 레벨에서 동작시키고 보조 RF 생성기를 제 1 상태 동안 오프 상태로 유지하는 단계를 포함한다. 제 2 상태 동안 보조 RF 생성기의 동작은 제 1 상태 동안 생성된 플라즈마 시스의 증가된 전하의 적어도 일부를 제 2 상태 동안 생성된 이온 에너지를 향상시키기 위한 부가 전력으로서 사용한다. 부가 전력은 기판의 표면을 향해 지향될 때 이온들의 각도 확산을 감소시킨다. 주 RF 생성기 및 보조 RF 생성기는 임피던스 매칭 회로를 통해 플라즈마 챔버와 연관된 상단 전극에 커플링된다. 방법은 제 1 상태 및 제 2 상태의 복수의 사이클들에 걸쳐 에칭 동작을 향상시키기 위해 펄싱된 신호에 따라 제 1 상태 및 제 2 상태에서 주 RF 생성기 및 보조 RF 생성기를 동작시키는 것을 계속하는 단계를 포함한다.
다양한 실시예들에서, 에칭 동작 동안 기판의 표면을 향해 지향된 이온들의 각도 확산을 감소시키고 이온 에너지를 상승시키도록 플라즈마 챔버를 동작시키는 방법이 기술된다. 방법은 플라즈마 챔버의 동작을 구동시키도록 펄싱된 신호를 수신하는 단계를 포함한다. 방법은 주 RF 생성기를 제 1 상태 동안 제 1 주 주파수 레벨에서 그리고 제 2 상태 동안 제 2 주 주파수 레벨에서 동작시키는 단계를 더 포함한다. 제 1 상태 동안 주 RF 생성기의 동작은 기판 위에 형성된 플라즈마 시스에 대해 증가된 전하를 생성한다. 방법은 보조 RF 생성기를 제 1 상태 동안 제 1 보조 주파수 레벨에서 그리고 제 2 상태 동안 제 2 보조 주파수 레벨에서 동작시키는 단계를 더 포함한다. 제 2 상태 동안 보조 RF 생성기의 동작은 제 1 상태 동안 생성된 플라즈마 시스의 증가된 전하의 적어도 일부를 제 2 상태 동안 생성된 이온 에너지를 향상시키기 위한 부가 전력으로서 사용한다. 제 1 주 주파수 레벨, 제 2 주 주파수 레벨, 제 1 보조 주파수 레벨, 및 제 2 보조 주파수 레벨 각각은 0이 아니다. 예를 들어, 주 RF 생성기 및 보조 RF 생성기 중 어느 것도 제 1 상태 및 제 2 상태 동안 오프되지 않는다. 방법은 제 1 상태 및 제 2 상태의 복수의 사이클들에 걸쳐 에칭 동작을 향상시키기 위해 펄싱된 신호에 따라 제 1 상태 및 제 2 상태에서 주 RF 생성기 및 보조 RF 생성기를 동작시키는 것을 계속하는 단계를 포함한다.
몇몇 실시예들에서, 에칭 동작 동안 기판의 표면을 향해 지향된 이온들의 각도 확산을 감소시키고 이온 에너지를 상승시키도록 플라즈마 챔버를 동작시키기 위한 시스템이 기술된다. 시스템은 주 RF 신호를 생성하는 주 전력 공급부를 갖는 주 RF 생성기를 포함한다. 시스템은 보조 RF 신호를 생성하는 보조 전력 공급부를 갖는 보조 RF 생성기를 더 포함한다. 시스템은 또한 주 전력 공급부 및 보조 전력 공급부에 커플링된 임피던스 매칭 네트워크를 포함한다. 임피던스 매칭 네트워크는 수정된 RF 신호를 생성하기 위해 주 RF 신호 및 보조 RF 신호를 수신한다. 시스템은 임피던스 매칭 네트워크에 커플링된 상단 전극을 갖는 플라즈마 챔버를 포함한다. 플라즈마 챔버는 수정된 RF 신호를 수신한다. 주 RF 생성기는 하나 이상의 프로세서들을 포함한다. 주 RF 생성기의 하나 이상의 프로세서들은, 플라즈마 챔버의 동작을 구동시키도록 펄싱된 신호를 수신한다. 하나 이상의 프로세서들은 주 RF 생성기를 제 1 상태 동안 주 주파수 레벨에서 동작시키고 주 RF 생성기를 제 2 상태 동안 오프 상태로 유지한다. 제 1 상태 동안 주 RF 생성기의 동작은 기판 위에 형성된 플라즈마 시스에 대해 증가된 전하를 생성한다. 증가된 전하는 플라즈마 시스의 두께를 부가한다. 보조 RF 생성기는 펄싱된 신호를 수신하도록 구성된 하나 이상의 프로세서들을 포함한다. 보조 RF 생성기의 하나 이상의 프로세서들은, 제 2 상태 동안 보조 주파수 레벨에서 동작시키고 보조 RF 생성기를 제 1 상태 동안 오프 상태로 유지한다. 제 2 상태 동안 보조 RF 생성기의 동작은 제 1 상태 동안 생성된 플라즈마 시스의 증가된 전하의 적어도 일부를 제 2 상태 동안 생성된 이온 에너지를 향상시키기 위한 부가 전력으로서 사용한다. 부가 전력은 기판의 표면을 향해 지향될 때 이온들의 각도 확산을 감소시킨다. 주 RF 생성기 및 보조 RF 생성기는 제 1 상태 및 제 2 상태의 복수의 사이클들에 걸쳐 에칭 동작을 향상시키기 위해 펄싱된 신호에 따라 제 1 상태 및 제 2 상태에서 계속해서 동작한다.
다른 양태들은 첨부된 도면들과 함께 취해진, 이하의 상세한 기술로부터 자명해질 것이다.
실시예들은 첨부된 도면들과 함께 취해진 이하의 기술을 참조하여 최상으로 이해될 수도 있다.
도 1a는 저 각도 확산으로 피크 이온 에너지 향상을 달성하기 위해 주파수 레벨 2-상태 펄싱을 예시하기 위한 플라즈마 툴의 실시예의 블록도이다.
도 1b는 일 상태가 오프 상태인, 주파수 레벨 2-상태 펄싱을 예시하기 위한 그래프들의 실시예들의 도면이다.
도 1c는 두 상태들이 0이 아닌 상태들인, 주파수 레벨 2-상태 펄싱을 예시하기 위한 그래프들의 실시예들의 도면이다.
도 2a는 저 각도 확산으로 피크 이온 에너지 향상을 달성하기 위해 주파수 레벨 3-상태 펄싱을 예시하기 위한 플라즈마 툴의 실시예의 블록도이다.
도 2b는 주파수 레벨 3-상태 펄싱을 예시하기 위한 그래프들의 실시예들의 도면이다.
도 2c는 주파수 레벨 3-상태 펄싱을 예시하기 위한 그래프들의 실시예들의 도면이다.
도 2d는 주파수 레벨 3-상태 펄싱을 예시하기 위한 그래프들의 실시예들의 도면이다.
도 3은 주파수 펄싱된 RF 생성기에 의해 생성된 RF 신호의 주파수 레벨의 펄싱과 함께, 기판의 표면 상에 입사하는 플라즈마 이온들의 피크 에너지의 상승이 있다는 것을 예시하기 위한 복수의 그래프들의 실시예들의 도면이다.
도 4는 바이어스 RF 생성기에 의해 공급되는 바이어스 전압의 상승과 함께, 플라즈마 이온들의 각도 분포의 감소가 있다는 것을 예시하기 위한 그래프의 일 실시예의 도면이다.
도 5는 바이어스 전압의 상승으로 달성된 것과 비슷한, 각도 확산이 RF 생성기의 주파수 레벨을 펄싱함으로써 달성되는 것을 예시하기 위한 그래프의 일 실시예의 도면이다.
도 6은 기판 내에 형성된 채널의 CD의 차를 예시하기 위한 그래프들의 실시예들의 도면이다.
도 7a는 저 각도 확산으로 피크 이온 에너지 향상을 달성하기 위한 전력 파라미터 레벨 펄싱을 예시하기 위한 플라즈마 툴의 실시예의 블록도이다.
도 7b는 도 7a의 플라즈마 툴의 RF 생성기에 의해 생성된 RF 신호의 전력 파라미터의 펄싱을 예시하기 위한 그래프들의 실시예들의 도면이다.
도 8은 바이어스 전압의 상승과 함께, 플라즈마 이온들의 수직 지향성의 상승이 있다는 것을 예시하기 위한 복수의 그래프들의 실시예들의 도면이다.
도 9는 RF 생성기에 의해 생성된 RF 신호의 전력 파라미터 레벨의 펄싱과 함께, 기판의 표면 상에 입사하는 플라즈마 이온들의 피크 에너지의 상승이 있다는 것을 예시하기 위한 복수의 그래프들을 예시하기 위한 실시예들의 도면이다.
도 10은 도 4의 그래프의 일 실시예의 도면이다.
도 11은 바이어스 전압의 상승으로 달성된 것과 비슷한, 각도 확산이 RF 생성기의 전력 파라미터 레벨을 펄싱함으로써 달성되는 것을 예시하기 위한 그래프의 일 실시예의 도면이다.
도 12는 전력 파라미터 레벨의 펄싱과 연속파 모드의 인가 사이에 달성된 CD의 차를 예시하기 위한 그래프들의 실시예들의 도면이다.
도 13a는 저 각도 확산으로 피크 이온 에너지 향상을 달성하기 위한 바이어스 RF 생성기의 전력 파라미터 레벨 펄싱을 예시하기 위한 플라즈마 툴의 실시예의 블록도이다.
도 13b는 도 13a의 바이어스 RF 생성기에 의해 생성된 RF 신호의 전력 파라미터의 펄싱을 예시하기 위한 그래프들의 실시예들의 도면이다.
이하의 실시예들은 저 각도 확산으로 피크 이온 에너지 향상을 달성하기 위한 시스템들 및 방법들을 기술한다. 본 실시예들은 이들 구체적인 상세들의 일부 또는 전부없이 실시될 수도 있다는 것이 자명할 것이다. 다른 예들에서, 공지의 프로세스 동작들은 본 실시예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다.
도 1a는 저 각도 확산으로 피크 이온 에너지 향상을 달성하기 위한 플라즈마 툴 (100) 의 실시예의 블록도이다. 플라즈마 툴 (100) 은 RF 생성기 (RFGx), 또 다른 RF 생성기 (RFGy), 호스트 컴퓨터 (116), 임피던스 매칭 네트워크 (IMN) (104), 플라즈마 챔버 (108), 또 다른 IMN (112), 및 하나 이상의 바이어스 RF 생성기들을 포함하는 바이어스 RF 생성기 시스템 (114) 을 포함한다. 플라즈마 툴 (100) 은 RF 생성기 시스템 (114) 을 IMN (112) 에 커플링하는 RF 케이블 시스템 (137) 및 IMN (112) 을 플라즈마 챔버 (108) 의 척 (110) 에 커플링하는 RF 송신 라인 (139) 을 더 포함한다. RF 송신 라인 (139) 은 절연체에 의해 둘러싸이고 시스에 의해 또한 둘러싸이는 금속 막대 (rod) 이다. 금속 막대는 RF 스트랩을 통해 실린더에 커플링되고 실린더는 척 (110) 에 커플링된다. RF 생성기 (RFGx) 의 예들은 저 주파수 RF 생성기, 예컨대 400 ㎑ (kilohertz) RF 생성기, 또는 2 ㎒ (megahertz) RF 생성기, 또는 13.56 ㎒ RF 생성기를 포함한다. RF 생성기 (RFGy) 의 예들은 고 주파수 RF 생성기, 예컨대 13.56 ㎒, 또는 27 ㎒, 또는 60 ㎒ RF 생성기를 포함한다. RF 생성기 (RFGy)는 RF 생성기 (RFGx) 보다 높은 주파수에서 동작한다. 호스트 컴퓨터 (116) 의 예들은 데스크탑 컴퓨터, 또는 랩탑 컴퓨터, 또는 스마트폰, 또는 태블릿, 등을 포함한다.
RF 케이블 시스템 (137) 은 바이어스 RF 생성기 시스템 (114) 을 IMN (112) 에 커플링하는 하나 이상의 RF 케이블들을 포함한다. 복수의 RF 케이블들이 RF 케이블 시스템 (137) 내에 포함되는 경우에, RF 케이블들은 IMN (112) 의 상이한 입력부들에 커플링된다. 예를 들어, 일 RF 케이블이 바이어스 RF 생성기 시스템 (114) 의 RF 생성기의 출력부를 IMN (112) 의 입력부에 커플링하고 또 다른 RF 케이블이 바이어스 RF 생성기 시스템 (114) 의 또 다른 RF 생성기의 출력부를 IMN (112) 의 또 다른 입력부에 커플링한다.
IMN (112) 은 IMN (112) 의 출력부에 커플링된 부하의 임피던스와 하나 이상의 IMN (112) 의 입력부들에 커플링된 소스의 임피던스를 매칭하도록 전기 회로 컴포넌트들, 예를 들어, 인덕터들, 커패시터들, 레지스터들, 또는 이들의 2 이상의 조합, 등을 포함한다. 예를 들어, IMN (112) 은 IMN (112) 의 출력부에 커플링된 플라즈마 챔버 (108) 및 RF 송신 라인 (139) 의 임피던스와 하나 이상의 IMN (112) 의 입력부들에 커플링된 바이어스 RF 생성기 시스템 (114) 및 RF 케이블 시스템 (137) 의 임피던스를 매칭한다. 일 실시예에서, IMN (112) 의 전기 회로 컴포넌트들 중 하나 이상은 IMN (112) 의 출력부에 커플링된 부하의 임피던스와 하나 이상의 IMN (112) 의 입력부들에 커플링된 소스의 임피던스 사이의 매칭을 용이하게 하도록 튜닝된다. IMN (112) 은 RF 전력이 소스를 향한 방향으로, 예컨대, 부하로부터 소스를 향해 반사될 확률을 감소시킨다.
RF 생성기 (RFGx)는 디지털 신호 프로세서 (DSPx), 전력 파라미터 제어기 (PWRS1x), 또 다른 전력 파라미터 제어기 (PWRS2x), AFT (AFT) (AFTS1x), 또 다른 AFT (AFTS2x), RF 전력 공급부 (Psx), 및 드라이버 시스템 (118) 을 포함한다. 본 명세서에 사용된 바와 같은, RF 전력 공급부의 예들은 RF 오실레이터를 포함한다. 예시를 위해, RF 전력 공급부가 RF에서 오실레이팅하는 신호, 예컨대 사인파를 생성하는 전자 회로이다. 또 다른 예시로서, RF 전력 공급부가 전압이 석영 결정 근방 또는 결정 상의 전극에 인가될 때 미리 결정된 주파수에서 왜곡되는 석영 결정을 갖는 결정 오실레이터이다. 본 명세서에 사용된 바와 같은, 프로세서가 ASIC (application specific integrated circuit), 또는 PLD (programmable logic device), 또는 CPU (central processing unit), 또는 마이크로프로세서, 또는 마이크로컨트롤러이다. 본 명세서에 사용된 바와 같은, 제어기가 ASIC (application specific integrated circuit), 또는 PLD (programmable logic device), 또는 CPU (central processing unit), 또는 마이크로프로세서, 또는 마이크로컨트롤러, 또는 프로세서이다. 본 명세서에 사용된 바와 같은, 드라이버 시스템의 예들은 하나 이상의 트랜지스터들을 포함한다.
플라즈마 챔버 (108) 는 플라즈마 챔버 (108) 의 상부 벽의 일부를 형성하는, 유전체 윈도우 (120) 를 포함한다. 유전체 윈도우 (120) 는 플라즈마 챔버 (108) 의 내부 볼륨으로부터 상부 전극 (106) 을 분리한다. 유전체 윈도우 (120) 는 플라즈마 챔버 (108) 의 볼륨 내부에서 상부 전극 (106) 에 의해 유도되는 전기장의 효과를 제어, 예컨대 감소시킨다. 상부 전극 (106) 의 일 예는 하나 이상의 코일 감김들 (turns) 을 포함하는, TCP 코일을 포함한다. 예를 들어, 코일 감김 각각은 동일한 수평 평면에 놓인다. 또 다른 예로서, 코일 감김 각각은 상이한 수평 평면에 놓인다. 상부 전극 (106) 은 유전체 윈도우 (120) 를 통해 플라즈마 챔버 (108) 의 내부 볼륨에 유도 결합한다. 유전체 윈도우 (120) 를 제조하기 위해 사용된 재료들의 예들은 석영, 세라믹, 등을 포함한다. 일부 실시예들에서, 플라즈마 챔버 (108) 는 또한 다른 컴포넌트들 (미도시), 예를 들어, 척 (110) 을 둘러싸는 하부 유전체 링, 하부 유전체 링을 둘러싸는 하부 전극 연장부, 하부 PEZ (plasma exclusion zone) 링, 등을 포함한다. 상부 전극 (106) 은 하부 전극을 포함하는, 척 (110) 반대편에 그리고 마주보고 위치된다. 예를 들어, 척 (110) 은 하부 전극의 상단 전극에 부착되는 세라믹 층 하부 전극의 하단부에 부착되는 설비 플레이트를 포함한다. 하부 전극은 금속, 예를 들어, 양극산화된 알루미늄, 알루미늄의 합금, 등으로 이루어진다. 또한, 상부 전극 (106) 은 금속으로 이루어진다.
기판 (122), 예를 들어, 반도체 웨이퍼가 척 (110) 의 상부 표면 상에 지지된다. 집적 회로들, 예를 들어, ASIC, PLD, 등은 기판 (122) 상에서 전개되고 집적 회로들은 다양한 디바이스들, 예를 들어, 휴대 전화들, 태블릿들, 스마트폰들, 컴퓨터들, 랩탑들, 네트워킹 장비, 등에 사용된다.
플라즈마 챔버 (108) 의 측벽 내에 형성된 것과 같은, 하나 이상의 유입구 포트들이 중앙 가스 피드 (미도시) 에 커플링된다. 중앙 가스 피드는 가스 공급부 (미도시) 로부터 하나 이상의 프로세스 가스들을 수용한다. 하나 이상의 프로세스 가스들의 예들은 산소 함유 가스, 예컨대 O2를 포함한다. 하나 이상의 프로세스 가스들의 다른 예들은 불소 함유 가스, 예를 들어, 테트라플루오로메탄 (CF4), 설퍼 헥사플루오라이드 (SF6), 헥사플루오로에탄 (C2F6), 등을 포함한다.
DSPx는 전력 파라미터 제어기들 (PWRS1x 및 PWRS2x) 에, 그리고 AFT들 (AFTS1x 및 AFTS2x) 에 커플링된다. 게다가, 전력 파라미터 제어기들 (PWRS1x 및 PWRS2x) 및 AFT들 (AFTS1x 및 AFTS2x) 이 드라이버 시스템 (118) 에 커플링된다. 드라이버 시스템 (118) 은 RF 전력 공급부 (Psx) 에 커플링된다. RF 전력 공급부 (Psx) 는 RF 생성기 (RFGx) 의 출력부를 통해 RF 케이블 (124) 에 커플링되고, 이는 IMN (104) 의 입력부에 커플링된다.
IMN (104) 의 출력부가 RF 송신 케이블 (126) 을 통해 상부 전극 (106) 단부 (E1) 에 커플링된다. 상부 전극 (106) 은 반대편 단부, 예컨대 단부 (E2) 에서 접지 전위에 커플링된다. 예시적인 RF 송신 케이블 (126) 은 RF 케이블이다.
RF 생성기 (RFGy) 는 DSPy, 전력 파라미터 제어기 (PWRS1y), 또 다른 전력 파라미터 제어기 (PWRS2y), AFT (AFTS1y), 및 또 다른 AFT (AFTS2y) 를 포함한다. RF 생성기 (RFGy) 는 RF 전력 공급부 (Psy) 및 드라이버 시스템 (128) 을 더 포함한다. DSPy는 전력 파라미터 제어기들 (PWRS1y 및 PWRS2y) 에 커플링되고, 그리고 AFT들 (AFTS1y 및 AFTS2y) 에 커플링된다. 게다가, 전력 파라미터 제어기들 (PWRS1y 및 PWRS2y) 및 AFT들 (AFTS1y 및 AFTS2y) 은 드라이버 시스템 (128) 에 커플링된다. 드라이버 시스템 (128) 은 RF 전력 공급부 (Psy) 에 커플링된다. RF 전력 공급부 (Psy) 는 RF 생성기 (RFGy) 의 출력부를 통해 RF 케이블 (130) 에 커플링되고, 이는 또 다른 IMN (104) 의 입력부에 커플링된다. RF 케이블 (130) 이 커플링되는 다른 IMN (104) 의 입력부는 RF 케이블 (124) 이 커플링되는 입력부와 상이하다.
IMN (104) 은 IMN (104) 의 출력부에 커플링된 부하의 임피던스와 IMN (104) 의 입력부들에 커플링된 소스의 임피던스를 매칭시키기 위해 전기 회로 컴포넌트들, 예를 들어, 인덕터들, 커패시터들, 레지스터들, 또는 이들의 2 이상의 조합, 등을 포함한다. 예를 들어, IMN (104) 은 IMN (104) 의 출력부에 커플링된 플라즈마 챔버 (108) 및 RF 송신 케이블 (126) 의 임피던스와 RF 생성기 (RFGx), RF 케이블 (124), RF 생성기 (RFGy), 및 RF 케이블 (130) 의 임피던스를 매칭시킨다. 일 실시예에서, IMN (104) 의 전기 회로 컴포넌트들 중 하나 이상은 IMN (104) 의 출력부에 커플링된 부하의 임피던스와 IMN (104) 의 입력부들에 커플링된 소스의 임피던스 사이의 매칭을 용이하게 하도록 튜닝된다. IMN (104) 은 RF 전력이 소스를 향한 방향으로, 예컨대, 부하로부터 소스를 향해 반사될 확률을 감소시킨다.
호스트 컴퓨터 (116) 는 프로세서 (132) 및 메모리 디바이스 (134) 를 포함한다. 프로세서 (132) 는 메모리 디바이스 (134) 에 커플링된다. 메모리 디바이스의 예들은 RAM (random access memory) 및 ROM (read-only memory) 을 포함한다. 예시를 위해, 메모리 디바이스는 플래시 메모리, 하드 디스크, 또는 저장 디바이스, 등이다. 메모리 디바이스는 컴퓨터 판독가능 매체의 일 예이다. 프로세서 (132) 는 케이블 (136) 을 통해 DSPx 에 커플링되고 케이블 (138) 을 통해 DSPy에 커플링된다. 케이블 (136) 또는 케이블 (138) 의 예들은 직렬 방식으로 데이터를 전송하도록 사용되는 케이블, 병렬 방식으로 데이터를 전송하도록 사용되는 케이블, 및 USB (universal serial bus) 프로토콜을 적용함으로써 데이터를 전송하도록 사용되는 케이블을 포함한다.
프로세서 (132) 의 제어 회로는 펄싱된 신호 (102), 예를 들어, TTL (transistor-transistor logic) 신호, 디지털 펄싱 신호, 클록 신호, 듀티 사이클을 갖는 신호, 등을 생성하도록 사용된다. 펄싱된 신호 (102) 를 생성하도록 사용된 프로세서 (132) 의 제어 회로의 예들은 TTL 회로를 포함한다.
펄싱된 신호 (102) 는 복수의 상태들 (S1 및 S2) 을 포함한다. 예를 들어, 펄싱된 신호 (102) 의 상태 S1은 펄싱된 신호 (102) 의 사이클의 일부 동안 1의 논리 레벨 그리고 사이클의 또 다른 부분 동안 0의 논리 레벨을 갖는다. 다양한 실시예들에서, 상태들 (S1 및 S2) 은 펄싱된 신호 (102) 의 사이클 동안 한번 실행되고 그리고 펄싱된 신호 (102) 의 복수의 사이클들로 반복된다. 예를 들어, 펄싱된 신호 (102) 의 사이클은 상태들 (S1 및 S2) 을 포함하고 그리고 펄싱된 신호 (102) 의 또 다른 사이클은 상태들 (S1 및 S2) 을 포함한다. 예시를 위해, 펄싱된 신호 (102) 의 사이클의 주기의 일부 동안, 상태 S1이 실행되고 사이클의 남아 있는 주기 동안, 상태 S2가 실행된다. 다른 예로서, 상태 S1의 듀티 사이클은 상태 S2의 듀티 사이클과 동일하다. 예시를 위해, 펄싱된 신호 (102) 의 상태 S1 및 상태 S2 각각은 50 %의 듀티 사이클을 갖는다. 또 다른 예로서, 상태 S1의 듀티 사이클은 상태 S2의 듀티 사이클과 상이하다. 예시를 위해, 펄싱된 신호 (102) 의 상태 S1은 a %의 듀티 사이클을 갖고 펄싱된 신호 (102) 의 상태 S2는 (100-a) %의 듀티 사이클을 갖고, a는 0보다 큰 정수이다. a %의 예는 10 % 내지 50 %의 범위이다. a %의 다른 예는 20 % 내지 40 %의 범위이다. a %의 또 다른 예는 25 %이다.
다양한 실시예들에서, 프로세서 (132) 의 제어 회로 대신, 클록 소스, 예를 들어, 결정 오실레이터, 등이 아날로그-투-디지털 컨버터에 의해 펄싱된 신호 (102) 와 유사한 디지털 신호로 변환되는, 아날로그 클록 신호를 생성하도록 사용된다. 예를 들어, 결정 오실레이터는 결정 오실레이터 근방 전극에 전압을 인가함으로써 전기장에서 오실레이팅하도록 형성된다. 다양한 실시예들에서, 프로세서 (132) 대신, 디지털 클록 소스가 펄싱된 신호 (102) 를 생성한다.
프로세서 (132) 는 메모리 디바이스 (134) 로부터 레시피에 액세스한다. 레시피의 예들은 상태 S1에 대해 RF 생성기 (RFGx) 에 적용될 전력 파라미터 설정 점, 상태 S2에 대해 RF 생성기 (RFGx) 에 적용될 전력 파라미터 설정 점, 상태 S1에 대해 RF 생성기 (RFGx) 에 적용될 주파수 설정 점, 상태 S2에 대해 RF 생성기 (RFGx) 에 적용될 주파수 설정 점, 상태 S1에 대해 RF 생성기 (RFGy) 에 적용될 전력 파라미터 설정 점, 상태 S2에 대해 RF 생성기 (RFGy) 에 적용될 전력 파라미터 설정 점, 상태 S1에 대해 RF 생성기 (RFGy) 에 적용될 주파수 설정 점, 상태 S2에 대해 RF 생성기 (RFGy) 에 적용될 주파수 설정 점, 하나 이상의 프로세스 가스들의 화학물질, 또는 이들의 조합을 포함한다. 본 명세서에 사용된 바와 같은, 전력 파라미터 설정 점의 예들은 전압 설정 점 및 전력 설정 점을 포함한다.
프로세서 (132) 는 케이블 (136) 을 통해 DSPx로 펄싱된 신호 (102) 와 함께 인스트럭션을 전송한다. 케이블 (136) 을 통해 DSPx로 전송된 인스트럭션은 펄싱된 신호 (102) 에 관한 정보, 상태 S1에 대해 RF 생성기 (RFGx) 에 적용될 전력 파라미터 설정 점, 상태 S2에 대해 RF 생성기 (RFGx) 에 적용될 전력 파라미터 설정 점, 상태 S1에 대해 RF 생성기 (RFGx) 에 적용될 주파수 설정 점, 및 상태 S2에 대해 RF 생성기 (RFGx) 에 적용될 주파수 설정 점을 갖는다. 펄싱된 신호 (102) 에 관한 정보는 RF 생성기 (RFGx) 에 의해 생성될 RF 신호가 펄싱된 신호 (102) 의 천이 시간 tst1에서 상태 S1로부터 상태 S2로 천이하고 그리고 RF 신호가 펄싱된 신호 (102) 의 천이 시간 tst2에서 상태 S2로부터 상태 S1로 천이한다고 DSPx에 나타낸다. DSPx는 상태 S1에 대한 전력 파라미터 설정 점은 펄싱된 신호 (102) 의 상태 S1 동안 적용되고, 상태 S2에 대한 전력 파라미터 설정 점은 펄싱된 신호 (102) 의 상태 S2 동안 적용되고, 상태 S1에 대한 주파수 설정 점은 펄싱된 신호 (102) 의 상태 S1 동안 적용되고, 그리고 상태 S2에 대한 주파수 설정 점은 펄싱된 신호 (102) 의 상태 S2 동안 적용된다고 인스트럭션으로부터 결정한다. 게다가, DSPx는 인스트럭션 및 펄싱된 신호 (102) 로부터, RF 생성기 (RFGx) 에 의해 생성될 RF 신호는 펄싱된 신호 (102) 의 천이 시간 tst1에서 상태 S1로부터 상태 S2로 천이하도록, 그리고 RF 신호가 펄싱된 신호 (102) 의 천이 시간 tst2에서 상태 S2로부터 상태 S1로 천이하도록 결정한다. 천이 시간 tst1 및 천이 시간 tst2는 펄싱된 신호 (102) 의 사이클 각각에 대해 반복된다.
펄싱된 신호 (102) 의 사이클의 천이 시간 tst2에서, DSPx는 상태 S1에 대한 전력 파라미터 설정 점을 전력 파라미터 제어기 (PWRS1x) 로 전송한다. 유사하게, 펄싱된 신호 (102) 의 사이클의 천이 시간 tst1에서, DSPx는 상태 S2에 대한 전력 파라미터 설정 점을 전력 파라미터 제어기 (PWRS2x) 로 전송한다. 게다가, 펄싱된 신호 (102) 의 사이클의 천이 시간 tst2에서, DSPx는 상태 S1에 대한 주파수 설정 점을 AFT (AFTS1x) 로 전송한다. 또한, 펄싱된 신호 (102) 의 사이클의 천이 시간 tst1에서, DSPx는 상태 S2에 대한 주파수 설정 점을 AFT (AFTS2x) 로 전송한다.
상태 S1에 대한 전력 파라미터 설정 점을 수신하자마자, 전력 파라미터 제어기 (PWRS1x) 는 상태 S1에 대한 전력 파라미터 설정 점에 대응하는, 예를 들어, 1-대-1 관계를 갖고, 맵핑되고, 링크되는, 등의 전류의 양을 결정한다. 상태 S1 동안 드라이버 시스템 (118) 에 의해 생성될 전류의 양에 기초하여, 전력 파라미터 제어기 (PWRS1x) 는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (118) 으로 전송한다. 상태 S1에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (118) 은 전류의 양을 갖는 전류 신호를 생성하고 RF 전력 공급부 (Psx) 로 전송한다. RF 전력 공급부 (Psx) 는, 전류 신호를 수신하자마자 상태 S1에 대한 전력 파라미터 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGx) 의 출력부 및 RF 케이블 (124) 을 통해 IMN (104) 의 입력부로 공급한다. 상태 S1에 대한 전력 파라미터 설정 점은 RF 생성기 (RFGx) 의 RF 전력 공급부 (Psx) 에 의해 상태 S1 동안 유지된다.
유사하게, 상태 S2에 대한 전력 파라미터 설정 점을 수신하자마자, 전력 파라미터 제어기 (PWRS2x) 는 상태 S2에 대한 전력 파라미터 설정 점에 대응하는 전류의 양을 결정한다. 상태 S2 동안 드라이버 시스템 (118) 에 의해 생성될 전류의 양에 기초하여, 전력 파라미터 제어기 (PWRS2x) 는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (118) 으로 전송한다. 상태 S2에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (118) 은 전류의 양을 갖는 전류 신호를 RF 전력 공급부 (Psx) 로 전송한다. RF 전력 공급부 (Psx) 는, 전류 신호를 수신하자마자 상태 S2에 대한 전력 파라미터 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGx) 의 출력부 및 RF 케이블 (124) 을 통해 IMN (104) 의 입력부로 공급한다. 상태 S2에 대한 전력 파라미터 설정 점은 상태 S2 동안 RF 생성기 (RFGx) 의 RF 전력 공급부 (Psx) 에 의해 유지된다.
게다가, 상태 S1에 대한 주파수 설정 점을 수신하자마자, AFT (AFTS1x) 는 상태 S1에 대한 주파수 설정 점에 대응하는 전류의 양을 결정한다. 상태 S1 동안 드라이버 시스템 (118) 에 의해 생성될 전류의 양에 기초하여, AFT (AFTS1x) 는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (118) 으로 전송한다. 상태 S1에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (118) 은 전류의 양을 갖는 전류 신호를 생성하고 RF 전력 공급부 (Psx) 로 전송한다. RF 전력 공급부 (Psx) 는, 전류 신호를 수신하자마자 상태 S1에 대한 주파수 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGx) 의 출력부 및 RF 케이블 (124) 을 통해 IMN (104) 의 입력부로 공급한다. 상태 S1에 대한 주파수 설정 점은 상태 S1동안 RF 전력 공급부 (Psx) 에 의해 유지된다. 상태 S1에 대한 전력 파라미터 설정 점 및 상태 S1에 대한 주파수 설정 점을 갖는 RF 신호는 상태 S1 동안 RF 생성기 (RFGx) 에 의해 생성된 RF 신호이다.
유사하게, 상태 S2에 대한 주파수 설정 점을 수신하자마자, AFT (AFTS2x) 는 상태 S2에 대한 주파수 설정 점에 대응하는 전류의 양을 결정한다. 상태 S2 동안 드라이버 시스템 (118) 에 의해 생성될 전류의 양에 기초하여, AFT (AFTS2x) 는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (118) 으로 전송한다. 상태 S2에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (118) 은 전류의 양을 갖는 전류 신호를 생성하고 RF 전력 공급부 (Psx) 로 전송한다. RF 전력 공급부 (Psx) 는, 전류 신호를 수신하자마자 상태 S2에 대한 주파수 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGx) 의 출력부 및 RF 케이블 (124) 을 통해 IMN (104) 의 입력부로 공급한다. 상태 S2에 대한 주파수 설정 점은 상태 S2 동안 RF 전력 공급부 (Psx) 에 의해 유지된다. 상태 S2에 대한 전력 파라미터 설정 점 및 상태 S2에 대한 주파수 설정 점을 갖는 RF 신호는 상태 S2 동안 RF 생성기 (RFGx) 에 의해 생성된 RF 신호이다.
프로세서 (132) 는 케이블 (138) 을 통해 DSPy로 펄싱된 신호 (102) 와 함께 인스트럭션을 전송한다. 케이블 (138) 을 통해 DSPy로 전송된 인스트럭션은 펄싱된 신호 (102) 에 관한 정보, 상태 S1에 대해 RF 생성기 (RFGy) 에 적용될 전력 파라미터 설정 점, 상태 S2에 대해 RF 생성기 (RFGy) 에 적용될 전력 파라미터 설정 점, 상태 S1에 대해 RF 생성기 (RFGy) 에 적용될 주파수 설정 점, 및 상태 S2에 대해 RF 생성기 (RFGy) 에 적용될 주파수 설정 점을 갖는다. 펄싱된 신호 (102) 에 관한 정보는 RF 생성기 (RFGy) 에 의해 생성될 RF 신호가 펄싱된 신호 (102) 의 사이클의 천이 시간 tst1에서 상태 S1로부터 상태 S2로 천이하고, 그리고 RF 신호가 펄싱된 신호 (102) 의 사이클의 천이 시간 tst2에서 상태 S2로부터 상태 S1로 천이한다고 DSPy에 나타낸다. DSPy는 인스트럭션을 파싱하고 상태 S1에 대한 전력 파라미터 설정 점은 펄싱된 신호 (102) 의 상태 S1 동안 적용되고, 상태 S2에 대한 전력 파라미터 설정 점은 펄싱된 신호 (102) 의 상태 S2 동안 적용되고, 상태 S1에 대한 주파수 설정 점은 펄싱된 신호 (102) 의 상태 S1 동안 적용되고, 그리고 상태 S2에 대한 주파수 설정 점은 펄싱된 신호 (102) 의 상태 S2 동안 적용된다고 인스트럭션으로부터 결정한다. 게다가, DSPy는 인스트럭션으로부터, RF 생성기 (RFGy) 에 의해 생성될 RF 신호는 펄싱된 신호 (102) 의 사이클의 천이 시간 tst1에서 상태 S1로부터 상태 S2로 천이하도록, 그리고 RF 신호가 펄싱된 신호 (102) 의 사이클의 천이 시간 tst2에서 상태 S2로부터 상태 S1로 천이하도록 결정한다.
펄싱된 신호 (102) 의 사이클의 천이 시간 tst2에서, DSPy는 상태 S1에 대한 전력 파라미터 설정 점을 전력 파라미터 제어기 (PWRS1y) 로 전송한다. 유사하게, 펄싱된 신호 (102) 의 사이클의 천이 시간 tst1에서, DSPy는 상태 S2에 대한 전력 파라미터 설정 점을 전력 파라미터 제어기 (PWRS2y) 로 전송한다. 게다가, 펄싱된 신호 (102) 의 사이클의 천이 시간 tst2에서, DSPy는 상태 S1에 대한 주파수 설정 점을 AFT (AFTS1y) 로 전송한다. 또한, 펄싱된 신호 (102) 의 사이클의 천이 시간 tst1에서, DSPy는 상태 S2에 대한 주파수 설정 점을 AFT (AFTS2y) 로 전송한다.
상태 S1에 대한 전력 파라미터 설정 점을 수신하자마자, 전력 파라미터 제어기 (PWRS1y) 는 상태 S1에 대한 전력 파라미터 설정 점에 대응하는 전류의 양을 결정한다. 상태 S1 동안 드라이버 시스템 (128) 에 의해 생성될 전류의 양에 기초하여, 전력 파라미터 제어기 (PWRS1y) 는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (128) 으로 전송한다. 상태 S1에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (128) 은 전류의 양을 갖는 전류 신호를 생성하고 RF 전력 공급부 (Psy) 로 전송한다. RF 전력 공급부 (Psy) 는, 전류 신호를 수신하자마자 상태 S1에 대한 전력 파라미터 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGy) 의 출력부 및 RF 케이블 (130) 을 통해 IMN (104) 의 다른 입력부로 공급한다. 상태 S1에 대한 전력 파라미터 설정 점은 RF 전력 공급부 (Psy) 에 의해 상태 S1 동안 유지된다.
유사하게, 상태 S2에 대한 전력 파라미터 설정 점을 수신하자마자, 전력 파라미터 제어기 (PWRS2y) 는 상태 S2에 대한 전력 파라미터 설정 점에 대응하는 전류의 양을 결정한다. 상태 S2 동안 드라이버 시스템 (128) 에 의해 생성될 전류의 양에 기초하여, 전력 파라미터 제어기 (PWRS2y) 는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (128) 으로 전송한다. 상태 S2에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (128) 은 전류의 양을 갖는 전류 신호를 RF 전력 공급부 (Psy) 로 전송한다. RF 전력 공급부 (Psy) 는, 전류 신호를 수신하자마자 상태 S2에 대한 전력 파라미터 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGy) 의 출력부 및 RF 케이블 (130) 을 통해 IMN (104) 의 다른 입력부로 공급한다. 상태 S2에 대한 전력 파라미터 설정 점은 상태 S2 동안 RF 전력 공급부 (Psy) 에 의해 유지된다.
게다가, 상태 S1에 대한 주파수 설정 점을 수신하자마자, AFT (AFTS1y) 는 상태 S1에 대한 주파수 설정 점에 대응하는 전류의 양을 결정한다. 상태 S1 동안 드라이버 시스템 (128) 에 의해 생성될 전류의 양에 기초하여, AFT (AFTS1y) 는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (128) 으로 전송한다. 상태 S1에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (128) 은 전류의 양을 갖는 전류 신호를 생성하고 RF 전력 공급부 (Psy) 로 전송한다. RF 전력 공급부 (Psy) 는, 전류 신호를 수신하자마자 상태 S1에 대한 주파수 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGy) 의 출력부 및 RF 케이블 (130) 을 통해 IMN (104) 의 다른 입력부로 공급한다. 상태 S1에 대한 주파수 설정 점은 상태 S1동안 RF 전력 공급부 (Psy) 에 의해 유지된다. 상태 S1에 대한 전력 파라미터 설정 점 및 상태 S1에 대한 주파수 설정 점을 갖는 RF 신호는 상태 S1 동안 RF 생성기 (RFGy) 에 의해 생성된 RF 신호이다.
유사하게, 상태 S2에 대한 주파수 설정 점을 수신하자마자, AFT (AFTS2y) 는 상태 S2에 대한 주파수 설정 점에 대응하는 전류의 양을 결정한다. 상태 S2 동안 드라이버 시스템 (128) 에 의해 생성될 전류의 양에 기초하여, AFT (AFTS2y) 는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (128) 으로 전송한다. 상태 S2에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (128) 은 전류의 양을 갖는 전류 신호를 생성하고 RF 전력 공급부 (Psy) 로 전송한다. RF 전력 공급부 (Psy) 는, 전류 신호를 수신하자마자 상태 S2에 대한 주파수 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGy) 의 출력부 및 RF 케이블 (130) 을 통해 IMN (104) 의 다른 입력부로 공급한다. 상태 S2에 대한 주파수 설정 점은 상태 S2 동안 RF 전력 공급부 (Psy) 에 의해 유지된다. 상태 S2에 대한 전력 파라미터 설정 점 및 상태 S2에 대한 주파수 설정 점을 갖는 RF 신호는 상태 S2 동안 RF 생성기 (RFGy) 에 의해 생성된 RF 신호이다.
IMN (104) 의 입력부는 RF 생성기 (RFGx) 의 출력부로부터 RF 케이블 (124) 을 통해 RF 전력 공급부 (Psx) 에 의해 생성된 RF 신호를 수신하고, 다른 입력부에서, RF 생성기 (RFGy) 의 출력부로부터 RF 케이블 (130) 을 통해 RF 전력 공급부 (Psy) 에 의해 생성된 RF 신호를 수신하고, IMN (104) 의 출력부에서 수정된 RF 신호를 생성하기 위해 IMN (104) 의 출력부에 커플링된 부하의 임피던스와 IMN (104) 의 입력부들에 커플링된 소스의 임피던스를 매칭시킨다. 수정된 RF 신호는 RF 송신 케이블 (126) 을 통해 상부 전극 (106) 으로, 예컨대 TCP 코일의 단부 (E1) 로 전송된다.
게다가, RF 생성기 시스템 (114) 은 하나 이상의 RF 신호들을 생성한다. 예를 들어, RF 생성기 시스템 (114) 의 RF 생성기는 RF 신호를 생성한다. 또 다른 예로서, RF 생성기 시스템 (114) 의 RF 생성기는 RF 신호를 생성하고 또 다른 RF 생성기 시스템 (114) 의 RF 생성기는 또 다른 RF 신호를 생성한다. RF 생성기 시스템 (114) 에 의해 공급되는 하나 이상의 RF 신호들의 바이어스 전압 또는 바이어스 전력의 양은 복수의 상태들, 예컨대 상태 S1 및 상태 S2, 또는 상태 S1, 상태 S2, 및 상태 S3 동안 미리 결정된 범위 내라는 것이 이해되어야 한다. 예시를 위해, 프로세서 (132) 는 RF 생성기 시스템 (114) 을 프로세서 (132) 에 커플링하는 케이블 (117) 을 통해 바이어스 전압의 레벨 또는 바이어스 전력의 레벨을 RF 생성기 시스템 (114) 으로 전송한다. RF 생성기 시스템 (114) 은 복수의 상태들 동안 바이어스 전압의 레벨 또는 바이어스 전력의 레벨을 갖는 하나 이상의 RF 신호들을 생성한다. 하나 이상의 RF 신호들은 RF 생성기 (RFGx 또는 RFGy) 에 의해 생성된 RF 신호를 생성하기 위해 본 명세서에 기술된 것과 유사한 방식으로 RF 생성기 시스템 (114) 에 의해 생성된다. 하나 이상의 RF 신호들의 바이어스 전압 또는 바이어스 전력은 일정, 예컨대, 프로세서 (132) 로부터 수신되는 바이어스 전압의 레벨 또는 바이어스 전력의 레벨로부터 미리 결정된 범위 내이거나 동일하다. 바이어스 RF 생성기 시스템 (114) 은 상태 S1 및 상태 S2 또는 상태 S1 내지 상태 S3 동안 연속파 모드에서 동작한다.
하나 이상의 RF 신호들은 출력부 RF 신호를 생성하기 위해 IMN (112) 의 출력부에 커플링된 부하의 임피던스와 하나 이상의 IMN (112) 의 입력부들에 커플링된 소스의 임피던스를 매칭시키기 위해 RF 케이블 시스템 (137) 을 통해 IMN (112) 에 의해 수신된다. 출력부 RF 신호는 RF 송신 라인 (139) 을 통해 척 (110) 으로 전송된다.
하나 이상의 프로세스 가스들이 상부 전극 (106) 과 척 (110) 사이에 공급될 때, 수정된 RF 신호는 상부 전극 (106) 으로 공급되고, 출력부 RF 신호는 척 (110) 으로 공급되고, 하나 이상의 프로세스 가스들은 플라즈마 챔버 (108) 내에서 플라즈마를 생성하거나 유지하도록 점화된다. 플라즈마는 플라즈마 시스 (123) 를 갖고 기판 (122) 을 프로세싱, 예를 들어, 에칭, 기판 상에 재료들을 증착, 세정, 스퍼터링, 등을 하도록 사용된다. 플라즈마 시스 (123) 는 플라즈마 챔버 (108) 내에 형성된 플라즈마의 경계이다. 예를 들어, 플라즈마 시스 (123) 는 플라즈마 챔버 (108) 내에 형성된 플라즈마의 상단 전극 경계 (125A) 및 플라즈마 챔버 (108) 내에 형성된 플라즈마의 하단 경계 (125B) 를 포함한다. 상단 전극 경계 (125A) 는 척 (110) 보다 상부 전극 (106) 에 보다 가깝고 하단 경계 (125B) 는 상부 전극 (106) 보다 척 (110) 에 보다 가깝다.
일부 실시예들에서, 용어들 튜너 및 제어기는 본 명세서에서 상호교환가능하게 사용된다.
다양한 실시예들에서, 전력 파라미터 제어기들 (PWRS1x 및 PWRS2x), 및 AFT들 (AFTS1x 및 AFTS2x) 은 DSPx에 의해 실행되는 컴퓨터 프로그램의 모듈들, 예를 들어, 부분들, 등이다. 유사하게, 일부 실시예들에서, 전력 파라미터 제어기들 (PWRS1y 및 PWRS2y), 및 AFT들 (AFTS1y 및 AFTS2y) 은 DSPy에 의해 실행되는 컴퓨터 프로그램의 모듈들, 예를 들어, 부분들, 등이다.
몇몇 실시예들에서, 전력 파라미터 제어기들 (PWRS1x 및 PWRS2x), 및 AFT들 (AFTS1x 및 AFTS2x) 은 DSPx의 집적 회로에 커플링되는 별도의 집적 회로들이다. 예를 들어, 전력 파라미터 제어기 (PWRS1x) 는 RF 생성기 (RFGx) 제 1 집적 회로이고, 전력 파라미터 제어기 (PWRS2x) 는 RF 생성기 (RFGx) 의 제 2 집적 회로이고, AFT (AFTS1x) 는 RF 생성기 (RFGx) 의 제 3 집적 회로이고, AFT (AFTS2x) 는 RF 생성기 (RFGx) 의 제 4 집적 회로이고, 그리고 DSPx는 RF 생성기 (RFGx) 의 제 5 집적 회로이다. RF 생성기 (RFGx) 의 제 1 집적 회로 내지 제 4 집적 회로 각각은 RF 생성기 (RFGx) 의 제 5 집적 회로에 커플링된다.
유사하게, 다양한 실시예들에서, 전력 파라미터 제어기들 (PWRS1y 및 PWRS2y), 및 AFT들 (AFTS1y 및 AFTS2y) 은 DSPy의 집적 회로에 커플링되는 별도의 집적 회로들이다. 예를 들어, 전력 파라미터 제어기 (PWRS1y) 는 RF 생성기 (RFGy) 의 제 1 집적 회로이고, 전력 파라미터 제어기 (PWRS2y) 는 RF 생성기 (RFGy) 의 제 2 집적 회로이고, AFT (AFTS1y) 는 RF 생성기 (RFGy) 의 제 3 집적 회로이고, AFT (AFTS2y) 는 RF 생성기 (RFGy) 의 제 4 집적 회로이고, 그리고 DSPy는 RF 생성기 (RFGy) 의 제 7 집적 회로이다. RF 생성기 (RFGy) 의 제 1 집적 회로 내지 제 4 집적 회로 각각은 RF 생성기 (RFGy) 의 제 5 집적 회로에 커플링된다.
다양한 실시예들에서, 본 명세서에 기술된, RF 신호의 상태 S1의 일 예는 상태 S1에 대한 전력 파라미터 설정 점 및 상태 S1에 대한 주파수 설정 점을 포함한다. 상태 S1에 대한 전력 파라미터 설정 점은 상태 S1 동안 RF 신호의 전력 양들 또는 전압 양들의 전력 파라미터 레벨, 예컨대 인벨롭 (envelope) 또는 제로-투-피크 (zero-to-peak) 크기인 동작가능한 (operational) 전력 파라미터 설정 점이다. 상태 S1에 대한 주파수 설정 점은 상태 S1 동안 RF 신호의 주파수 값들의 주파수 레벨, 예컨대 인벨롭 또는 제로-투-피크 크기인 동작가능한 주파수 설정 점이다. 유사하게, 본 명세서에 기술된 바와 같이, RF 신호의 상태 S2의 일예는 상태 S2에 대한 전력 파라미터 설정 점 및 상태 S2에 대한 주파수 설정 점을 포함한다. 상태 S2에 대한 전력 파라미터 설정 점은 상태 S2 동안 RF 신호의 전력 양들 또는 전압 양들의 전력 파라미터 레벨, 예컨대 인벨롭 또는 제로-투-피크 크기인, 동작가능한 전력 파라미터 설정 점이다. 상태 S2에 대한 주파수 설정 점은 상태 S2 동안 RF 신호의 주파수 값들의 주파수 레벨, 예컨대 인벨롭 또는 제로-투-피크 크기인 동작가능한 주파수 설정 점이다. 일 실시예에서, 0의 전력 파라미터 레벨이 본 명세서에 기술된 바와 같이 전력 파라미터 설정 점의 일 예라는 것을 주의해야 한다. 유사하게, 일 실시예에서, 0의 주파수 레벨이 본 명세서에 기술된 바와 같이 주파수 설정 점의 일 예이다.
다양한 실시예들에서, 3 개의 RF 생성기들이 IMN (104) 에 커플링된다. 예를 들어, 부가적인 RF 생성기가 또 다른 IMN (104) 의 입력부로의 다른 RF 케이블 (미도시) 을 통해 IMN (104) 에 커플링된다. 부가적인 RF 생성기는 RF 생성기 (RFGx) 및 RF 생성기 (RFGy) 에 부가된다. 또 다른 입력부는 RF 케이블 (124) 이 커플링되는 IMN (104) 의 입력부 또는 RF 케이블 (130) 이 커플링되는 다른 IMN (104) 의 입력부와 같지 않다. 부가적인 RF 생성기는 RF 생성기 (RFGy) 와 상이한 동작 주파수, 예를 들어, 2 ㎒, 27 ㎒, 60 ㎒, 등을 갖는 것을 제외하고 RF 생성기 (RFGy) 와 동일한 구조 및 기능을 갖는다. 예를 들어, RF 생성기 (RFGy) 는 13.56 ㎒의 동작 주파수를 갖고, 부가적인 RF 생성기는 2 ㎒, 또는 27 ㎒, 또는 60 ㎒의 동작 주파수를 갖는다. IMN (104) 은 RF 생성기 (RFGx), RF 생성기 (RFGy), 및 부가적인 RF 생성기로부터 수신된 RF 신호들을 조합하고, IMN (104) 의 출력부에서 수정된 RF 신호를 생성하기 위해 IMN (104) 의 출력부에 커플링된 부하의 임피던스와 소스, 예를 들어, RF 생성기 (RFGx), RF 생성기 (RFGy), 부가적인 RF 생성기, RF 케이블 (124), RF 케이블 (130), 및 다른 RF 케이블, 등의 임피던스를 매칭시킨다.
일 실시예에서, 용어들 임피던스 매칭 회로 및 임피던스 매칭 네트워크는 본 명세서에서 상호교환가능하게 사용된다.
일부 실시예들에서, 척 (110) 은 IMN (112) 및 바이어스 RF 생성기 시스템 (114) 에 커플링되는 대신 접지 전위에 커플링된다.
다양한 실시예들에서, 상부 전극 (106) 으로서 사용되는 TCP 코일 대신, CCP 플레이트가 상부 전극 (106) 에 사용된다. 예를 들어, CCP 플레이트는 원형 볼륨을 갖는 원형 플레이트이고 플라즈마 챔버 (108) 내부의 수평 평면에 놓인다. CCP 플레이트는 금속, 예컨대 알루미늄 또는 알루미늄의 합금으로 이루어진다. 이들 실시예들에서, 플라즈마 챔버 (108) 는 유전체 윈도우 (120) 가 없고 대신 상부 벽을 갖는다. 플라즈마 챔버 (108) 는 또한 CCP 플레이트를 둘러싸는 상부 유전체 링, 상부 유전체 링을 둘러싸는 상부 전극 연장부, 상부 PEZ 링, 등과 같은 다른 컴포넌트들을 포함한다. CCP 플레이트는 척 (110) 의 반대편에 그리고 대면하여 위치된다.
일부 실시예들에서, 프로세서 (132) 로부터 RF 생성기들 (RFGx 및 RFGy) 로 전송되는 펄싱된 신호 (102) 대신, 펄싱된 신호 (102) 는 마스터 RF 생성기로부터, RF 생성기 (RFGy) 와 같은 슬레이브 RF 생성기로 전송된다. 마스터 RF 생성기의 일 예는 RF 생성기 (RFGx) 를 포함한다. 예시를 위해, RF 생성기 (RFGx) 의 디지털 신호 프로세서 (DSPx) 는 프로세서 (132) 로부터 펄싱된 신호 (102) 를 수신하고, 펄싱된 신호 (102) 를 케이블, 예컨대 병렬 전송 케이블, 직렬 전송 케이블, 또는 USB 케이블을 통해 RF 생성기 (RFGy) 의 디지털 신호 프로세서 (DSPy) 로 전송한다. 도 1b는 그래프들 (140, 142, 및 144) 의 실시예들의 도면이다. 그래프 (140) 는 펄싱된 신호 (102) 대 시간 t의 논리 레벨을 플롯팅한다 (plot). 논리 레벨의 예들은 0의 레벨 및 1의 레벨을 갖는다. 0의 레벨은 로우 논리 레벨의 일 예이고 1의 레벨은 하이 논리 레벨의 일 예이다. 게다가, 그래프 (142) 는 RF 생성기 (RFGx) 에 의해 생성되고 공급된 RF 신호 (146A) 와 같은 RF 신호 대 시간 t의 전력 파라미터 레벨, 예컨대 전압 레벨 또는 전력 레벨을 플롯팅한다. 그래프 (142) 는 또한 RF 생성기 (RFGy) 에 의해 생성되고 공급된 RF 신호 (146B) 와 같은 RF 신호 대 시간 t의 전력 파라미터 레벨을 플롯팅한다. 또한, 그래프 (144) 는 RF 신호 (146A) 의 전력 파라미터 레벨 대 시간 t를 플롯팅한다. 그래프 (144) 는 또한 RF 생성기 (RFGy) 에 의해 생성되고 공급된 RF 신호 (146C) 와 같은 RF 신호 대 시간 t의 전력 파라미터 레벨을 플롯팅한다.
그래프들 (140 및 142) 을 참조하면, 펄싱된 신호 (102) 의 사이클 각각 동안, 펄싱된 신호 (102) 는 천이 시간 tst1에서 상태 S1로부터 상태 S2로 천이하고 천이 시간 tst1에서 상태 S2로부터 상태 S1로 천이한다. 게다가, 상태 S1 동안, RF 신호 (146A) 는 Px1의 전력 파라미터 레벨을 갖고 RF 신호 (146B) 는 0의 전력 파라미터 레벨을 갖는다. 또한, 상태 S1 동안, RF 신호 (146A) 는 fx1의 주파수 레벨을 갖고 RF 신호 (146B) 는 0의 주파수 레벨을 갖는다.
더욱이, 천이 시간 tst1에서, RF 신호 (146A 및 146B) 각각이 상태 S1로부터 상태 S2로 천이한다. 상태 S2 동안, RF 신호 (146A) 는 0의 전력 파라미터 레벨을 갖고 RF 신호 (146B) 는 Py2의 전력 파라미터 레벨을 갖는다. 또한, 상태 S2 동안, RF 신호 (146A) 는 0의 주파수 레벨을 갖고 RF 신호 (146B) 는 fy2의 주파수 레벨을 갖는다. 본 명세서에 기술된 바와 같이, 임의의 RF 생성기가 0의 주파수 레벨에서 그리고 0의 전력 파라미터 레벨에서 동작하면, RF 생성기는 턴오프되고, 예를 들어, 동작가능하지 않고, 스위칭오프되는, 등 한다. 전력 파라미터 레벨 Py2는 전력 파라미터 레벨 Px1과 같다. 게다가, 주파수 레벨 fy2는 주파수 레벨 fx1보다 크다. 천이 시간 tst2에서, RF 신호 (146A 및 146B) 각각은 상태 S2로부터 다시 상태 S1로 천이한다.
펄싱된 신호 (102) 또는 RF 신호 (146A) 또는 RF 신호 (146B) 의 상태 S1의 듀티 사이클은 펄싱된 신호 (102) 또는 RF 신호 (146A) 또는 RF 신호 (146B) 의 상태 S2의 듀티 사이클과 같다는 것을 또한 주의해야 한다. 예를 들어, 상태 S1의 듀티 사이클은 50 %이고 상태 S2의 듀티 사이클은 50 %이다. RF 신호 (146A) 또는 RF 신호 (146B) 의 상태 S1은 펄싱된 신호 (102) 의 사이클의 50 %를 점유하고 RF 신호 (146A) 또는 RF 신호 (146B) 의 상태 S2는 펄싱된 신호 (102) 의 사이클의 나머지 50 %를 점유한다.
다양한 실시예들에서, 펄싱된 신호 (102) 또는 RF 신호 (146A) 또는 RF 신호 (146B) 와 같은 신호의 상태 S1의 듀티 사이클은 신호의 상태 S2의 듀티 사이클과 상이하다. 예를 들어, 상태 S1의 듀티 사이클은 25 %이고 상태 S2의 듀티 사이클은 75 %이다. RF 신호 (146A) 또는 RF 신호 (146B) 의 상태 S1은 펄싱된 신호 (102) 의 사이클의 25 %를 점유하고 RF 신호 (146A) 또는 RF 신호 (146B) 의 상태 S2는 펄싱된 신호 (102) 의 사이클의 나머지 75 %를 점유한다. 또 다른 예로서, 상태 S1의 듀티 사이클은 a %이고 상태 S2의 듀티 사이클은 (100-a) %이다. RF 신호 (146A) 또는 RF 신호 (146B) 의 상태 S1은 펄싱된 신호 (102) 의 사이클의 a %를 점유하고 RF 신호 (146A) 또는 RF 신호 (146B) 의 상태 S2는 펄싱된 신호 (102) 의 사이클의 나머지 (100-a) %를 점유한다. 예시를 위해, 캘리브레이션 동작 동안, 상태 S1에 대한 주파수 레벨, 상태 S2에 대한 주파수 레벨, 상태 S1에 대한 전력 파라미터 레벨, 및 상태 S2에 대한 전력 파라미터 레벨에 대해, 그리고 하나 이상의 프로세스 가스들의 타입, 및 기판 (122) 의 재료의 타입, RF 신호가 RF 생성기 (RFGx) 에 의해 생성되는 펄싱된 신호 (102) 의 사이클의 백분율은 달성될 에칭 레이트에 기초하여 결정된다. 에칭 레이트는 캘리브레이션 동작 동안 ERMD (etch rate measurement device) 에 의해 측정된다. 기판 (122) 의 재료의 타입의 예들은 기판 (122) 의 옥사이드 층 또는 금속 층을 포함한다. 게다가, RF 신호가 RF 생성기 (RFGx) 에 의해 생성되는, 펄싱된 신호 (102) 의 사이클의 백분율은 상태 S1 동안 플라즈마 시스 (123) 에 저장될 전하의 문턱 양과 연관된다. 전하의 문턱 양, 에칭 레이트, RF 신호가 RF 생성기 (RFGx) 에 의해 생성되는, 펄싱된 신호 (102) 의 사이클의 백분율 간 연관관계가 메모리 디바이스 (134) 에 저장된다. 기판 (122) 의 프로세싱 동안, RF 신호가 RF 생성기 (RFGx) 에 의해 생성되는, 펄싱된 신호 (102) 의 사이클의 백분율은 레시피의 일부로서 또는 펄싱된 신호 (102) 의 듀티 사이클로서 사용된다.
ERMD는 케이블을 통해 프로세서 (132) 에 커플링되고 플라즈마 챔버 (108) 의 윈도우를 통한 가시선 (line of sight) 을 갖는다. 가시선은 플라즈마가 플라즈마 챔버 (108) 내에서 생성되는 공간 내로 지향된다. 예를 들어, ERMD는 윈도우를 통해 플라즈마에 의해 방출된 방사선의 강도를 측정하도록 플라즈마 챔버 (108) 내 플라즈마를 모니터링하는 분광 광도계를 포함한다. 일부 실시예들에서, 윈도우는 플라즈마에 의해 방출된 광으로 하여금 예를 들어, 유리를 통과하게 하는 투명 재료로 이루어진다. 다양한 실시예들에서, 윈도우는 반투명 윈도우이다. 강도는 플라즈마에 의해 에칭되는 더미 웨이퍼의 층의 에칭 레이트에 정비례한다. 또 다른 예로서, 공지의 레시피에 대해, 캘리브레이션 동작 동안, 플라즈마에 의해 방출된 방사선의 강도들로부터 ERMD는 시간 tm1에서 더미 웨이퍼의 두께를 측정하고 시간 tm2에서, 시간 tm1 후 그리고 더미 웨이퍼를 에칭한 후 더미 웨이퍼의 두께를 측정한다. ERMD는 시간 tm2와 시간 tm1 간의 차에 대한 시간 tm2에서 두께와 시간 tm1에서 두께 간 차의 비로서 더미 웨이퍼의 에칭 레이트를 결정한다. 다양한 실시예들에서, 더미 웨이퍼는 기판 (122) 과 동일한 재료를 갖는다.
일부 실시예들에서, RF 신호 (146B) 의 전력 파라미터 레벨 Py2는 RF 신호 (146A) 의 전력 파라미터 레벨 Px1와 상이하고, 예컨대 보다 낮거나 보다 높다.
그래프 (144) 는 RF 신호들 (146B 및 146C) 이 상이한 전력 파라미터 레벨들을 갖는 것을 제외하고 그래프 (142) 와 유사하다. 예를 들어, RF 신호 (146B) 는 상태 S2 동안 Py2의 전력 파라미터 레벨을 갖고 RF 신호 (146B) 의 전력 파라미터 레벨 Py2는 RF 신호 (146C) 의 전력 파라미터 레벨 Py2보다 크다.
그래프들 (140 및 144) 을 참조하면, RF 신호 (146C) 의 상태 S1은 RF 신호 (146B) 의 상태 S1과 동일하다. 예를 들어, 상태 S1 동안, RF 신호 (146C) 는 0의 전력 파라미터 레벨을 갖는다. 또한, 상태 S1 동안, RF 신호 (146C) 는 0의 주파수 레벨을 갖는다.
더욱이, 천이 시간 tst1에서, RF 신호 (146C) 는 상태 S1로부터 상태 S2로 천이한다. 상태 S2 동안, RF 신호 (146C) 는 Py2의 전력 파라미터 레벨을 갖는다. 또한, 상태 S2 동안, RF 신호 (146C) 는 fy2의 주파수 레벨을 갖는다. RF 신호 (146C) 의 전력 파라미터 레벨 Py2는 RF 신호 (146A) 의 전력 파라미터 레벨 Px1보다 낮고 RF 신호 (146C) 의 주파수 레벨 fy2는 RF 신호 (146B) 의 주파수 레벨과 같다. 천이 시간 tst2에서, RF 신호 (146C) 는 상태 S2로부터 다시 상태 S1로 천이한다.
RF 신호 (146C) 의 상태 S1의 듀티 사이클은 RF 신호 (146C) 의 상태 S2의 듀티 사이클와 같다는 것을 주의해야 한다. 예를 들어, RF 신호 (146C) 의 상태 S1의 듀티 사이클은 50 %이고 RF 신호 (146C) 의 상태 S2의 듀티 사이클은 50 %이다. RF 신호 (146C) 의 상태 S1은 펄싱된 신호 (102) 의 사이클의 50 %를 점유하고 RF 신호 (146C) 의 상태 S2는 펄싱된 신호 (102) 의 사이클의 나머지 50 %를 점유한다.
다양한 실시예들에서, RF 신호 (146C) 의 상태 S1의 듀티 사이클은 RF 신호 (146C) 의 상태 S2의 듀티 사이클과 상이하다. 예를 들어, RF 신호 (146C) 의 상태 S1의 듀티 사이클은 25 %이고 RF 신호 (146C) 의 상태 S2의 듀티 사이클은 75 %이다. RF 신호 (146C) 의 상태 S1은 펄싱된 신호 (102) 의 사이클의 25 %를 점유하고 RF 신호 (146C) 의 상태 S2는 펄싱된 신호 (102) 의 사이클의 나머지 75 %를 점유한다. 또 다른 예로서, RF 신호 (146C) 의 상태 S1의 듀티 사이클은 a %이고 RF 신호 (146C) 의 상태 S2의 듀티 사이클은 (100-a) %이다. RF 신호 (146C) 의 상태 S1은 펄싱된 신호 (102) 의 사이클의 a %를 점유하고 RF 신호 (146C) 의 상태 S2는 펄싱된 신호 (102) 의 사이클의 나머지 (100-a) %를 점유한다.
일부 실시예들에서, RF 신호 (146C) 의 전력 파라미터 레벨 Py2는 RF 신호 (146A) 의 전력 파라미터 레벨 Px1보다 크다.
전력 파라미터 레벨 Px1 및 전력 파라미터 레벨 Py2는 그래프 (142) 에 예시된 바와 같이 0이 아니라는 것을 주의해야 한다. 게다가, 주파수 레벨 fx1 및 주파수 레벨 fy2는 그래프 (142) 에 예시된 바와 같이 0이 아니다. 또한, 전력 파라미터 레벨 Px1 및 전력 파라미터 레벨 Py2는 그래프 (144) 에 예시된 바와 같이 0이 아니다. 게다가, 주파수 레벨 fx1 및 주파수 레벨 fy2는 그래프 (144) 에 예시된 바와 같이 0이 아니다.
RF 생성기 (RFGx) 는 상태 S1 동안 주파수 레벨 fx1에서 동작하도록 제어된다는 것을 또한 주의해야 한다. 플라즈마 챔버 (108) 내에서, 상태 S1 동안 RF 생성기 (RFGx) 에 의해 생성된 RF 신호의 전력 파라미터는 상태 S2 동안 RF 생성기 (RFGy) 에 의해 생성된 RF 신호의 전력 파라미터에 부가된다. 플라즈마 챔버 (108) 내 플라즈마 시스 (123) 는 커패시터로서 작용한다. 커패시터는 상태 S1 동안 주파수 레벨 fx1과 연관된 전력 파라미터 레벨 Px1로부터 충전되고 상태 S2 동안 방전된다. 전력 파라미터 레벨 Px1은 상태 S1 동안 플라즈마 시스 (123) 의 전하의 양을 증가시키도록 플라즈마 시스 (123) 를 충전한다. 게다가, 상태 S1 동안 플라즈마 시스 (123) 의 충전은 상태 S1 동안 플라즈마 시스 (123) 의 두께를 증가시킨다. 예를 들어, 상태 S1 동안 생성된 다수의 플라즈마 이온들이 상태 S1 동안 플라즈마 시스 (123) 상에 축적되기 때문에, 상태 S1 동안 플라즈마 시스 (123) 의 두께가 증가한다. 전력 파라미터 레벨 Px1의 일부를 전력 파라미터 레벨 Py2에 부가하도록 발생한다. 전력 파라미터 레벨 Px1의 일부의 전력 파라미터 레벨 Py2로의 부가 및 상태 S2 동안 커패시터의 방전은 상태 S2 동안 플라즈마 챔버 (108) 내에서 플라즈마의 이온들의 이온 에너지를 상승시키고 상태 S2 동안 이온 에너지의 각도 확산을 감소시킨다. 예를 들어, 플라즈마 챔버 (108) 내 플라즈마의 수직 지향성은 상태 S2 동안 전력 파라미터 레벨 Px1의 일부의 전력 파라미터 레벨 Py2로의 부가로 상태 S2 동안 상승한다. 플라즈마 챔버 (108) 내에 형성된 플라즈마의 이온 에너지는 전력 파라미터 레벨 Px1의 일부의 전력 파라미터 레벨 Py2로의 부가의 결과로서 상승된다. 전력 파라미터 레벨 Px1의 일부 및 전력 파라미터 레벨 Px2를 포함하는, 합산된 전력 파라미터 레벨은 상태 S2 동안 이온 에너지를 향상시킨다. 상태 S1 동안 향상된 이온 에너지를 저장하는 플라즈마 시스 (123) 의 전하의 적어도 일부는 상태 S2 동안 기판 (122) 의 에칭의 에칭 레이트를 더 상승시키기 위해 기판 (122) 의 상단 전극 표면 상에 입사하는 플라즈마 이온들의 각도 확산을 감소시키도록 플라즈마 시스 (123) 의 상단 전극 경계 (125A) 로부터 하단 경계 (125B) 로 방전된다.
RF 생성기 (RFGx) 가 상태 S1에 대한 주파수 설정 점에서 동작하는 시간 동안, 상태 S1 동안 플라즈마 챔버 (108) 내에 형성된 플라즈마의 플라즈마 시스 (123) 의 리액턴스의 상승이 있다는 것을 또한 주의해야 한다. 플라즈마 시스 (123) 의 리액턴스는 플라즈마 시스 (123) 를 생성하거나 유지하도록 동작하는 RF 생성기의 주파수에 반비례한다. 상태 S2와 비교하여 상태 S1 동안 플라즈마 시스 (123) 의 고 리액턴스 때문에, 상태 S1 동안 플라즈마 시스 (123) 를 통한 이온 전류는 감소한다.
플라즈마 시스 (123) 의 리액턴스의 상승과 함께, 상태 S1 동안 기판 (122) 의 표면에서 플라즈마 이온들의 이온 전류의 감소가 있다. 플라즈마 시스 (123) 와 연관된 레지스턴스 R은 상태들 (S1 및 S2) 동안 플라즈마 이온들의 전력의 동일한 양에 대해 이온 전류의 제곱에 반비례한다. 상태들 (S1 및 S2) 동안, 동일한 양, 예컨대 일정한 양의 전력을 전달하기 위해, 상태 S1 동안 이온 전류의 감소와 함께 플라즈마 시스 (123) 와 연관된 레지스턴스 R의 상승이 있다. 상태 S1 동안 상승된 레지스턴스 R은 IEADF에서 피크 에너지를 향상시키고 각도, 예컨대 각도 확산을 감소시키기 위해, 상태 S1 동안 플라즈마 시스 (123) 와 연관된 시간 상수 RC를 상승시켜, 상태들 (S1 및 S2) 에 대한 평균 시간 상수를 연장하고, 여기서 C는 플라즈마 시스 (123) 의 커패시턴스이고 R은 플라즈마 시스 (123) 의 출력의 레지스턴스이다. 예시를 위해, 플라즈마 시스 (123) 의 출력은 플라즈마 시스 (123) 의 일부이고, 이로 이온 전류가 흐른다. 상태 S1 동안 레지스턴스 R의 상승과 함께, 상태들 (S1 및 S2) 동안 플라즈마 시스 (123) 와 연관된 레지스턴스의 평균 양이 상승한다. 상태들 (S1 및 S2) 에 대한 평균 시간 상수는 레지스턴스의 평균 양의 상승과 함께 상승한다. 평균 시간 상수의 상승과 함께, 플라즈마 시스 (123) 의 방전을 위해 걸린 시간의 양은 상태들 (S1 및 S2) 중 임의의 상태 또는 모두 동안 증가한다. 방전을 위한 시간의 양의 증가는 상태들 (S1 및 S2) 동안 플라즈마 시스 (123) 사이 플라즈마 볼륨의 플라즈마의 피크 이온 에너지를 상승시키고 상태들 (S1 및 S2) 동안 플라즈마의 이온들의 각도 확산을 감소시킨다.
게다가, 상태 S1 동안, 플라즈마 시스 (123) 는 상태 S1에 대한 전력 파라미터 레벨에 기초하여 충전된다. 예를 들어, 상태 S1 동안, 플라즈마 시스 (123) 는 커패시터로서 작용하고 RF 생성기 (RFGx) 에 의해 공급된 RF 신호에 기초하여 생성된 수정된 RF 신호로부터 수신되는 전하의 양을 저장한다. 상태 S2 동안, 상태 S1에 대한 전력 파라미터 레벨, 예컨대 상태 S1에 대한 전력 파라미터 레벨의 일부에 기초하여 플라즈마 시스 (123) 내에 생성된 전하는 합산된 전력 파라미터 레벨에 대응하는 총 전하를 생성하도록 상태 S2에 대한 전력 파라미터 레벨에 기초하여 플라즈마 시스 (123) 내 전하에 부가된다. 합산된 전력 파라미터 레벨은 상태 S1에 대한 전력 파라미터 레벨의 일부와 상태 S2에 대한 전력 파라미터 레벨의 총합이다. 총 전하는 플라즈마 시스 (123) 내에 상주한다. 총 전하는 커패시터로서 작용하는 플라즈마 시스 (123) 의 방전을 위해 시간 상수 RC에 따라 상태 S2 동안 방전된다. 예를 들어, 플라즈마 시스 (123) 에 대해 방전하기 위해 시간이 걸리지만, 연속파 모드 RF 생성기가 사용될 때 시스가 플라즈마 동작 동안 방전되지 않아야 한다. 방전 결과로서, 플라즈마 이온들의 각도 확산을 감소시키고, 기판 (122) 의 프로세싱 레이트, 예컨대 에칭 레이트 또는 스퍼터링 레이트를 상승시키기 위해, 플라즈마 챔버 (108) 내에서 형성된 플라즈마의 플라즈마 이온들의 수직 지향성을 상승시키도록 기판 (122) 의 표면 상에 입사하는 이온 에너지의 상승이 있다.
일부 실시예들에서, 상태 S1에 대한 전력 파라미터 레벨의 일부는 본 명세서에서 부가 전력으로 참조된다.
도 1c는 그래프들 (140, 152, 및 154) 실시예들의 도면이다. 그래프 (152) 는 RF 생성기 (RFGx) 에 의해 생성되고 공급된 RF 신호 (156A) 와 같은 RF 신호 대 시간 t의 전력 파라미터 레벨, 예컨대 전압 레벨 또는 전력 레벨을 플롯팅한다. 게다가, 그래프 (152) 는 RF 생성기 (RFGy) 에 의해 생성되고 공급된 RF 신호 (156B) 와 같은 RF 신호 대 시간 t의 전력 파라미터 레벨을 플롯팅한다. 또한, 그래프 (154) 는 RF 신호 (156A) 의 전력 파라미터 레벨 대 시간 t를 플롯팅한다. 더욱이 그래프 (154) 는 RF 생성기 (RFGy) 에 의해 생성되고 공급된 RF 신호 (156C) 와 같은 RF 신호 대 시간 t의 전력 파라미터 레벨을 플롯팅한다.
그래프들 (140 및 152) 을 참조하면, 상태 S1 동안, RF 신호 (156A) 는 Px1의 전력 파라미터 레벨을 갖고 RF 신호 (156B) 는 0이 아닌 Py1의 전력 파라미터 레벨을 갖는다. 또한, 상태 S1 동안, RF 신호 (156A) 는 fx1의 주파수 레벨을 갖고 RF 신호 (156B) 는 0이 아닌 fy1의 주파수 레벨을 갖는다.
더욱이, 천이 시간 tst1에서, RF 신호 (156A 및 156B) 각각이 상태 S1로부터 상태 S2로 천이한다. 상태 S2 동안, RF 신호 (156A) 는 Px2의 전력 파라미터 레벨을 갖고 RF 신호 (156B) 는 Py2의 전력 파라미터 레벨을 갖는다. 또한, 상태 S2 동안, RF 신호 (156A) 는 fx2의 주파수 레벨을 갖고 RF 신호 (156B) 는 fy2의 주파수 레벨을 갖는다. 전력 파라미터 레벨들 Px1, Px2, Py1, 및 Py2는 동일하다. 게다가, 주파수 레벨 fx2는 주파수 레벨 fx1보다 크고 주파수 레벨 fy1은 주파수 레벨 fy2보다 낮다. 천이 시간 tst2에서, RF 신호 (156A 및 156B) 각각은 상태 S2로부터 다시 상태 S1로 천이한다.
일부 실시예들에서, RF 신호 (156A) 의 주파수 레벨 fx2는 RF 신호 (156A) 의 주파수 레벨 fx1보다 낮고 RF 신호 (156B) 의 주파수 레벨 fy1은 RF 신호 (156B) 의 주파수 레벨 fy2보다 높다. 몇몇 실시예들에서, RF 신호 (156A) 의 주파수 레벨 fx2는 RF 신호 (156A) 의 주파수 레벨 fx1보다 높고 RF 신호 (156B) 의 주파수 레벨 fy1은 RF 신호 (156B) 의 주파수 레벨 fy2보다 높다. 다양한 실시예들에서, RF 신호 (156A) 의 주파수 레벨 fx2는 RF 신호 (156A) 의 주파수 레벨 fx1보다 낮고 RF 신호 (156B) 의 주파수 레벨 fy1은 RF 신호 (156B) 의 주파수 레벨 fy2보다 낮다.
펄싱된 신호 (102) 또는 RF 신호 (156A) 또는 RF 신호 (156B) 의 상태 S1의 듀티 사이클은 펄싱된 신호 (102) 또는 RF 신호 (156A) 또는 RF 신호 (156B) 의 상태 S2의 듀티 사이클과 같다는 것을 또한 주의해야 한다. 예를 들어, 상태 S1의 듀티 사이클은 50 %이고 상태 S2의 듀티 사이클은 50 %이다. RF 신호 (156A) 또는 RF 신호 (156B) 의 상태 S1은 펄싱된 신호 (102) 의 사이클의 50 %를 점유하고 RF 신호 (156A) 또는 RF 신호 (156B) 의 상태 S2는 펄싱된 신호 (102) 의 사이클의 나머지 50 %를 점유한다.
다양한 실시예들에서, 펄싱된 신호 (102) 또는 RF 신호 (156A) 또는 RF 신호 (156B) 와 같은 신호의 상태 S1의 듀티 사이클은 신호의 상태 S2의 듀티 사이클과 상이하다. 예를 들어, 상태 S1의 듀티 사이클은 25 %이고 상태 S2의 듀티 사이클은 75 %이다. RF 신호 (156A) 또는 RF 신호 (156B) 의 상태 S1은 펄싱된 신호 (102) 의 사이클의 25 %를 점유하고 RF 신호 (156A) 또는 RF 신호 (156B) 의 상태 S2는 펄싱된 신호 (102) 의 사이클의 나머지 75 %를 점유한다. 또 다른 예로서, 상태 S1의 듀티 사이클은 a %이고 상태 S2의 듀티 사이클은 (100-a) %이다. RF 신호 (156A) 또는 RF 신호 (156B) 의 상태 S1은 펄싱된 신호 (102) 의 사이클의 a %를 점유하고 RF 신호 (156A) 또는 RF 신호 (156B) 의 상태 S2는 펄싱된 신호 (102) 의 사이클의 나머지 (100-a) %를 점유한다.
그래프 (154) 는 RF 신호들 (156A 및 156C) 이 상이한 전력 파라미터 레벨들을 갖는 것을 제외하고 그래프 (152) 와 유사하다. 예를 들어, RF 신호 (156A) 는 상태들 (S1 및 S2) 동안 전력 파라미터 레벨 Px1 및 전력 파라미터 레벨 Px2를 갖고, RF 신호 (156C) 는 상태들 (S1 및 S2) 동안 전력 파라미터 레벨 Py1 및 전력 파라미터 레벨 Py2를 갖는다. 상태들 (S1 및 S2) 동안 RF 신호 (156C) 의 전력 파라미터 레벨 Py1 및 전력 파라미터 레벨 Py2는 상태들 (S1 및 S2) 동안 RF 신호 (156A) 의 전력 파라미터 레벨 Px1 및 전력 파라미터 레벨 Px2보다 낮다. RF 신호 (156A) 의 전력 파라미터 레벨 Px1은 RF 신호 (156A) 의 전력 파라미터 레벨 Px2와 동일하다. 유사하게, RF 신호 (156C) 의 전력 파라미터 레벨 Py1은 RF 신호 (156C) 의 전력 파라미터 레벨 Py2와 동일하다.
그래프들 (150 및 144) 을 참조하면, RF 신호 (156C) 의 상태 S1 동안, RF 신호 (156C) 는 Py1의 전력 파라미터 레벨을 갖고 fy1의 주파수 레벨을 갖는다. 더욱이, 천이 시간 tst1에서, RF 신호 (156C) 는 상태 S1로부터 상태 S2로 천이한다. 상태 S2 동안, Py2의 전력 파라미터 레벨을 갖는다. 또한, 상태 S2 동안, RF 신호 (156C) 는 fy2의 주파수 레벨을 갖는다. RF 신호 (156C) 의 fy2의 주파수 레벨은 RF 신호 (156C) 의 fy1의 주파수 레벨보다 높다. 천이 시간 tst2에서, RF 신호 (156A) 및 RF 신호 (156C) 각각은 상태 S2로부터 다시 상태 S1로 천이한다.
일부 실시예들에서, RF 신호 (156A) 의 주파수 레벨 fx2는 RF 신호 (156A) 의 주파수 레벨 fx1보다 높고, RF 신호 (156C) 주파수 레벨 fy1은 RF 신호 (156C) 의 주파수 레벨 fy2보다 높다. 몇몇 실시예들에서, RF 신호 (156A) 의 주파수 레벨 fx2는 RF 신호 (156A) 의 주파수 레벨 fx1보다 높고, RF 신호 (156C) 의 주파수 레벨 fy1은 RF 신호 (156C) 의 주파수 레벨 fy2보다 높다. 다양한 실시예들에서, RF 신호 (156A) 의 주파수 레벨 fx2는 RF 신호 (156A) 의 주파수 레벨 fx1보다 낮고, RF 신호 (156C) 의 주파수 레벨 fy1은 RF 신호 (156C) 의 주파수 레벨 fy2보다 낮다.
RF 신호 (156C) 의 상태 S1의 듀티 사이클은 RF 신호 (156C) 의 상태 S2의 듀티 사이클와 같다는 것을 주의해야 한다. 예를 들어, RF 신호 (156C) 의 상태 S1의 듀티 사이클은 50 %이고 RF 신호 (156C) 의 상태 S2의 듀티 사이클은 50 %이다. RF 신호 (156C) 의 상태 S1은 펄싱된 신호 (102) 의 사이클의 50 %를 점유하고 RF 신호 (156C) 의 상태 S2는 펄싱된 신호 (102) 의 사이클의 나머지 50 %를 점유한다.
다양한 실시예들에서, RF 신호 (156C) 의 상태 S1의 듀티 사이클은 RF 신호 (156C) 의 상태 S2의 듀티 사이클과 상이하다. 예를 들어, RF 신호 (156C) 의 상태 S1의 듀티 사이클은 25 %이고 RF 신호 (156C) 의 상태 S2의 듀티 사이클은 75 %이다. RF 신호 (156C) 의 상태 S1은 펄싱된 신호 (102) 의 사이클의 25 %를 점유하고 RF 신호 (156C) 의 상태 S2는 펄싱된 신호 (102) 의 사이클의 나머지 75 %를 점유한다. 또 다른 예로서, RF 신호 (156C) 의 상태 S1의 듀티 사이클은 a %이고 RF 신호 (156C) 의 상태 S2의 듀티 사이클은 (100-a) %이다. RF 신호 (156C) 의 상태 S1은 펄싱된 신호 (102) 의 사이클의 a %를 점유하고 RF 신호 (156C) 의 상태 S2는 펄싱된 신호 (102) 의 사이클의 나머지 (100-a) %를 점유한다.
일부 실시예들에서, RF 신호 (156C) 의 전력 파라미터 레벨 Py1 및 전력 파라미터 레벨 Py2는 RF 신호 (156A) 의 전력 파라미터 레벨 Px1 및 전력 파라미터 레벨 Px2보다 크다.
다양한 실시예들에서, RF 신호 (156C) 의 전력 파라미터 레벨은 RF 신호 (156C) 의 주파수 레벨을 펄싱하는 것에 더하여 상태 S1과 상태 S2 사이에 펄싱된다. 예를 들어, 상태 S1 동안 RF 신호 (156C) 의 Py1은 상태 S2 동안 RF 신호 (156C) 전력 파라미터 레벨 Py2보다 크거나 작은 것과 같이 상이하다.
일부 실시예들에서, RF 신호 (156A) 의 전력 파라미터 레벨은 RF 신호 (156A) 의 주파수 레벨을 펄싱하는 것에 더하여 상태 S1과 상태 S2 사이에 펄싱된다. 예를 들어, 상태 S1 동안 RF 신호 (156A) 의 전력 파라미터 레벨 Px1은 상태 S2 동안 RF 신호 (156A) 의 전력 파라미터 레벨 Px2보다 크거나 작은 것과 같이 상이하다.
전력 파라미터 레벨들 Px1, Px2, Py1, 및 Py2는 그래프 (152) 에 예시된 바와 같이 0이 아니라는 것을 주의해야 한다. 게다가, 주파수 레벨들 fx1, fx2, fy1, 및 fy2는 그래프 (152) 에 예시된 바와 같이 0이 아니다. 또한, 전력 파라미터 레벨들 Px1, Px2, Py1, 및 Py2는 그래프 (154) 에 예시된 바와 같이 0이 아니다. 게다가, 주파수 레벨들 fx1, fx2, fy1, 및 fy2는 그래프 (154) 에 예시된 바와 같이 0이 아니다.
도 2a는 저 각도 확산으로 피크 이온 에너지 향상을 달성하기 위한 플라즈마 툴 (200) 의 실시예의 블록도이다. 플라즈마 툴 (200) 은 플라즈마 툴 (200) 이 펄싱된 신호 (102) 의 2 상태 동작 (도 1a) 대신 펄싱된 신호 (202) 의 3 상태 동작과 연관된 것을 제외하고 플라즈마 툴 (100) 과 유사하다. 플라즈마 툴 (200) 은 RF 생성기 (RFGa), 또 다른 RF 생성기 (RFGb), 호스트 컴퓨터 (116), IMN (104), 플라즈마 챔버 (108), IMN (112), 및 바이어스 RF 생성기 시스템 (114) 을 포함한다. RF 생성기 (RFGa) 는 저 주파수 RF 생성기, 예컨대 400 ㎑ RF 생성기 또는 2 ㎒ RF 생성기, 또는 13.56 ㎒ RF 생성기이다. RF 생성기 (RFGb) 는 고 주파수 RF 생성기이다. RF 생성기 (RFGb) 의 예들은 2 ㎒, 또는 13.56 ㎒, 또는 27 ㎒, 또는 60 ㎒ RF 생성기를 포함한다. RF 생성기 (RFGb) 는 RF 생성기 (RFGa) 보다 높은 주파수에서 동작한다.
RF 생성기 (RFGa) 는 DSPx, 전력 제어기 (PWRS1x), 전력 제어기 (PWRS2x), 또 다른 전력 제어기 (PWRS3x), AFT (AFTS1x), AFT (AFTS2x), 또 다른 AFT (AFTS3x), RF 전력 공급부 (Psx), 및 드라이버 시스템 (118) 을 포함한다.
DSPx는 전력 제어기들 (PWRS1x, PWRS2x, 및 PWRS3x) 에, 그리고 AFT들 (AFTS1x, AFTS2x, 및 AFTS3x) 에 커플링된다. 게다가, 전력 제어기들 (PWRS1x, PWRS2x, 및 PWRS3x) 및 AFT들 (AFTS1x, AFTS2x, 및 AFTS3x) 은 드라이버 시스템 (118) 에 커플링된다. 드라이버 시스템 (118) 은 RF 생성기 (RFGa) 의 출력부를 통해 RF 케이블 (124) 에 커플링되는, RF 전력 공급부 (Psx) 에 커플링된다.
RF 생성기 (RFGb) 는 DSPy, 전력 제어기 (PWRS1y), 전력 제어기 (PWRS2y), 또 다른 전력 제어기 (PWRS3y), AFT (AFTS1y), 및 AFT (AFTS2y) 를 포함한다. RF 생성기 (RFGb) 는 또 다른 AFT (AFTS3y), RF 전력 공급부 (Psy), 및 드라이버 시스템 (128) 을 더 포함한다. DSPy는 전력 제어기들 (PWRS1y, PWRS2y, 및 PWRS3y) 에, 그리고 AFT들 (AFTS1y, AFTS2y, 및 AFTS3y) 에 커플링된다. 게다가, 전력 제어기들 (PWRS1y, PWRS2y, 및 PWRS3y) 및 AFT들 (AFTS1y, AFTS2y, 및 AFTS3y) 은 드라이버 시스템 (132) 에 커플링된다. 드라이버 시스템 (132) 은, RF 생성기 (RFGb) 의 출력부를 통해 RF 케이블 (130) 에 커플링되는, RF 전력 공급부 (Psy) 에 커플링된다.
프로세서 (132) 의 제어 회로는 펄싱된 신호 (202), 예를 들어, TTL 신호, 디지털 펄싱 신호, 구형파 (square-shaped waveform), 3 개의 상태 S1 내지 상태 S3에 대한 3 개의 듀티 사이클들을 갖는 펄싱된 신호, 등을 생성하도록 사용된다. 펄싱된 신호 (202) 를 생성하도록 사용된 프로세서 (132) 의 제어 회로의 예들은 TTL 회로를 포함한다.
펄싱된 신호 (202) 는 상태 S1, 상태 S2, 및 상태 S3을 포함한다. 예를 들어, 펄싱된 신호 (202) 의 상태 S1은 클록 신호 (204) 의 클록 사이클의 일부 동안 1의 논리 레벨 그리고 클록 사이클의 또 다른 부분 동안 0의 논리 레벨을 갖고, 펄싱된 신호 (202) 의 상태 S2는 클록 사이클의 일부 동안 1의 논리 레벨 그리고 클록 사이클의 또 다른 부분 동안 0의 논리 레벨을 갖고, 펄싱된 신호 (202) 의 상태 S3은 클록 사이클의 일부 동안 1의 논리 레벨 그리고 클록 사이클의 또 다른 부분 동안 0의 논리 레벨을 갖는다. 다양한 실시예들에서, 상태 S1, 상태 S2, 및 상태 S3은 클록 신호 (204) 의 클록 사이클의 동안 한번 실행되고 복수의 클록 사이클들로 반복된다. 예를 들어, 클록 사이클은 상태 S1 내지 상태 S3을 포함하고 그리고 클록 신호 (204) 의 또 다른 클록 사이클은 상태 S1 내지 상태 S3을 포함한다. 예시를 위해, 클록 사이클의 기간의 일부 동안, 상태 S1이 실행되고, 클록 사이클의 또 다른 기간 동안, 상태 S2가 실행되고, 그리고 클록 사이클의 기간의 나머지 부분 동안, 상태 S3이 실행된다.
일부 실시예들에서, 상태 S1 내지 상태 S3 각각은 1/3 듀티 사이클을 갖는다. 몇몇 실시예들에서, 상태 S1 내지 상태 S3 각각은 상태 S1 내지 상태 S3 중 임의의 남아 있는 상태의 듀티 사이클과 상이한 듀티 사이클을 갖는다. 예를 들어, 상태 S1은 a %의 듀티 사이클을 갖고, 상태 S2는 b %의 듀티 사이클을 갖고, 그리고 상태 S3은 (100-a-b) %의 듀티 사이클을 갖고, 여기서 a 및 b는 양의 정수들이고, a는 b와 상이한 수이다.
다양한 실시예들에서, 펄싱된 신호 (202) 를 생성하기 위한 프로세서 (132) 의 제어 회로 대신, 클록 소스, 예를 들어, 결정 오실레이터, 등이 아날로그-투-디지털 컨버터에 의해 펄싱된 신호 (202) 와 유사한 디지털 신호로 변환되는, 아날로그 클록 신호를 생성하도록 사용된다. 예를 들어, 결정 오실레이터는 결정 오실레이터 근방 전극에 전압을 인가함으로써 전기장에서 오실레이팅하도록 형성된다. 예시를 위해, 결정 오실레이터는 클록 신호 (204) 의 클록 사이클의 제 1 부분 동안 제 1 주파수에서, 클록 신호 (204) 의 클록 사이클의 제 2 부분 동안 제 2 주파수에서, 그리고 클록 신호 (204) 의 클록 사이클의 나머지 부분 동안 제 3 주파수에서 오실레이팅한다. 제 3 주파수는 제 1 주파수와 상이한, 제 2 주파수와 상이하다. 일부 실시예들에서, 제 1 주파수는 제 2 주파수와 같지만, 제 3 주파수와 상이하다. 다양한 실시예들에서, 제 1 주파수는 제 3 주파수와 동일하지만 제 2 주파수와 상이하다. 다양한 실시예들에서, 프로세서 (132) 대신, 디지털 클록 소스가 펄싱된 신호 (202) 를 생성한다.
프로세서 (132) 는 메모리 디바이스 (144) 로부터 레시피에 액세스한다. 레시피의 예들은 상태 S1에 대해 RF 생성기 (RFGa) 에 적용될 전력 파라미터 설정 점, 상태 S2에 대해 RF 생성기 (RFGa) 에 적용될 전력 파라미터 설정 점, 상태 S3에 대해 RF 생성기 (RFGa) 에 적용될 전력 파라미터 설정 점, 상태 S1에 대해 RF 생성기 (RFGa) 에 적용될 주파수 설정 점, 상태 S2에 대해 RF 생성기 (RFGa) 에 적용될 주파수 설정 점, 상태 S3에 대해 RF 생성기 (RFGa) 에 인가될주파수 설정 점, 상태 S1에 대해 RF 생성기 (RFGb) 에 적용될 전력 파라미터 설정 점, 상태 S2에 대해 RF 생성기 (RFGb) 에 적용될 전력 파라미터 설정 점, 상태 S3에 대해 RF 생성기 (RFGb) 에 적용될 전력 파라미터 설정 점, 상태 S1에 대해 RF 생성기 (RFGb) 에 적용될 주파수 설정 점, 상태 S2에 대해 RF 생성기 (RFGb) 에 적용될 주파수 설정 점, 상태 S3에 대해 RF 생성기 (RFGb) 에 적용될 주파수 설정 점, 하나 이상의 프로세스 가스들의 화학물질, 또는 이들의 조합을 포함한다.
프로세서 (132) 는 케이블 (146) 을 통해 DSPx로 펄싱된 신호 (202) 와 함께 인스트럭션을 전송한다. 케이블 (146) 을 통해 DSPx로 전송된 인스트럭션은 펄싱된 신호 (202) 에 관한 정보, 상태 S1에 대해 RF 생성기 (RFGa) 에 적용될 전력 파라미터 설정 점, 상태 S2에 대해 RF 생성기 (RFGa) 에 적용될 전력 파라미터 설정 점, 상태 S3에 대해 RF 생성기 (RFGa) 에 적용될 전력 파라미터 설정 점, 상태 S1에 대해 RF 생성기 (RFGa) 에 적용될 주파수 설정 점, 상태 S2에 대해 RF 생성기 (RFGa) 에 적용될 주파수 설정 점, 및 상태 S3에 대해 RF 생성기 (RFGa) 에 적용될 주파수 설정 점을 갖는다. 펄싱된 신호 (202) 에 관한 정보는 RF 생성기 (RFGa) 에 의해 생성될 RF 신호가 클록 신호 (204) 의 클록 사이클의 천이 시간 ts1에서 상태 S1로부터 상태 S2로 천이하고, RF 신호가 클록 사이클의 천이 시간 ts2에서 상태 S2로부터 상태 S3으로 천이하고, 그리고 RF 신호가 클록 사이클의 천이 시간 ts3에서 상태 S3으로부터 상태 S1로 천이한다고 DSPx에 나타낸다. DSPx는 인스트럭션을 파싱하고 상태 S1에 대한 전력 파라미터 설정 점은 펄싱된 신호 (202) 의 상태 S1 동안 적용되고, 상태 S2에 대한 전력 파라미터 설정 점은 펄싱된 신호 (202) 의 상태 S2 동안 적용되고, 상태 S3에 대한 전력 파라미터 설정 점은 펄싱된 신호 (202) 의 상태 S3 동안 적용되고, 상태 S1에 대한 주파수 설정 점은 펄싱된 신호 (202) 의 상태 S1 동안 적용되고, 상태 S2에 대한 주파수 설정 점은 펄싱된 신호 (202) 의 상태 S2 동안 적용되고, 그리고 상태 S3에 대한 주파수 설정 점은 펄싱된 신호 (202) 의 상태 S3 동안 적용된다고 인스트럭션으로부터 결정한다. 게다가, DSPx는 인스트럭션으로부터, RF 생성기 (RFGa) 에 의해 생성될 RF 신호가 펄싱된 신호 (202) 의 클록 사이클의 천이 시간 ts1에서 상태 S1로부터 상태 S2로 천이하고, RF 신호가 클록 사이클의 천이 시간 ts2에서 상태 S2로부터 상태 S3으로 천이하고, 그리고 RF 신호가 클록 사이클의 천이 시간 ts3에서 상태 S3으로부터 상태 S1로 천이한다고 결정한다. 천이 시간 ts1 내지 천이 시간 ts3은 클록 신호 (204) 의 클록 사이클 각각에 대해 반복된다.
클록 신호 (204) 의 클록 사이클의 천이 시간 ts3에서, DSPx는 상태 S1에 대한 전력 파라미터 설정 점을 전력 제어기 (PWRS1x) 으로 전송한다. 유사하게, 클록 신호 (204) 의 클록 사이클의 천이 시간 ts1에서, DSPx는 상태 S2에 대한 전력 파라미터 설정 점을 전력 제어기 (PWRS2x) 로 전송한다. 또한, 클록 신호 (204) 의 클록 사이클의 천이 시간 ts2에서, DSPx는 상태 S3에 대한 전력 파라미터 설정 점을 전력 제어기 (PWRS3x) 로 전송한다. 게다가, 클록 사이클의 천이 시간 ts3에서, DSPx는 상태 S1에 대한 주파수 설정 점을 AFT (AFTS1x) 로 전송한다. 또한, 클록 사이클의 천이 시간 ts1에서, DSPx는 상태 S2에 대한 주파수 설정 점을 AFT (AFTS2x) 로 전송한다. 게다가, 클록 사이클의 천이 시간 ts2에서, DSPx는 상태 S3에 대한 주파수 설정 점을 AFT (AFTS3x) 로 전송한다.
상태 S1에 대한 전력 파라미터 설정 점을 수신하자마자, 전력 파라미터 제어기 (PWRS1x) 는 상태 S1에 대한 전력 파라미터 설정 점에 대응하는 전류의 양을 결정한다. 상태 S1 동안 드라이버 시스템 (118) 에 의해 생성될 전류의 양에 기초하여, 전력 파라미터 제어기 (PWRS1x) 는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (118) 으로 전송한다. 상태 S1에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (118) 은 전류의 양을 갖는 전류 신호를 생성하고 RF 전력 공급부 (Psx) 로 전송한다. RF 전력 공급부 (Psx) 는, 전류 신호를 수신하자마자 상태 S1에 대한 전력 파라미터 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGa) 의 출력부 및 RF 케이블 (124) 을 통해 IMN (104) 의 입력부로 공급한다. 상태 S1에 대한 전력 파라미터 설정 점은 RF 생성기 (RFGa) 의 RF 전력 공급부 (Psx) 에 의해 상태 S1 동안 유지된다.
유사하게, 상태 S2에 대한 전력 파라미터 설정 점을 수신하자마자, 전력 제어기 (PWRS2x) 는 상태 S2에 대한 전력 파라미터 설정 점에 대응하는 전류의 양을 결정한다. 상태 S2 동안 드라이버 시스템 (118) 에 의해 생성될 전류의 양에 기초하여, 전력 제어기 (PWRS2x) 는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (118) 으로 전송한다. 상태 S2에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (118) 은 전류의 양을 갖는 전류 신호를 RF 전력 공급부 (Psx) 로 전송한다. RF 전력 공급부 (Psx) 는, 전류 신호를 수신하자마자 상태 S2에 대한 전력 파라미터 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGa) 의 출력부 및 RF 케이블 (124) 을 통해 IMN (104) 의 입력부로 공급한다. 상태 S2에 대한 전력 파라미터 설정 점은 상태 S2 동안 RF 생성기 (RFGa) 의 RF 전력 공급부 (Psx) 에 의해 유지된다.
게다가, 상태 S3에 대한 전력 파라미터 설정 점을 수신하자마자, 전력 파라미터 제어기 (PWRS3x) 는 상태 S3에 대한 전력 파라미터 설정 점에 대응하는 전류의 양을 결정한다. 상태 S3 동안 드라이버 시스템 (118) 에 의해 생성될 전류의 양에 기초하여, 전력 파라미터 제어기 (PWRS3x) 는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (118) 으로 전송한다. 상태 S3에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (118) 은 전류의 양을 갖는 전류 신호를 생성하고 RF 전력 공급부 (Psx) 로 전송한다. RF 전력 공급부 (Psx) 는, 전류 신호를 수신하자마자 상태 S3에 대한 전력 파라미터 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGa) 의 출력부 및 RF 케이블 (124) 을 통해 IMN (104) 의 입력부로 공급한다. 상태 S3에 대한 전력 파라미터 설정 점은 RF 생성기 (RFGa) 의 RF 전력 공급부 (Psx) 에 의해 상태 S3 동안 유지된다.
게다가, 상태 S1에 대한 주파수 설정 점을 수신하자마자, AFT (AFTS1x) 는 상태 S1에 대한 주파수 설정 점에 대응하는 전류의 양을 결정한다. 상태 S1 동안 드라이버 시스템 (118) 에 의해 생성될 전류의 양에 기초하여, AFT (AFTS1x) 는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (118) 으로 전송한다. 상태 S1에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (118) 은 전류의 양을 갖는 전류 신호를 생성하고 RF 전력 공급부 (Psx) 로 전송한다. RF 전력 공급부 (Psx) 는, 전류 신호를 수신하자마자 상태 S1에 대한 주파수 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGa) 의 출력부 및 RF 케이블 (124) 을 통해 IMN (104) 의 입력부로 공급한다. 상태 S1에 대한 주파수 설정 점은 상태 S1동안 RF 생성기 (RFGa) 의 RF 전력 공급부 (Psx) 에 의해 유지된다. 상태 S1에 대한 전력 파라미터 설정 점 및 상태 S1에 대한 주파수 설정 점을 갖는 RF 신호는 상태 S1 동안 RF 생성기 (RFGa) 에 의해 생성된 RF 신호이다.
유사하게, 상태 S2에 대한 주파수 설정 점을 수신하자마자, AFT (AFTS2x) 는 상태 S2에 대한 주파수 설정 점에 대응하는 전류의 양을 결정한다. 상태 S2 동안 드라이버 시스템 (118) 에 의해 생성될 전류의 양에 기초하여, AFT (AFTS2x) 는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (118) 으로 전송한다. 상태 S2에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (118) 은 전류의 양을 갖는 전류 신호를 생성하고 RF 전력 공급부 (Psx) 로 전송한다. RF 전력 공급부 (Psx) 는, 전류 신호를 수신하자마자 상태 S2에 대한 주파수 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGa) 의 출력부 및 RF 케이블 (124) 을 통해 IMN (104) 의 입력부로 공급한다. 상태 S2에 대한 주파수 설정 점은 상태 S2 동안 RF 생성기 (RFGa) 의 RF 전력 공급부 (Psx) 에 의해 유지된다. 상태 S2에 대한 전력 파라미터 설정 점 및 상태 S2에 대한 주파수 설정 점을 갖는 RF 신호는 상태 S2 동안 RF 생성기 (RFGa) 에 의해 생성된 RF 신호이다.
게다가, 상태 S3에 대한 주파수 설정 점을 수신하자마자, AFT (AFTS3x) 는 상태 S3에 대한 주파수 설정 점에 대응하는 전류의 양을 결정한다. 상태 S3 동안 드라이버 시스템 (118) 에 의해 생성될 전류의 양에 기초하여, AFT (AFTS3x) 는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (118) 으로 전송한다. 상태 S3에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (118) 은 전류의 양을 갖는 전류 신호를 생성하고 RF 전력 공급부 (Psx) 로 전송한다. RF 전력 공급부 (Psx) 는, 전류 신호를 수신하자마자 상태 S3에 대한 주파수 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGa) 의 출력부 및 RF 케이블 (124) 을 통해 IMN (104) 의 입력부로 공급한다. 상태 S3에 대한 주파수 설정 점은 상태 S3동안 RF 생성기 (RFGa) 의 RF 전력 공급부 (Psx) 에 의해 유지된다. 상태 S3에 대한 전력 파라미터 설정 점 및 상태 S3에 대한 주파수 설정 점을 갖는 RF 신호는 상태 S3 동안 RF 생성기 (RFGa) 에 의해 생성된 RF 신호이다.
프로세서 (132) 는 케이블 (148) 을 통해 DSPy로 펄싱된 신호 (202) 와 함께 인스트럭션을 전송한다. 케이블 (148) 을 통해 DSPy로 전송된 인스트럭션은 펄싱된 신호 (202) 에 관한 정보, 상태 S1에 대해 RF 생성기 (RFGb) 에 적용될 전력 파라미터 설정 점, 상태 S2에 대해 RF 생성기 (RFGb) 에 적용될 전력 파라미터 설정 점, 상태 S3에 대해 RF 생성기 (RFGb) 에 적용될 전력 파라미터 설정 점, 상태 S1에 대해 RF 생성기 (RFGb) 에 적용될 주파수 설정 점, 상태 S2에 대해 RF 생성기 (RFGb) 에 적용될 주파수 설정 점, 및 상태 S3에 대해 RF 생성기 (RFGb) 에 적용될 주파수 설정 점을 갖는다. 펄싱된 신호 (202) 에 관한 정보는 RF 생성기 (RFGb) 에 의해 생성될 RF 신호가 클록 신호 (204) 의 클록 사이클의 천이 시간 ts1에서 상태 S1로부터 상태 S2로 천이하고, RF 신호가 클록 사이클의 천이 시간 ts2에서 상태 S2로부터 상태 S3으로 천이하고, 그리고 RF 신호가 클록 사이클의 천이 시간 ts3에서 상태 S3으로부터 상태 S1로 천이한다고 DSPy에 나타낸다. DSPy는 인스트럭션을 파싱하고 상태 S1에 대한 전력 파라미터 설정 점은 펄싱된 신호 (202) 의 상태 S1 동안 적용되고, 상태 S2에 대한 전력 파라미터 설정 점은 펄싱된 신호 (202) 의 상태 S2 동안 적용되고, 상태 S3에 대한 전력 파라미터 설정 점은 펄싱된 신호 (202) 의 상태 S3 동안 적용되고, 상태 S1에 대한 주파수 설정 점은 펄싱된 신호 (202) 의 상태 S1 동안 적용되고, 상태 S2에 대한 주파수 설정 점은 펄싱된 신호 (202) 의 상태 S2 동안 적용되고, 그리고 상태 S3에 대한 주파수 설정 점은 펄싱된 신호 (202) 의 상태 S3 동안 적용된다고 인스트럭션으로부터 결정한다. 게다가, DSPy는 인스트럭션으로부터, RF 생성기 (RFGb) 에 의해 생성될 RF 신호가 펄싱된 신호 (202) 의 클록 사이클의 천이 시간 ts1에서 상태 S1로부터 상태 S2로 천이하고, RF 신호가 클록 사이클의 천이 시간 ts2에서 상태 S2로부터 상태 S3으로 천이하고, 그리고 RF 신호가 클록 사이클의 천이 시간 ts3에서 상태 S3으로부터 상태 S1로 천이한다고 결정한다.
클록 신호 (204) 의 클록 사이클의 천이 시간 ts3에서, DSPy는 상태 S1에 대한 전력 파라미터 설정 점을 전력 제어기 (PWRS1y) 으로 전송한다. 유사하게, 클록 신호 (204) 의 클록 사이클의 천이 시간 ts1에서, DSPy는 상태 S2에 대한 전력 파라미터 설정 점을 전력 제어기 (PWRS2y) 로 전송한다. 또한, 클록 신호 (204) 의 클록 사이클의 천이 시간 ts2에서, DSPy는 상태 S3에 대한 전력 파라미터 설정 점을 전력 제어기 (PWRS3y) 로 전송한다. 게다가, 클록 사이클의 천이 시간 ts3에서, DSPy는 상태 S1에 대한 주파수 설정 점을 AFT (AFTS1y) 로 전송한다. 또한, 클록 사이클의 천이 시간 ts1에서, DSPy는 상태 S2에 대한 주파수 설정 점을 AFT (AFTS2y) 로 전송한다. 게다가, 클록 사이클의 천이 시간 ts2에서, DSPy는 상태 S3에 대한 주파수 설정 점을 AFT (AFTS3y) 로 전송한다.
상태 S1에 대한 전력 파라미터 설정 점을 수신하자마자, 전력 파라미터 제어기 (PWRS1y) 는 상태 S1에 대한 전력 파라미터 설정 점에 대응하는 전류의 양을 결정한다. 상태 S1 동안 드라이버 시스템 (128) 에 의해 생성될 전류의 양에 기초하여, 전력 파라미터 제어기 (PWRS1y) 는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (128) 으로 전송한다. 상태 S1에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (128) 은 전류의 양을 갖는 전류 신호를 생성하고 RF 전력 공급부 (Psy) 로 전송한다. RF 전력 공급부 (Psy) 는, 전류 신호를 수신하자마자 상태 S1에 대한 전력 파라미터 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGb) 의 출력부 및 RF 케이블 (130) 을 통해 IMN (104) 의 다른 입력부로 공급한다. 상태 S1에 대한 전력 파라미터 설정 점은 RF 전력 공급부 (Psy) 에 의해 상태 S1 동안 유지된다.
유사하게, 상태 S2에 대한 전력 파라미터 설정 점을 수신하자마자, 전력 제어기 (PWRS2y) 는 상태 S2에 대한 전력 파라미터 설정 점에 대응하는 전류의 양을 결정한다. 상태 S2 동안 드라이버 시스템 (128) 에 의해 생성될 전류의 양에 기초하여, 전력 제어기 (PWRS2y) 는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (128) 으로 전송한다. 상태 S2에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (128) 은 전류의 양을 갖는 전류 신호를 RF 전력 공급부 (Psy) 로 전송한다. RF 전력 공급부 (Psy) 는, 전류 신호를 수신하자마자 상태 S2에 대한 전력 파라미터 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGb) 의 출력부 및 RF 케이블 (130) 을 통해 IMN (104) 의 다른 입력부로 공급한다. 상태 S2에 대한 전력 파라미터 설정 점은 상태 S2 동안 RF 전력 공급부 (Psy) 에 의해 유지된다.
게다가, 상태 S3에 대한 전력 파라미터 설정 점을 수신하자마자, 전력 파라미터 제어기 (PWRS3y) 는 상태 S3에 대한 전력 파라미터 설정 점에 대응하는 전류의 양을 결정한다. 상태 S3 동안 드라이버 시스템 (128) 에 의해 생성될 전류의 양에 기초하여, 전력 파라미터 제어기 (PWRS3y) 는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (128) 으로 전송한다. 상태 S3에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (128) 은 전류의 양을 갖는 전류 신호를 생성하고 RF 전력 공급부 (Psy) 로 전송한다. RF 전력 공급부 (Psy) 는, 전류 신호를 수신하자마자 상태 S3에 대한 전력 파라미터 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGb) 의 출력부 및 RF 케이블 (130) 을 통해 IMN (104) 의 다른 입력부로 공급한다. 상태 S3에 대한 전력 파라미터 설정 점은 RF 생성기 (RFGb) 의 RF 전력 공급부 (Psy) 에 의해 상태 S3 동안 유지된다.
게다가, 상태 S1에 대한 주파수 설정 점을 수신하자마자, AFT (AFTS1y) 는 상태 S1에 대한 주파수 설정 점에 대응하는 전류의 양을 결정한다. 상태 S1 동안 드라이버 시스템 (128) 에 의해 생성될 전류의 양에 기초하여, AFT (AFTS1y) 는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (128) 으로 전송한다. 상태 S1에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (128) 은 전류의 양을 갖는 전류 신호를 생성하고 RF 전력 공급부 (Psy) 로 전송한다. RF 전력 공급부 (Psy) 는, 전류 신호를 수신하자마자 상태 S1에 대한 주파수 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGb) 의 출력부 및 RF 케이블 (130) 을 통해 IMN (104) 의 다른 입력부로 공급한다. 상태 S1에 대한 주파수 설정 점은 상태 S1동안 RF 전력 공급부 (Psy) 에 의해 유지된다. 상태 S1에 대한 전력 파라미터 설정 점 및 상태 S1에 대한 주파수 설정 점을 갖는 RF 신호는 상태 S1 동안 RF 생성기 (RFGb) 에 의해 생성된 RF 신호이다.
유사하게, 상태 S2에 대한 주파수 설정 점을 수신하자마자, AFT (AFTS2y) 는 상태 S2에 대한 주파수 설정 점에 대응하는 전류의 양을 결정한다. 상태 S2 동안 드라이버 시스템 (128) 에 의해 생성될 전류의 양에 기초하여, AFT (AFTS2y) 는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (132) 로 전송한다. 상태 S2에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (132) 은 전류의 양을 갖는 전류 신호를 생성하고 RF 전력 공급부 (Psy) 로 전송한다. RF 전력 공급부 (Psy) 는, 전류 신호를 수신하자마자 상태 S2에 대한 주파수 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGb) 의 출력부 및 RF 케이블 (130) 을 통해 IMN (104) 의 다른 입력부로 공급한다. 상태 S2에 대한 주파수 설정 점은 상태 S2 동안 RF 전력 공급부 (Psy) 에 의해 유지된다. 상태 S2에 대한 전력 파라미터 설정 점 및 상태 S2에 대한 주파수 설정 점을 갖는 RF 신호는 상태 S2 동안 RF 생성기 (RFGb) 에 의해 생성된 RF 신호이다.
게다가, 상태 S3에 대한 주파수 설정 점을 수신하자마자, AFT (AFTS3y) 는 상태 S3에 대한 주파수 설정 점에 대응하는 전류의 양을 결정한다. 상태 S3 동안 드라이버 시스템 (128) 에 의해 생성될 전류의 양에 기초하여, AFT (AFTS3y) 는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (128) 으로 전송한다. 상태 S3에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (128) 은 전류의 양을 갖는 전류 신호를 생성하고 RF 전력 공급부 (Psy) 로 전송한다. RF 전력 공급부 (Psy) 는, 전류 신호를 수신하자마자 상태 S3에 대한 주파수 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGb) 의 출력부 및 RF 케이블 (130) 을 통해 IMN (104) 의 다른 입력부로 공급한다. 상태 S3에 대한 주파수 설정 점은 상태 S3동안 RF 전력 공급부 (Psy) 에 의해 유지된다. 상태 S3에 대한 전력 파라미터 설정 점 및 상태 S3에 대한 주파수 설정 점을 갖는 RF 신호는 상태 S3 동안 RF 생성기 (RFGb) 에 의해 생성된 RF 신호이다.
IMN (104) 의 입력부는, 입력부에서 RF 생성기 (RFGa) 의 출력부로부터 RF 케이블 (124) 을 통해 RF 생성기 (RFGa) 에 의해 생성된 RF 신호를 수신하고, 다른 입력부에서, RF 생성기 (RFGb) 의 출력부로부터 RF 케이블 (130) 을 통해 RF 생성기 (RFGb) 에 의해 생성된 RF 신호를 수신하고, IMN (104) 의 출력부에서 수정된 RF 신호를 생성하도록 IMN (104) 의 출력부에 커플링된 부하의 임피던스와 IMN (104) 의 입력부들에 커플링된 소스의 임피던스를 매칭시킨다. 수정된 RF 신호는 RF 송신 라인 (126) 을 통해 상부 전극 (106) 으로 전송된다. 하나 이상의 프로세스 가스들이 상부 전극 (108) 과 척 (110) 사이에 공급될 때, 수정된 RF 신호는 하부 전극 (120) 으로 공급되고, 출력부 RF 신호는 척 (110) 으로 공급되고, 하나 이상의 프로세스 가스들은 플라즈마 챔버 (108) 내에서 플라즈마를 생성하도록 점화되거나 플라즈마는 플라즈마 챔버 (108) 내에서 유지된다.
다양한 실시예들에서, 전력 제어기들 (PWRS1x, PWRS2x, 및 PWRS3x), 및 AFT들 (AFTS1x, AFTS2x, 및 AFTS3x) 은 RF 생성기 (RFGa) 의 DSPx 에 의해 실행되는 컴퓨터 프로그램의 모듈들, 예를 들어, 부분들, 등이다.
몇몇 실시예들에서, 전력 제어기들 (PWRS1x, PWRS2x, 및 PWRS3x), 및 AFT들 (AFTS1x, AFTS2x, 및 AFTS3x) 은 RF 생성기 (RFGa) 의 DSPx의 집적 회로에 커플링된 별도의 집적 회로들이다. 예를 들어, 전력 제어기 (PWRS1x) 는 RF 생성기 (RFGa) 의 제 1 집적 회로이고, 전력 제어기 (PWRS2x) 는 RF 생성기 (RFGa) 의 제 2 집적 회로이고, 전력 제어기 (PWRS3x) 는 RF 생성기 (RFGa) 의 제 3 집적 회로이고, AFT (AFTS1x) 는 RF 생성기 (RFGa) 의 제 4 집적 회로이고 , AFT (AFTS2x) 는 RF 생성기 (RFGa) 의 제 5 집적 회로이고, AFT (AFTS3x) 는 RF 생성기 (RFGa) 의 제 6 집적 회로이고, 그리고 DSPx는 RF 생성기 (RFGa) 의 제 7 집적 회로이다. RF 생성기 (RFGa) 의 제 1 집적 회로 내지 제 6 집적 회로 각각은 RF 생성기 (RFGa) 의 제 7 집적 회로에 커플링된다.
일부 실시예들에서, 전력 제어기들 (PWRS1y, PWRS2y, 및 PWRS3y), 및 AFT들 (AFTS1y, AFTS2y, 및 AFTS3y) 은 RF 생성기 (RFGb) 의 DSPy에 의해 실행되는 컴퓨터 프로그램의 모듈들, 예를 들어, 부분들, 등이다.
다양한 실시예들에서, 전력 제어기들 (PWRS1y, PWRS2y, 및 PWRS3y), 및 AFT들 (AFTS1y, AFTS2y, 및 AFTS3y) 은 RF 생성기 (RFGb) 의 DSPy의 집적 회로에 커플링된 별도의 집적 회로들이다. 예를 들어, 전력 제어기 (PWRS1y) 는 RF 생성기 (RFGb) 의 제 1 집적 회로이고, 전력 제어기 (PWRS2y) 는 RF 생성기 (RFGb) 의 제 2 집적 회로이고, 전력 제어기 (PWRS3y) 는 RF 생성기 (RFGb) 의 제 3 집적 회로이고, AFT (AFTS1y) 는 RF 생성기 (RFGb) 의 제 4 집적 회로이고 , AFT (AFTS2y) 는 RF 생성기 (RFGb) 의 제 5 집적 회로이고, AFT (AFTS3y) 는 RF 생성기 (RFGb) 의 제 6 집적 회로이고, 그리고 DSPy는 RF 생성기 (RFGb) 의 제 7 집적 회로이다. RF 생성기 (RFGb) 의 제 1 집적 회로 내지 제 6 집적 회로 각각은 RF 생성기 (RFGb) 의 제 7 집적 회로에 커플링된다.
일부 실시예들에서, RF 신호의 상태 S3의 일 예는 상태 S3에 대한 전력 파라미터 설정 점 및 상태 S3에 대한 주파수 설정 점을 포함한다. 상태 S3에 대한 전력 파라미터 설정 점은 상태 S3 동안 RF 신호의 전력 양들 또는 전압 양들의 전력 파라미터 레벨, 예컨대 인벨롭 또는 제로-투-피크 크기인, 동작가능한 전력 파라미터 설정 점이다. 상태 S3에 대한 주파수 설정 점은 상태 S3 동안 RF 신호의 주파수 값들의 주파수 레벨, 예컨대 인벨롭 또는 제로-투-피크 크기인 동작가능한 주파수 설정 점이다.
다양한 실시예들에서, 클록 신호 (204) 는 프로세서 (132) 에 의해 또는 클록 소스에 의해 생성되고, 이의 예들은 상기 제공되었다. 일부 실시예들에서, 클록 신호 (204) 는 프로세서 (132) 로부터 케이블 (146) 을 통해 RF 생성기 (RFGa) 의 DSPx로 그리고 케이블 (148) 을 통해 RF 생성기 (RFGb) 의 DSPy로 전송된다.
일부 실시예들에서, 프로세서 (132) 로부터 RF 생성기들 (RFGa 및 RFGb) 로 전송되는 펄싱된 신호 (202) 대신, 펄싱된 신호 (202) 는 마스터 RF 생성기로부터, RF 생성기 (RFGb) 와 같은 슬레이브 RF 생성기로 전송된다. 마스터 RF 생성기의 일 예는 RF 생성기 (RFGa) 를 포함한다. 예시를 위해, RF 생성기 (RFGa) 의 디지털 신호 프로세서 (DSPx) 는 프로세서 (132) 로부터 펄싱된 신호 (202) 를 수신하고, 펄싱된 신호 (202) 를 케이블, 예컨대 병렬 전송 케이블, 직렬 전송 케이블, 또는 USB 케이블을 통해 RF 생성기 (RFGb) 의 디지털 신호 프로세서 (DSPy) 로 전송한다.
도 2b는 RF 생성기 (RFGa) 에 의해 생성되고 공급된 RF 신호 (216A) 와 같은 RF 신호 및 RF 생성기 (RFGb) 에 의해 생성되고 공급된 RF 신호 (216B) 와 같은 RF 신호의 상태 S1, 상태 S2, 및 상태 S2를 예시하기 위한 그래프들 (210, 212, 및 214) 의 실시예들의 도면이다. 그래프 (210) 는 클록 신호 (204) 의 논리 레벨 대 시간 t를 플롯팅한다. 유사하게, 그래프 (212) 는 펄싱된 신호 (202) 의 논리 레벨 대 시간 t를 플롯팅한다.
시간 기간 t1은 RF 생성기들 (RFGa 및 RFGb) 에 의해 생성된 RF 신호들의 상태 S1이 유지되는 클록 신호 (204) 의 클록 사이클 동안 시간 기간이다. 유사하게, 시간 기간 t2는 RF 생성기들 (RFGa 및 RFGb) 에 의해 생성된 RF 신호들의 상태 S2가 유지되는 클록 신호 (204) 의 클록 사이클 동안 시간 기간이다. 또한, 시간 기간 t3은 RF 생성기들 (RFGa 및 RFGb) 에 의해 생성된 RF 신호들의 상태 S3이 유지되는 클록 신호 (204) 의 클록 사이클 동안 시간 기간이다. 예를 들어, 시간 기간 t1은 클록 사이클의 일부를 점유하고, 시간 기간 t2는 클록 사이클의 또 다른 부분을 점유하고, 그리고 시간 기간 t3은 클록 사이클의 나머지 부분을 점유한다. 클록 신호 (204) 의 클록 사이클은 시간 기간 t1 내지 시간 기간 t3으로 이루어지고 클록 신호 (204) 의 복수의 클록 사이클들을 생성하도록 반복된다.
시간 기간 t1 동안, 펄싱된 신호 (202) 는 논리 레벨 1로부터 논리 레벨 0으로 펄싱한다. 논리 레벨은 하이 논리 레벨의 일 예이고 논리 레벨 0은 로우 논리 레벨의 일 예이다. 시간 기간 t1 동안, RF 생성기들 (RFGa 및 RFGb) 에 의해 생성된 RF 신호들은 상태 S1을 유지하도록 제어된다.
펄싱된 신호 (202) 가 논리 레벨 0으로부터 논리 레벨 1로 천이하는 클록 사이클의 천이 시간 ts1에서, RF 생성기들 (RFGa 및 RFGb) 에 의해 생성된 RF 신호들은 상태 S1로부터 상태 S2로 천이하도록 제어된다. 천이 시간 ts1은 시간 기간 t1 후에 발생한다.
시간 기간 t2는 천이 시간 ts1 후에 발생한다. 시간 기간 t2 동안, 펄싱된 신호 (202) 는 논리 레벨 1로부터 논리 레벨 0으로 펄싱한다. 게다가, 시간 기간 t2 동안, RF 생성기들 (RFGa 및 RFGb) 에 의해 생성된 RF 신호들은 상태 S2를 유지하도록 제어된다.
펄싱된 신호 (202) 가 논리 레벨 0으로부터 논리 레벨 1로 천이하는 클록 사이클의 천이 시간 ts2에서, RF 생성기들 (RFGa 및 RFGb) 에 의해 생성된 RF 신호들은 상태 S2로부터 상태 S3으로 천이하도록 제어된다. 천이 시간 ts2는 시간 기간 t2 후에 발생한다.
시간 기간 t3은 천이 시간 ts2 후에 발생한다. 시간 기간 t3 동안, 펄싱된 신호 (202) 는 논리 레벨 1로부터 논리 레벨 0으로 펄싱한다. 게다가, 시간 기간 t3 동안, RF 생성기들 (RFGa 및 RFGb) 에 의해 생성된 RF 신호들은 상태 S3을 유지하도록 제어된다.
펄싱된 신호 (102) 가 논리 레벨 0으로부터 논리 레벨 1로 천이하는 클록 사이클의 천이 시간 ts3에서, RF 생성기들 (RFGa 및 RFGb) 에 의해 생성된 RF 신호들은 상태 S3으로부터 상태 S1로 천이하도록 제어된다. 천이 시간 ts3은 시간 기간 t3 후에 발생한다. 시간 기간 t1은 클록 신호 (204) 의 연속적인 클록 사이클 동안 천이 시간 ts3 후에 반복된다. 클록 신호 (204) 의 연속적인 클록 사이클 동안 시간 기간 t1에 다시 클록 신호 (204) 의 연속적인 클록 사이클의 시간 기간 t2 및 시간 기간 t3가 이어진다. 클록 신호 (204) 의 연속적인 클록 사이클은 연속적이고, 예컨대 클록 신호 (204) 의 클록 사이클을 계속해서 이어지거나 순차적으로 이어진다. 천이 시간 ts1 내지 천이 시간 ts3 및 시간 기간 t1 내지 시간 기간 t3은 연속적인 클록 사이클에 대해 반복된다. 게다가, 천이 시간 ts1 내지 천이 시간 ts3 및 시간 기간 t1 내지 시간 기간 t3은 연속적인 사이클 후에 반복되는 클록 신호 (204) 의 다음 사이클들에 대해 반복된다.
RF 신호들 (216A 및 216B) 의 상태 S1 내지 상태 S3은 클록 신호 (204) 의 사이클 각각과 동기하여 반복된다. 예를 들어, RF 신호 (216A) 의 상태 S1 내지 상태 S3은 클록 신호 (204) 의 클록 사이클 동안 발생하고, RF 신호 (216A) 의 상태 S1 내지 상태 S3은 클록 신호 (204) 의 연속적인 클록 사이클 동안 반복된다. 또 다른 예로서, RF 신호 (216B) 의 상태 S1 내지 상태 S3은 클록 신호 (204) 의 클록 사이클 동안 발생하고 RF 신호 (216B) 의 상태 S1 내지 상태 S3은 클록 신호 (204) 의 연속적인 클록 사이클 동안 반복된다.
RF 신호 (216A) 는 상태 S1 동안 f1x의 주파수 레벨을 갖고 상태 S1 동안 P1x의 전력 파라미터 레벨을 갖는다. 게다가, RF 신호 (216B) 는 상태 S1 동안 0의 주파수 레벨 f1y를 갖고 상태 S1 동안 0의 P1y의 전력 파라미터 레벨을 갖는다.
유사하게, RF 신호 (216A) 는 상태 S2 동안 f2x의 주파수 레벨을 갖고 상태 S2 동안 P2x의 전력 파라미터 레벨을 갖는다. 주파수 레벨 f2x는 주파수 레벨 f1x와 동일하고 전력 파라미터 레벨 P2x는 전력 파라미터 레벨 P1x와 동일하다. 게다가, RF 신호 (216B) 는 상태 S2 동안 f2y의 주파수 레벨을 갖고 상태 S2 동안 P2y의 전력 파라미터 레벨을 갖는다. 전력 파라미터 레벨 P2y는 전력 파라미터 레벨 P2x와 동일하다. 주파수 레벨 f2y는 주파수 레벨 f2x보다 높다.
유사하게, RF 신호 (216A) 는 상태 S3 동안 0의 f3x의 주파수 레벨을 갖고 상태 S3 동안 0의 전력 파라미터 레벨 P3x를 갖는다. 게다가, RF 신호 (216B) 는 상태 S3 동안 f3y의 주파수 레벨을 갖고 상태 S3 동안 P3y의 전력 파라미터 레벨을 갖는다. 주파수 레벨 f3y는 주파수 레벨 f2y보다 낮고 주파수 레벨 f2x보다 높다. 게다가, 전력 파라미터 레벨 P3y는 전력 파라미터 레벨 P2y와 동일하다.
전력 파라미터 레벨들 P1x, P2x, P2y, 및 P3y는 그래프 (214) 에 예시된 바와 같이 0이 아니라는 것을 주의해야 한다. 유사하게, 주파수 레벨들 f1x, f2x, f2y, 및 f3y는 그래프 (214) 에 예시된 바와 같이 0이 아니다.
일부 실시예들에서, 전력 파라미터 레벨들 P2y 및 P3y는 전력 파라미터 레벨들 P1x 및 P2x와 동일하지 않고, 예컨대 보다 높거나 보다 낮다.
다양한 실시예들에서, 주파수 레벨 f3y는 주파수 레벨 f2y보다 높다. 몇몇 실시예들에서, 주파수 레벨 f3y는 주파수 레벨 f2y와 동일하다. 일부 실시예들에서, 전력 파라미터 레벨 P2x는 전력 파라미터 레벨 P1x와 동일하지 않고, 예컨대 보다 높거나 보다 낮다. 다양한 실시예들에서, 전력 파라미터 레벨 P2y는 전력 파라미터 레벨 P3y와 동일하지 않고, 예컨대 보다 높거나 보다 낮다.
일부 실시예들에서, 주파수 레벨 f2x는 주파수 레벨 f1x 와 동일하지 않고, 예컨대 보다 높거나 보다 낮다. 다양한 실시예들에서, 주파수 레벨 f1x 및 전력 파라미터 레벨 P1x는 0이다. 일부 실시예들에서, 주파수 레벨 f2x 및 전력 파라미터 레벨 P2x는 0이다. 몇몇 실시예들에서, 주파수 레벨 f3y 및 전력 파라미터 레벨 P3y는 0이다. 일부 실시예들에서, 주파수 레벨 f2y 및 전력 파라미터 레벨 P2y는 0이다.
일부 실시예들에서, RF 신호 (216A) 또는 RF 신호 (216B) 의 상태 S1 내지 상태 S3 각각은 1/3 듀티 사이클을 갖는다. 몇몇 실시예들에서, RF 신호, 예컨대 RF 신호 (216A) 또는 RF 신호 (216B) 의 상태 S1 내지 상태 S3 각각은 RF 신호의 상태 S1 내지 상태 S3 중 임의의 나머지 듀티 사이클과 상이한 듀티 사이클을 갖는다. 예를 들어, RF 신호의 상태 S1은 a %의 듀티 사이클을 갖고, RF 신호의 상태 S2는 b %의 듀티 사이클을 갖고, 그리고 RF 신호의 상태 S3은 (100-a-b) %의 듀티 사이클을 갖는다. 예시를 위해, RF 신호의 상태 S1의 듀티 사이클은 RF 신호의 상태 S2의 듀티 사이클과 상이하다. 또 다른 예시로서, RF 신호의 상태 S1의 듀티 사이클은 RF 신호의 상태 S2의 듀티 사이클과 상이하고, RF 신호의 상태 S1의 듀티 사이클은 RF 신호의 상태 S3의 듀티 사이클과 동일하다. 또 다른 예시로서, RF 신호의 상태 S1의 듀티 사이클은 RF 신호의 상태 S3의 듀티 사이클과 상이하다. 더욱 또 다른 예시로서, RF 신호의 상태 S1의 듀티 사이클은 RF 신호의 상태 S3의 듀티 사이클과 상이하고, RF 신호의 상태 S1의 듀티 사이클은 RF 신호의 상태 S2의 듀티 사이클과 동일하다. 더욱 또 다른 예시로서, RF 신호의 상태 S2의 듀티 사이클은 RF 신호의 상태 S3의 듀티 사이클과 상이하다. 또 다른 예시로서, RF 신호의 상태 S2의 듀티 사이클은 RF 신호의 상태 S3의 듀티 사이클과 상이하고, RF 신호의 상태 S2의 듀티 사이클은 RF 신호의 상태 S1의 듀티 사이클과 동일하다.
RF 생성기 (RFGa) 는 상태 S2 동안 주파수 레벨 f2x에서 동작하도록 제어된다는 것을 주의해야 한다. 상태 S2 동안 RF 생성기 (RFGa) 에 의해 생성된 RF 신호의 전력 파라미터는 상태 S3 동안 RF 생성기 (RFGb) 에 의해 생성된 RF 신호의 전력 파라미터에 부가된다. 플라즈마 챔버 (108) 내에 형성된 플라즈마의 플라즈마 시스 (123) 는, 상태 S2 동안 주파수 레벨 f2x과 연관된 전력 파라미터 레벨 P2x로부터 충전되고 상태 S3 동안 방전되는, 커패시터로서 작용한다. 전력 파라미터들의 부가 및 커패시터의 방전은 상태 S3 동안 플라즈마 챔버 (108) 내에서 플라즈마의 이온들의 이온 에너지를 상승시키고 상태 S3 동안 이온 에너지의 각도 확산을 감소시킨다. 예를 들어, 플라즈마 챔버 (108) 내에서 플라즈마의 수직 지향성은 상태 S3 동안 전력 파라미터들의 부가와 함께 상태 S3 동안 상승된다.
도 2c는 RF 생성기 (RFGa) 에 의해 생성되고 공급된 RF 신호 (220A) 와 같은 RF 신호 및 RF 생성기 (RFGb) 에 의해 생성되고 공급된 RF 신호 (220B) 와 같은 RF 신호의 상태 S1, 상태 S2, 및 상태 S2를 예시하기 위한 그래프들 (210, 212, 및 218) 의 실시예들의 도면이다.
RF 신호들 (220A 및 220B) 의 상태 S1 내지 상태 S3은 클록 신호 (204) 의 사이클 각각과 동기하여 반복된다. 예를 들어, RF 신호 (220A) 의 상태 S1 내지 상태 S3은 클록 신호 (204) 의 클록 사이클 동안 발생하고, RF 신호 (220A) 의 상태 S1 내지 상태 S3은 클록 신호 (204) 의 연속적인 클록 사이클 동안 반복된다. 또 다른 예로서, RF 신호 (220B) 의 상태 S1 내지 상태 S3은 클록 신호 (204) 의 클록 사이클 동안 발생하고 RF 신호 (220B) 의 상태 S1 내지 상태 S3은 클록 신호 (204) 의 연속적인 클록 사이클 동안 반복된다.
RF 신호 (220A) 는 상태 S1 동안 0의 주파수 레벨 f1x을 갖고 상태 S1 동안 0의 전력 파라미터 레벨 P1x을 갖는다. 게다가, RF 신호 (220B) 는 상태 S1 동안 0의 주파수 레벨 f1y를 갖고 상태 S1 동안 0의 P1y의 전력 파라미터 레벨을 갖는다.
유사하게, RF 신호 (220A) 는 상태 S2 동안 f2x의 주파수 레벨을 갖고 상태 S2 동안 P2x의 전력 파라미터 레벨을 갖는다. 게다가, RF 신호 (220B) 는 상태 S2 동안 f2y의 주파수 레벨을 갖고 상태 S2 동안 P2y의 전력 파라미터 레벨을 갖는다. 전력 파라미터 레벨 P2y는 전력 파라미터 레벨 P2x와 동일하고, 주파수 레벨 f2y는 주파수 레벨 f2x보다 높다.
유사하게, RF 신호 (220A) 는 상태 S3 동안 f3x의 주파수 레벨을 갖고 상태 S3 동안 전력 파라미터 레벨 P3x를 갖는다. 주파수 레벨 f3x는 주파수 레벨 f2x보다 높고, 전력 파라미터 레벨 P3x는 전력 파라미터 레벨 P2x와 동일하다. 게다가, RF 신호 (220B) 는 상태 S3 동안 f3y의 주파수 레벨을 갖고 상태 S3 동안 P3y의 전력 파라미터 레벨을 갖는다. 주파수 레벨 f3y는 주파수 레벨 f2y보다 낮다. 게다가, 전력 파라미터 레벨 P3y는 전력 파라미터 레벨 P2y와 동일하다. 또한, 주파수 레벨 f3x는 주파수 레벨 f2x보다 높다.
일부 실시예들에서, 전력 파라미터 레벨들 P2y 및 P3y는 전력 파라미터 레벨들 P2x 및 P3x와 동일하지 않고, 예컨대 보다 높거나 보다 낮다.
다양한 실시예들에서, 주파수 레벨 f3x는 주파수 레벨 f2x와 동일하다. 몇몇 실시예들에서, 주파수 레벨 f3x는 주파수 레벨 f2x보다 낮다. 다양한 실시예들에서, 주파수 레벨 f3y는 주파수 레벨 f2y보다 높다. 몇몇 실시예들에서, 주파수 레벨 f3y은 주파수 레벨 f2y와 동일하다.
일부 실시예들에서, 전력 파라미터 레벨 P2x는 전력 파라미터 레벨 P3x와 동일하지 않고, 예컨대 보다 높거나 보다 낮다. 다양한 실시예들에서, 전력 파라미터 레벨 P2y는 전력 파라미터 레벨 P3y와 동일하지 않고, 예컨대 보다 높거나 보다 낮다.
일부 실시예들에서, 주파수 레벨 f2x 및 전력 파라미터 레벨 P2x는 0이다. 다양한 실시예들에서, 주파수 레벨 f3x 및 전력 파라미터 레벨 P3x는 0이다. 일부 실시예들에서, 주파수 레벨 f2y 및전력 파라미터 레벨 P2y는 0이다. 몇몇 실시예들에서, 주파수 레벨 f3y 및 전력 파라미터 레벨 P3y는 0이다.
일부 실시예들에서, RF 신호 (220A) 또는 RF 신호 (220B) 의 상태 S1 내지 상태 S3 각각은 1/3 듀티 사이클을 갖는다. 몇몇 실시예들에서, RF 신호, 예컨대 RF 신호 (220A) 또는 RF 신호 (220B) 의 상태 S1 내지 상태 S3 각각은 RF 신호의 상태 S1 내지 상태 S3 중 임의의 나머지 듀티 사이클과 상이한 듀티 사이클을 갖는다. 예를 들어, RF 신호의 상태 S1은 a %의 듀티 사이클을 갖고, RF 신호의 상태 S2는 b %의 듀티 사이클을 갖고, 그리고 RF 신호의 상태 S3은 (100-a-b) %의 듀티 사이클을 갖는다.
RF 생성기 (RFGa) 는 상태 S2 동안 주파수 레벨 f2x에서 동작하도록 제어된다는 것을 주의해야 한다. 상태 S2 동안 RF 생성기 (RFGa) 에 의해 생성된 RF 신호의 전력 파라미터는 상태 S3 동안 RF 생성기 (RFGb) 에 의해 생성된 RF 신호의 전력 파라미터에 부가된다. 플라즈마 챔버 (108) 내에 형성된 플라즈마의 플라즈마 시스 (123) 는, 상태 S2 동안 주파수 레벨 f2x과 연관된 전력 파라미터 레벨 P2x로부터 충전되고 상태 S3 동안 방전되는, 커패시터로서 작용한다. 전력 파라미터들의 부가 및 커패시터의 방전은 상태 S3 동안 플라즈마 챔버 (108) 내에서 플라즈마의 이온들의 이온 에너지를 상승시키고 상태 S3 동안 이온 에너지의 각도 확산을 감소시킨다. 예를 들어, 플라즈마 챔버 (108) 내에서 플라즈마의 수직 지향성은 상태 S3 동안 전력 파라미터들의 부가와 함께 상태 S3 동안 상승된다.
전력 파라미터 레벨들 P2x, P3x, P2y, 및 P3y는 그래프 (218) 에 예시된 바와 같이 0이 아니라는 것을 주의해야 한다. 게다가, 주파수 레벨들 f2x, f3x, f2y, 및 f3y 는 그래프 (218) 에 예시된 바와 같이 0이 아니다.
도 2d는 RF 생성기 (RFGa) 에 의해 생성되고 공급된 RF 신호 (224A) 와 같은 RF 신호 및 RF 생성기 (RFGb) 에 의해 생성되고 공급된 RF 신호 (224B) 와 같은 RF 신호의 상태 S1, 상태 S2, 및 상태 S2를 예시하기 위한 그래프들 (210, 212, 및 222) 의 실시예들의 도면이다.
RF 신호들 (224A 및 224B) 의 상태 S1 내지 상태 S3은 클록 신호 (204) 의 사이클 각각과 동기하여 반복된다. 예를 들어, RF 신호 (224A) 의 상태 S1 내지 상태 S3은 클록 신호 (204) 의 클록 사이클 동안 발생하고, RF 신호 (224A) 의 상태 S1 내지 상태 S3은 클록 신호 (204) 의 연속적인 클록 사이클 동안 반복된다. 또 다른 예로서, RF 신호 (224B) 의 상태 S1 내지 상태 S3은 클록 신호 (204) 의 클록 사이클 동안 발생하고 RF 신호 (224B) 의 상태 S1 내지 상태 S3은 클록 신호 (204) 의 연속적인 클록 사이클 동안 반복된다.
RF 신호 (224A) 는 상태 S1 동안 0의 주파수 레벨 f1x을 갖고 상태 S1 동안 0의 전력 파라미터 레벨 P1x을 갖는다. 게다가, RF 신호 (224B) 는 상태 S1 동안 0의 주파수 레벨 f1y를 갖고 상태 S1 동안 0의 전력 파라미터 레벨 P1y을 갖는다.
유사하게, RF 신호 (224A) 는 상태 S2 동안 f2x의 주파수 레벨을 갖고 상태 S2 동안 0의 전력 파라미터 레벨 P2x을 갖는다. 게다가, RF 신호 (224B) 는 상태 S2 동안 f2y의 주파수 레벨을 갖고 상태 S2 동안 P2y의 전력 파라미터 레벨을 갖는다. RF 신호 (224B) 의 주파수 레벨 f2y는 상태 S2 동안 RF 신호 (224A) 의 주파수 레벨 f2x보다 높고 RF 신호 (224B) 의 전력 파라미터 레벨 P2y는 상태 S2 동안 RF 신호 (224A) 의 전력 파라미터 레벨 P2x와 동일하다.
유사하게, RF 신호 (224A) 는 상태 S3 동안 0의 주파수 레벨 f3x를 갖고 상태 S3 동안 0의 전력 파라미터 레벨 P3x를 갖는다. 게다가, RF 신호 (224B) 는 상태 S3 동안 f3y의 주파수 레벨을 갖고 상태 S3 동안 3y의 전력 파라미터 레벨을 갖는다. 상태 S3 동안 RF 신호 (224B) 의 주파수 레벨 f3y는 상태 S2 동안 RF 신호 (224B) 의 주파수 레벨 f2y와 동일하다. 게다가, 상태 S3 동안 RF 신호 (224B) 의 전력 파라미터 레벨 P3y는 상태 S2 동안 RF 신호 (224B) 의 전력 파라미터 레벨 P2y와 동일하다.
일부 실시예들에서, 전력 파라미터 레벨 P2y 및 전력 파라미터 레벨 P3y는 전력 파라미터 레벨 P2x와 동일하지 않고, 예컨대 보다 높거나 보다 낮다.
다양한 실시예들에서, 주파수 레벨 f3y는 주파수 레벨 f2y와 동일하지 않고, 예컨대 보다 높거나 보다 낮다. 몇몇 실시예들에서, 주파수 레벨 f2y 및 전력 파라미터 레벨 P2y는 0이다. 다양한 실시예들에서, 주파수 레벨 f3y 및 전력 파라미터 레벨 P3y는 0이다.
일부 실시예들에서, RF 신호 (224A) 또는 RF 신호 (224B) 의 상태 S1 내지 상태 S3 각각은 1/3 듀티 사이클을 갖는다. 몇몇 실시예들에서, RF 신호, 예컨대 RF 신호 (224A) 또는 RF 신호 (224B) 의 상태 S1 내지 상태 S3 각각은 RF 신호의 상태 S1 내지 상태 S3 중 임의의 나머지 듀티 사이클과 상이한 듀티 사이클을 갖는다. 예를 들어, RF 신호의 상태 S1은 a %의 듀티 사이클을 갖고, RF 신호의 상태 S2는 b %의 듀티 사이클을 갖고, 그리고 RF 신호의 상태 S3은 (100-a-b) %의 듀티 사이클을 갖고, a는 b와 상이한 정수이다.
RF 생성기 (RFGa) 는 상태 S2 동안 주파수 레벨 f2x에서 동작하도록 제어된다는 것을 주의해야 한다. 상태 S2 동안 RF 생성기 (RFGa) 에 의해 생성된 RF 신호의 전력 파라미터는 상태 S3 동안 RF 생성기 (RFGb) 에 의해 생성된 RF 신호의 전력 파라미터에 부가된다. 플라즈마 챔버 (108) 내에 형성된 플라즈마의 플라즈마 시스 (223) 는, 상태 S2 동안 주파수 레벨 f2x과 연관된 전력 파라미터 레벨 P2x로부터 충전되고 상태 S3 동안 방전되는, 커패시터로서 작용한다. 전력 파라미터들의 부가 및 커패시터의 방전은 상태 S3 동안 플라즈마 챔버 (108) 내에서 플라즈마의 이온들의 이온 에너지를 상승시키고 상태 S3 동안 이온 에너지의 각도 확산을 감소시킨다. 예를 들어, 플라즈마 챔버 (108) 내에서 플라즈마의 수직 지향성은 상태 S3 동안 전력 파라미터들의 부가와 함께 상태 S3 동안 상승된다.
전력 파라미터 레벨들 P2x, P2y, 및 P3y는 그래프 (222) 에 예시된 바와 같이 0이 아니라는 것을 주의해야 한다. 게다가, 주파수 레벨들 f2x, f2y, 및 f3y는 그래프 (222) 에 예시된 바와 같이 0이 아니다.
도 3은 RF 생성기 (RFGx) 또는 RF 생성기 (RFGa) 와 같은, 주파수 펄싱된 RF 생성기에 의해 생성된 RF 신호의 주파수 레벨의 펄싱과 함께, 기판 (122) 의 표면, 예컨대 기판 (122) 의 채널의 표면 상에 입사하는 플라즈마 이온들의 피크 에너지의 상승이 있다는 것을 예시하기 위한 복수의 그래프들 (302A 및 302B) 을 예시하기 위한 실시예들의 도면이다. 그래프 (302A 및 302B) 각각은 eV (electron volt) 로 측정된, 플라즈마 이온들의 에너지 대 기판 (122) 내에 형성된 채널에 걸쳐 각도로 측정된 각도 θ의 플롯인, IEAD를 플롯팅한다. 그래프 (302a) 는 RF 생성기의 주파수 레벨이 펄싱되지 않을 때, 예를 들어, 연속파 (CW) 모드에서 동작할 때의 에너지를 플롯팅한다. 그래프 (302b) 는 주파수 펄싱된 RF 생성기가 사용될 때 에너지를 플롯팅한다. RF 생성기 (RFGx) 또는 RF 생성기 (RFGa) 의 주파수 레벨이 복수의 상태들 사이에서 펄싱될 때, CW 모드 RF 생성기가 사용될 때 플라즈마 이온들의 피크 이온 에너지와 비교하여, 플라즈마 챔버 (108) 내의 플라즈마의 플라즈마 이온들의 피크 이온 에너지의 상승이 있다는 것을 주의해야 한다. 게다가, RF 생성기 (RFGx) 또는 RF 생성기 (RFGa) 의 주파수 레벨이 복수의 상태들 사이에서 펄싱될 때, CW 모드 RF 생성기가 사용될 때 플라즈마 이온들의 각도 확산과 비교하여 채널에 걸쳐 플라즈마 이온들의 각도 확산의 감소가 있다. 그래프들 (302A 및 302B) 에 예시된 바와 같이, 바이어스 RF 생성기 시스템 (114) 에 의해 공급되는 바이어스 전압의 양은, 주파수 펄싱된 RF 생성기 또는 CW 모드 RF 생성기가 사용되는지 여부와 독립적으로, 300 V와 같이 동일하다는 것을 주의해야 한다. 피크 이온 에너지의 상승 및 각도 확산의 감소는 기판 (122) 을 에칭하는 에칭 레이트를 상승시키고, 바이어스 전압은 에칭 레이트를 상승시키기 위해 상승될 필요가 없다. 예를 들어, 바이어스 RF 생성기 시스템 (114) 에 의해 생성되고 공급되는 하나 이상의 RF 신호들의 바이어스 전압은 RF 생성기 (RFGx) 또는 RF 생성기 (RFGa) 의 주파수 레벨이 펄싱될 때 일정하다. 또 다른 예로서, RF 생성기 (RFGx) 또는 RF 생성기 (RFGa) 의 주파수 레벨이 펄싱될 때, 바이어스 RF 생성기 시스템 (114) 에 의해 생성되고 공급되는 하나 이상의 RF 신호들의 바이어스 전압은 실질적으로 일정, 미리 결정된 값의 5 내지 10 % 이내인, 예를 들어, 미리 결정된 문턱값 내이다.
도 4는 바이어스 RF 생성기 시스템 (114) 에 의해 공급되는 바이어스 전압의 상승과 함께, 플라즈마 이온들의 각도 분포의 감소가 있다는 것을 예시하기 위한 그래프 (400) 를 예시하기 위한 일 실시예의 도면이다. 그래프 (400) 는 각도로 측정된 각도 분포 대 바이어스 전압을 플롯팅한다. 명백한 바와 같이, 바이어스 전압이 200 V로부터 1600 V로 상승할 때, 각도 확산 분포의 감소 그리고 에칭 레이트의 상승이 있다. 각도 분포는 때때로 각도 확산으로 본 명세서에서 참조된다.
에칭 동안, 바이어스 전압은 보다 고속의 에칭 레이트를 위해 상승된다. 바이어스 전압의 상승으로 피크 이온 에너지가 상승하고 플라즈마 이온들의 각도 확산이 감소하기 때문에, 상승된 바이어스 전압은 거의 수직의 프로파일, 예컨대 적절한 CD를 유지하는 동안 보다 고속으로 기판 (122) 에 고 종횡비 피처를 에칭한다. 그러나, 상승된 바이어스 전압은 기판 (122) 의 상단 전극의 부분인, 마스크 층의 부식을 상승시키는, 각도 확산을 좁힌다. 게다가, 바이어스 전압의 상승은 하드웨어 구현시 문제를 생성한다. 더욱이, 미리 결정된 양의 바이어스 전압을 넘어, 예컨대 5 ㎸보다 큰, 각도 확산은 플라즈마 시스 (123) 의 큰 두께로 인해 보다 조여지지 않는다.
일 실시예에서, RF 생성기 시스템 (114) 에 의해 공급되는 바이어스 전압의 양은 5 ㎸보다 작다는 것을 주의해야 한다.
도 5는 바이어스 전압의 상승으로 달성된 각도 확산과 비슷한 각도 확산이 RF 생성기 (RFGx) 또는 RF 생성기 (RFGy) 또는 RF 생성기 (RFGa) 또는 RF 생성기 (RFGb) 또는 이들의 조합의 하나 이상의 주파수 레벨들을 펄싱함으로써 달성된다는 것을 예시하기 위한 그래프 (500) 의 일 실시예의 도면이다. 동일한 바이어스 전압에 대해, 상부 전극 (106) 에 커플링된 RF 생성기 RF 생성기 (RFGx) 또는 RF 생성기 (RFGy) 또는 RF 생성기 (RFGa) 또는 RF 생성기 (RFGb) 또는 이들의 조합의 하나 이상의 주파수 레벨들이 펄싱될 때, RF 생성기가 CW 모드에서 동작할 때와 비교하여 각도 확산의 감소가 있다. 각도 확산의 감소는 기판 (122) 을 에칭하는 에칭 레이트를 상승시킨다. RF 생성기 (RFGx) 또는 RF 생성기 (RFGy) 또는 RF 생성기 (RFGa) 또는 RF 생성기 (RFGb) 또는 이들의 조합의 하나 이상의 주파수 레벨들이 펄싱될 때 바이어스 전압을 상승시킬 필요가 없다.
도 6은 기판 (122) 내에 형성된 채널의 CD의 차를 예시하기 위한 그래프 (602A) 및 그래프 (602B) 의 실시예들의 도면이다. 그래프 (602A) 는 ㎚ 단위의 채널의 폭과 비교하여 ㎚ 단위의 채널의 높이를 플롯팅한다. 채널의 CD는 그래프 (602A) 에서 22.2 ㎚로 도시된다. CW 모드 RF 생성기가 RFGx 또는 RFGy 또는 RFGa 또는 RFGb 또는 이들의 조합 대신 사용될 때 그래프 (602A) 의 CD가 달성된다. 그래프 (602B) 는 ㎚ 단위의 기판 (122) 의 채널의 폭과 비교하여 ㎚ 단위의 기판 (122) 의 채널의 높이를 플롯팅한다. CD는 그래프 (602B) 에서 20.1 ㎚로 도시된다. RFGx 또는 RFGy 또는 RFGa 또는 RFGb 또는 이들의 조합의 하나 이상의 주파수 레벨들이 펄싱될 때 보다 작은 CD가 그래프 (602A) 와 비교하여 그래프 (602B) 에서 달성된다. 플라즈마 챔버 (108) 내 플라즈마의 플라즈마 이온들의 수직 지향성이 플라즈마 이온들의 각도 확산의 감소로 인해 상승할 때 작은 CD가 달성된다. 플라즈마 이온들은 에칭 레이트를 상승시키기 위해 수직 지향성이 상승될 때 기판 (122) 의 채널의 하단 표면 상에 보다 집중된다.
도 7a는 저 각도 확산으로 피크 이온 에너지 향상을 달성하기 위한 플라즈마 툴 (700) 의 실시예의 블록도이다. 플라즈마 툴 (700) 은 RF 생성기 (RFGx1), 호스트 컴퓨터 (116), IMN (104), 플라즈마 챔버 (108), IMN (112), 및 바이어스 RF 생성기 시스템 (114) 을 포함한다. RF 생성기 (RFGx1) 의 예들은 저 주파수 RF 생성기, 예컨대 400 ㎑ RF 생성기, 또는 2 ㎒ RF 생성기, 또는 13.56 ㎒ RF 생성기를 포함한다. RF 생성기 (RFGx1) 의 다른 예들은 고 주파수 생성기, 예컨대 13.56 ㎒ RF 생성기, 또는 27 ㎒ RF 생성기, 또는 60 ㎒ RF 생성기를 포함한다.
RF 생성기 (RFGx1) 는 디지털 신호 프로세서 (DSPx), 전력 파라미터 제어기 (PWRS1x), 또 다른 전력 파라미터 제어기 (PWRS2x), AFT (AFTx1), RF 전력 공급부 (Psx), 및 드라이버 시스템 (118) 을 포함한다.
DSPx는 전력 파라미터 제어기들 (PWRS1x 및 PWRS2x) 에, 그리고 AFT (AFTx1) 에 커플링된다. 게다가, 전력 파라미터 제어기들 (PWRS1x 및 PWRS2x) 및 AFTx1은 드라이버 시스템 (118) 에 커플링된다. RF 전력 공급부 (Psx) 는 RF 생성기 (RFGx1) 의 출력부를 통해 RF 케이블 (124) 에 커플링된다.
프로세서 (132) 는 메모리 디바이스 (134) 로부터의 레시피에 액세스한다. 레시피의 예들은 상태 S1에 대해 RF 생성기 (RFGx1) 에 적용될 전력 파라미터 설정 점, 점 상태 S2에 대해 RF 생성기 (RFGx1) 에 적용될 전력 파라미터 설정, 상태들 (S1 및 S2) 에 대해 RF 생성기 (RFGx1) 에 적용될 주파수 설정 점, 하나 이상의 프로세스 가스들의 화학물질, 또는 이들의 조합을 포함한다.
프로세서 (132) 는 케이블 (136) 을 통해 RF 생성기 (RFGx1) 의 DSPx로 펄싱된 신호 (102) 와 함께 인스트럭션을 전송한다. 케이블 (136) 을 통해 RF 생성기 (RFGx1) 의 DSPx 로 전송된 인스트럭션은 펄싱된 신호 (102), 상태 S1에 대해 RF 생성기 (RFGx1) 에 적용될 전력 파라미터 설정 점, 상태 S2에 대해 RF 생성기 (RFGx1) 에 적용될 전력 파라미터 설정 점, 및 상태들 (S1 및 S2) 에 대해 RF 생성기 (RFGx1) 에 적용될 주파수 설정 점에 관한 정보를 갖는다. 펄싱된 신호 (102) 에 관한 정보는 RF 생성기 (RFGx1) 에 의해 생성될 RF 신호가 펄싱된 신호 (102) 의 천이 시간 tst1에서 상태 S1로부터 상태 S2로 천이하고, RF 신호가 펄싱된 신호 (102) 의 천이 시간 tst2에서 상태 S2로부터 상태 S1로 천이한다고 RF 생성기 (RFGx1) 의 DSPx에 나타낸다. RF 생성기 (RFGx1) 의 DSPx는 상태 S1에 대한 전력 파라미터 설정 점은 펄싱된 신호 (102) 의 상태 S1 동안 적용되고, 상태 S2에 대한 전력 파라미터 설정 점은 펄싱된 신호 (102) 의 상태 S2 동안 적용되고, 그리고 상태들 (S1 및 S2) 에 대한 주파수 설정 점은 펄싱된 신호 (102) 의 상태들 (S1 및 S2) 동안 적용된다고 인스트럭션으로부터 결정한다. 게다가, RF 생성기 (RFGx1) 의 DSPx는 인스트럭션 및 펄싱된 신호 (102) 로부터, RF 생성기 (RFGx1) 에 의해 생성될 RF 신호가 펄싱된 신호 (102) 의 천이 시간 tst1에서 상태 S1로부터 상태 S2로 천이하고 그리고 RF 신호가 펄싱된 신호 (102) 의 천이 시간 tst2에서 상태 S2로부터 상태 S1로 천이한다고 결정한다.
펄싱된 신호 (102) 의 사이클의 천이 시간 tst2에서, RF 생성기 (RFGx1) 의 DSPx는 상태 S1에 대한 전력 파라미터 설정 점을 전력 파라미터 제어기 (PWRS1x) 로 전송한다. 유사하게, 펄싱된 신호 (102) 의 사이클의 천이 시간 tst1에서, DSPx는 상태 S2에 대한 전력 파라미터 설정 점을 전력 파라미터 제어기 (PWRS2x) 로 전송한다. 게다가, 펄싱된 신호 (102) 의 사이클의 천이 시간 tst2 또는 천이 시간 tst1 에서, DSPx는 상태들 (S1 및 S2) 에 대한 주파수 설정 점을 AFT (AFTx1) 로 전송한다.
상태 S1에 대한 전력 파라미터 설정 점을 수신하자마자, RF 생성기 (RFGx1) 의 전력 파라미터 제어기 (PWRS1x) 는 상태 S1에 대한 전력 파라미터 설정 점에 대응하는 전류의 양을 결정한다. 상태 S1 동안 RF 생성기 (RFGx1) 의 드라이버 시스템 (118) 에 의해 생성될 전류의 양에 기초하여, RF 생성기 (RFGx1) 의 전력 파라미터 제어기 (PWRS1x) 는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (118) 으로 전송한다. 상태 S1에 대해, 명령 신호를 수신하는 것에 응답하여, RF 생성기 (RFGx1) 의 드라이버 시스템 (118) 은 전류의 양을 갖는 전류 신호를 생성하고 RF 생성기 (RFGx1) 의 RF 전력 공급부 (Psx) 로 전송한다. RF 생성기 (RFGx1) 의 RF 전력 공급부 (Psx) 는, 전류 신호를 수신하자마자 상태 S1에 대한 전력 파라미터 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGx1) 의 출력부 및 RF 케이블 (124) 을 통해 IMN (104) 의 입력부로 공급한다. 상태 S1에 대한 전력 파라미터 설정 점은 RF 생성기 (RFGx1) 의 RF 전력 공급부 (Psx) 에 의해 상태 S1 동안 유지된다.
유사하게, 상태 S2에 대한 전력 파라미터 설정 점을 수신하자마자, RF 생성기 (RFGx1) 의 전력 파라미터 제어기 (PWRS2x) 는 상태 S2에 대한 전력 파라미터 설정 점에 대응하는 전류의 양을 결정한다. 상태 S2 동안 RF 생성기 (RFGx1) 의 드라이버 시스템 (118) 에 의해 생성될 전류의 양에 기초하여, RF 생성기 (RFGx1) 의 전력 파라미터 제어기 (PWRS2x) 는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (118) 으로 전송한다. 상태 S2에 대해, 명령 신호를 수신하는 것에 응답하여, RF 생성기 (RFGx1) 의 드라이버 시스템 (118) 은 전류의 양을 갖는 전류 신호를 RF 전력 공급부 (Psx) 로 전송한다. RF 생성기 (RFGx1) 의 RF 전력 공급부 (Psx) 는, 전류 신호를 수신하자마자 상태 S2에 대한 전력 파라미터 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGx1) 의 출력부 및 RF 케이블 (124) 을 통해 IMN (104) 의 입력부로 공급한다. 상태 S2에 대한 전력 파라미터 설정 점은 상태 S2 동안 RF 생성기 (RFGx1) 의 RF 전력 공급부 (Psx) 에 의해 유지된다.
게다가, 상태들 (S1 및 S2) 에 대한 주파수 설정 점을 수신하자마자, RF 생성기 (RFGx1) 의 AFT (AFTx1) 는 상태 S1에 대한 주파수 설정 점에 대응하는 전류의 양을 결정한다. 상태들 (S1 및 S2) 동안 드라이버 시스템 (118) 에 의해 생성될 전류의 양에 기초하여, AFT (AFTS1x) 는 명령 신호를 생성하고 명령 신호를 RF 생성기 (RFGx1) 의 드라이버 시스템 (118) 으로 전송한다. 상태들 (S1 및 S2) 에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (118) 은 전류의 양을 갖는 전류 신호를 생성하고 RF 생성기 (RFGx1) 의 RF 전력 공급부 (Psx) 로 전송한다. RF 전력 공급부 (Psx) 는, 전류 신호를 수신하자마자 상태 S1에 대한 주파수 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGx1) 의 출력부 및 RF 케이블 (124) 을 통해 IMN (104) 의 입력부로 공급한다. 상태들 (S1 및 S2) 에 대한 주파수 설정 점은 상태들 (S1 및 S2) 동안 RF 생성기 (RFGx1) 의 RF 전력 공급부 (Psx) 에 의해 유지된다. 상태 S1에 대한 전력 파라미터 설정 점 및 상태 S1에 대한 주파수 설정 점을 갖는 RF 신호는 상태 S1 동안 RF 생성기 (RFGx1) 에 의해 생성된 RF 신호이다. 유사하게, 상태 S2에 대한 전력 파라미터 설정 점 및 상태 S2에 대한 주파수 설정 점을 갖는 RF 신호는 상태 S2 동안 RF 생성기 (RFGx1) 에 의해 생성된 RF 신호이다.
IMN (104) 의 입력부는 RF 생성기 (RFGx1) 의 출력부로부터 RF 케이블 (124) 을 통해 RF 생성기 (RFGx1) 의 RF 전력 공급부 (Psx) 에 의해 생성된 RF 신호를 수신하고 IMN (104) 의 출력부에서 수정된 RF 신호를 생성하기 위해 IMN (104) 의 출력부에 커플링된 부하의 임피던스와 IMN (104) 의 입력부에 커플링된 소스의 임피던스를 매칭시킨다. IMN (104) 의 입력부에 커플링된 소스의 예는 RF 케이블 (124) 및 RF 생성기 (RFGx1) 를 포함한다. 수정된 RF 신호는 RF 송신 케이블 (126) 을 통해 상부 전극 (106) 으로, 예컨대 TCP 코일의 단부 (E1) 로 전송된다.
하나 이상의 프로세스 가스들이 상부 전극 (106) 과 척 (110) 사이에 공급될 때, 수정된 RF 신호는 상부 전극 (106) 으로 공급되고, 출력부 RF 신호는 척 (110) 으로 공급되고, 하나 이상의 프로세스 가스들은 플라즈마 챔버 (108) 내에서 플라즈마를 생성하거나 유지하도록 점화된다.
다양한 실시예들에서, 전력 파라미터 제어기들 (PWRS1x 및 PWRS2x), 및 AFT (AFTx1) 는 RF 생성기 (RFGx1) 의 DSPx에 의해 실행되는 컴퓨터 프로그램의 모듈들, 예를 들어, 부분들, 등이다.
몇몇 실시예들에서, 전력 파라미터 제어기들 (PWRS1x 및 PWRS2x), 및 AFT (AFTx1) 는 RF 생성기 (RFGx1) 의 DSPx의 집적 회로에 커플링된 별도의 집적 회로들이다. 예를 들어, 전력 제어기 (PWRS1x) 는 RF 생성기 (RFGx1) 의 제 1 집적 회로이고, 전력 제어기 (PWRS2x) 는 RF 생성기 (RFGx1) 의 제 2 집적 회로이고, AFT (AFTx1) 는 RF 생성기 (RFGx1) 의 제 3 집적 회로이고, 그리고 DSPx는 RF 생성기 (RFGx1) 의 제 4 집적 회로이다. RF 생성기 (RFGx1) 의 제 1 집적 회로 내지 제 3 집적 회로 각각은 RF 생성기 (RFGx1) 의 제 4 집적 회로에 커플링된다.
다양한 실시예들에서, 2 개의 RF 생성기들은 IMN (104) 에 커플링된다. 예를 들어, RF 생성기 (RFGy) 는 RF 케이블 (130) 을 통해 IMN (104) 의 다른 입력부로 IMN (104) 에 커플링된다. IMN (104) 은 IMN (104) 의 출력부에서 수정된 RF 신호를 생성하도록 RF 생성기 (RFGx1) 및 RF 생성기 (RFGy) 로부터 수신된 RF 신호들을 결합하고, IMN (104) 의 출력부에 커플링된 부하의 임피던스와 소스, 예를 들어, RF 생성기 (RFGx1), RF 생성기 (RFGy), RF 케이블 (124), 및 RF 케이블 (130), 등의 임피던스를 매칭시킨다.
도 7b는 도 7a의 RF 생성기 (RFGx1) 에 의해 생성된 RF 신호의 전력 파라미터의 펄싱을 예시하기 위한 그래프 (140), 그래프 (710), 및 그래프 (712) 의 실시예들의 도면이다. 그래프 (710) 는 RF 생성기 (RFGx1) 에 의해 생성되는 RF 신호, 예컨대 RF 신호 (714) 의 전력 파라미터 레벨 대 시간 t를 플롯팅한다. 유사하게, 그래프 (712) 는 RF 생성기 (RFGx1) 에 의해 생성되는 RF 신호, 예컨대 RF 신호 (716) 의 전력 파라미터 레벨 대 시간 t를 플롯팅한다.
그래프 (140) 및 그래프 (710) 를 참조하면, 상태 S1 동안, RF 신호 (714) 는 Px1의 전력 파라미터 레벨 및 fx1의 주파수 레벨을 갖는다. 더욱이, 천이 시간 tst1에서, RF 신호 (714) 는 상태 S1로부터 상태 S2로 천이한다. 상태 S2 동안, RF 신호 (714) 는 0의 전력 파라미터 레벨 및 0의 주파수 레벨을 갖는다. 천이 시간 tst2에서, RF 신호 (714) 는 상태 S2로부터 다시 상태 S1로 천이한다.
RF 신호 (714) 의 상태 S1의 듀티 사이클은 RF 신호 (714) 의 상태 S2의 듀티 사이클과 동일하다는 것을 주의해야 한다. 예를 들어, 상태 S1의 듀티 사이클은 50 %이고 상태 S2의 듀티 사이클은 50 %이다. RF 신호 (714) 의 상태 S1은 펄싱된 신호 (102) 의 사이클의 50 %를 점유하고 RF 신호 (714) 의 상태 S2는 펄싱된 신호 (102) 의 사이클의 나머지 50 %를 점유한다.
다양한 실시예들에서, RF 신호 (714) 의 상태 S1의 듀티 사이클은 RF 신호 (714) 의 상태 S2의 듀티 사이클과 상이하다. 예를 들어, 상태 S1의 듀티 사이클은 25 %이고, 상태 S2의 듀티 사이클은 75 %이다. RF 신호 (714) 의 상태 S1은 펄싱된 신호 (102) 의 사이클의 25 %를 점유하고, RF 신호 (714) 의 상태 S2는 펄싱된 신호 (102) 의 사이클의 나머지 75 %를 점유한다. 또 다른 예로서, 상태 S1의 듀티 사이클은 a %이고 상태 S2의 듀티 사이클은 (100-a) %이다. RF 신호 (714) 의 상태 S1은 펄싱된 신호 (102) 의 사이클의 a %를 점유하고 RF 신호 (714) 의 상태 S2는 펄싱된 신호 (102) 의 사이클의 나머지 (100-a) %를 점유한다.
전력 파라미터 레벨 Px1 및 주파수 레벨 fx1은 그래프 (710) 에 예시된 바와 같이 0이 아니라는 것을 주의해야 한다.
그래프 (712) 는 RF 신호 (714) 및 RF 신호 (716) 가 상태 S2 동안 상이한 전력 파라미터 레벨들을 갖는 것을 제외하고 그래프 (710) 와 유사하다. 예를 들어, RF 신호 (714) 는 상태 S2 동안 0의 전력 파라미터 레벨을 갖고 RF 신호 (716) 는 상태 S2 동안 Px2의 전력 파라미터 레벨을 갖는다. 게다가, RF 신호 (716) 는 상태 S2 동안 fx2의 주파수 레벨을 갖고, 상태 S2 동안 주파수 레벨 fx2는 상태 S1 동안 RF 신호 (716) 의 주파수 레벨 fx1과 동일하다. RF 신호 (716) 는 상태 S1 동안 전력 파라미터 레벨 Px1을 갖는다.
그래프 (140) 및 그래프 (712) 를 참조하면, RF 신호 (716) 의 상태 S1은 RF 신호 (714) 의 상태 S1과 동일하다. 예를 들어, RF 신호 (716) 의 전력 파라미터 레벨 Px1은 상태 S1 동안 RF 신호 (714) 의 전력 파라미터 레벨 Px1과 동일하다. 또한, RF 신호 (716) 의 주파수 레벨 fx1은 상태 S1 동안 RF 신호 (714) 의 주파수 레벨 fx1과 동일하다.
더욱이, 천이 시간 tst1에서, RF 신호 (716) 는 상태 S1로부터 상태 S2로 천이한다. 상태 S2 동안, RF 신호 (716) 의 전력 파라미터 레벨 Px2는 상태 S1 동안 RF 신호 (714) 의 0의 전력 파라미터 레벨 Px1보다 높지만 상태 S1 동안 RF 신호 (716) 의 전력 파라미터 레벨 Px1보다 낮다. 천이 시간 tst2에서, RF 신호 (716) 는 상태 S2로부터 다시 상태 S1로 천이한다.
전력 파라미터 레벨 Px1 및 전력 파라미터 레벨 Px2 그리고 주파수 레벨 fx1 및 주파수 레벨 fx2는 그래프 (712) 에 예시된 바와 같이 0이 아니라는 것을 주의해야 한다.
RF 신호 (716) 의 상태 S1의 듀티 사이클은 RF 신호 (716) 의 상태 S2의 듀티 사이클과 동일하다는 것을 주의해야 한다. 예를 들어, RF 신호 (716) 의 상태 S1의 듀티 사이클은 50 %이고 RF 신호 (716) 의 상태 S2의 듀티 사이클은 50 %이다. RF 신호 (716) 의 상태 S1은 펄싱된 신호 (102) 의 사이클의 50 %를 점유하고 RF 신호 (716) 의 상태 S2는 펄싱된 신호 (102) 의 사이클의 나머지 50 %를 점유한다.
다양한 실시예들에서, RF 신호 (716) 의 상태 S1의 듀티 사이클은 RF 신호 (716) 의 상태 S2의 듀티 사이클과 상이하다. 예를 들어, RF 신호 (716) 의 상태 S1의 듀티 사이클은 25 %이고 RF 신호 (716) 의 상태 S2의 듀티 사이클은 75 %이다. RF 신호 (716) 의 상태 S1은 펄싱된 신호 (102) 의 사이클의 25 %를 점유하고 RF 신호 (716) 의 상태 S2는 펄싱된 신호 (102) 의 사이클의 나머지 75 %를 점유한다. 또 다른 예로서, RF 신호 (716) 의 상태 S1의 듀티 사이클은 a %이고, RF 신호 (716) 의 상태 S2의 듀티 사이클은 (100-a) %이다. RF 신호 (716) 의 상태 S1은 펄싱된 신호 (102) 의 사이클의 a %를 점유하고, RF 신호 (716) 의 상태 S2는 펄싱된 신호 (102) 의 사이클의 나머지 (100-a) %를 점유한다.
RF 생성기 (RFGx1) 는 상태 S2 동안 전력 파라미터 레벨 Px2에서 동작하도록 제어된다는 것을 주의해야 한다. 상태 S2 동안 RF 생성기 (RFGx1) 에 의해 생성된 RF 신호의 전력 파라미터는 상태 S1 동안 RF 생성기 (RFGx1) 에 의해 생성된 RF 신호의 전력 파라미터에 부가된다. 플라즈마 챔버 (108) 내에 형성된 플라즈마의 플라즈마 시스 (123) 는 상태 S2 동안 주파수 레벨 fx2와 연관된 전력 파라미터 레벨 Px2로부터 충전되고 상태 S1 동안 방전되는 커패시터로서 작용한다. 전력 파라미터들의 부가 및 커패시터의 방전은 상태 S1 동안 플라즈마 챔버 (108) 내 플라즈마의 이온들의 이온 에너지를 상승시키고 상태 S1 동안 이온 에너지의 각도 확산을 감소시킨다. 예를 들어, 플라즈마 챔버 (108) 내 플라즈마의 수직 지향성은 상태 S1 동안 전력 파라미터들의 부가와 함께 상태 S1 동안 상승한다.
도 8은 바이어스 전압의 상승과 함께, 플라즈마 이온들의 수직 지향성의 상승이 있다는 것을 예시하기 위한, 복수의 그래프들 (800, 802, 804, 및 806) 의 실시예들의 도면이다. 그래프 (800, 802, 804, 및 806) 각각은 플라즈마 이온들 에너지 대 기판 (122) 내에 형성된 채널에 걸쳐 측정된 각도를 플롯팅한다. 도시된 바와 같이, 바이어스 RF 생성기 시스템 (114) 에 의해 공급되는 바이어스 전압의 상승과 함께, 플라즈마 챔버 (108) 내의 피크 플라즈마의 이온 에너지의 상승이 있다. 피크 이온 에너지의 상승과 함께, 채널에 걸쳐 플라즈마 이온들의 각도 확산의 감소 및 플라즈마 이온들의 수직 지향성의 상승이 있다.
도 9는 RF 생성기 (RFGx) 또는 RF 생성기 (RFGy) 또는 RF 생성기 (RFGa) 또는 RF 생성기 (RFGb) 또는 RF 생성기 (RFGx1) 와 같은, 전력 파라미터 펄싱된 RF 생성기에 의해 생성된 RF 신호의 전력 파라미터 레벨의 펄싱과 함께, 기판 (122) 의 표면 상에 입사하는 플라즈마 이온들의 피크 에너지의 상승이 있다는 것을 예시하기 위한 복수의 그래프들 (902 및 904) 의 실시예들의 도면이다. 그래프 (902 및 904) 각각은 플라즈마 이온들의 에너지 대 기판 (122) 내에 형성된 채널에 걸쳐 측정된 각도를 플롯팅하는, IEDF (ion energy distribution function) 를 플롯팅한다. 그래프 (902) 는 RF 생성기의 전력 파라미터 레벨이 펄싱되지 않을 때, 예를 들어, CW 모드에서 동작할 때 에너지를 플롯팅한다. 그래프 (904) 는 복수의 상태들 사이에서 전력 파라미터 펄싱된 RF 생성기가 전력 파라미터 레벨을 펄싱하도록 사용될 때 에너지를 플롯팅한다. 전력 파라미터 펄싱된 RF 생성기의 전력 파라미터 레벨이 복수의 상태들 사이에서 펄싱될 때, CW 모드 RF 생성기가 사용될 때 플라즈마 이온들의 피크 이온 에너지와 비교하여, 플라즈마 챔버 (108) 내 플라즈마의 플라즈마 이온들의 피크 이온 에너지의 상승이 있다는 것을 주의해야 한다. 게다가, 전력 파라미터 펄싱된 RF 생성기의 전력 파라미터 레벨이 복수의 상태들 사이에서 펄싱될 때, CW 모드 RF 생성기가 사용될 때 플라즈마 이온들의 각도 분포와 비교하여 채널에 걸쳐 플라즈마 이온들의 각도 분포의 감소가 있다. 바이어스 RF 생성기 시스템 (114) 에 의해 공급되는 바이어스 전압의 양은, 주파수 펄싱된 RF 생성기 또는 CW 모드 RF 생성기가 사용되는지 여부와 독립적으로, 300 V와 같이 동일하다는 것을 주의해야 한다. 피크 이온 에너지의 상승 및 각도 분포의 감소는 기판 (122) 을 에칭하는 에칭 레이트를 상승시키고, 바이어스 전압은 에칭 레이트를 상승시키기 위해 상승될 필요가 없다. 예를 들어, 바이어스 RF 생성기 시스템 (114) 에 의해 생성되고 공급되는 하나 이상의 RF 신호들의 바이어스 전압은 전력 파라미터 펄싱된 RF 생성기의 전력 파라미터 레벨이 펄싱될 때 일정하다.
도 10은 그래프 (400) 의 일 실시예의 도면이다.
도 11은 바이어스 전압의 상승과 함께 달성된 각도 확산과 비교할 수 있는 각도 확산이 전력 파라미터 펄싱된 RF 생성기의 펄싱 전력 파라미터 레벨에 의해 달성된다는 것을 예시하기 위한 그래프 (1100) 의 일 실시예의 도면이다. 동일한 바이어스 전압에 대해, 상부 전극 (106) 에 커플링된 RF 생성기의 전력 파라미터 레벨이 CW 모드에서 동작될 때, 예를 들어, 펄싱되지 않을 때, 각도 확산이 보다 높다. 각도 확산은 전력 파라미터 펄싱된 RF 생성기를 사용하여 달성되는 것과 비교하여 보다 높다. 에칭 레이트를 상승시키기 위해 하부 각도 확산을 달성하도록 전력 파라미터 펄싱된 RF 생성기의 전력 파라미터 레벨이 펄싱될 때 바이어스 전압을 상승시킬 필요가 없다.
도 12는 기판 (122) 내에 형성된 채널의 CD의 차를 예시하기 위한 그래프 (1202A) 및 그래프 (1202B) 의 실시예들의 도면이다. 그래프 (1202A) 는 ㎚ 단위의 채널의 폭과 비교하여 ㎚ 단위의 채널의 높이를 플롯팅한다. 채널의 CD는 그래프 (1202A) 에서 21.9 ㎚로 도시된다. CW 모드 RF 생성기가 전력 파라미터 펄싱된 RF 생성기 대신 사용될 때 그래프 (1202A) 의 CD가 달성된다. 그래프 (1202B) 는 ㎚ 단위의 기판 (122) 의 채널의 폭과 비교하여 ㎚ 단위의 기판 (122) 의 채널의 높이를 플롯팅한다. CD는 그래프 (1202B) 에서 19.2 ㎚로 도시된다. 전력 파라미터 펄싱된 RF 생성기의 전력 파라미터 레벨이 펄싱될 때 보다 작은 CD가 그래프 (1202A) 와 비교하여 그래프 (1202B) 에서 달성된다. 플라즈마 챔버 (108) 내 플라즈마의 플라즈마 이온들의 수직 지향성이 플라즈마 챔버 (108) 내 플라즈마의 플라즈마 이온들의 각도 확산의 감소로 인해 상승할 때 작은 CD가 달성된다.
도 13a는 저 각도 확산으로 피크 이온 에너지 향상을 달성하기 위한 플라즈마 툴 (1300) 의 실시예의 블록도이다. 플라즈마 툴 (1300) 은 플라즈마 툴 (1300), 바이어스 RF 생성기 (RFGbs) 가 바이어스 RF 생성기 시스템 (114) 대신 사용되는 것을 제외하고 도 1a의 플라즈마 툴 (100) 과 동일하다. 바이어스 RF 생성기 (RFGbs) 는 연속파 모드 RF 생성기인, 바이어스 RF 생성기 시스템 (114) 와 비교하여, 복수-상태 RF 생성기이다. 플라즈마 툴 (1300) 은 호스트 컴퓨터 (116), IMN (112), 플라즈마 챔버 (108), RF 생성기 (RFGx) (도 1a에 도시됨), RF 생성기 (RFGy) (도 1a에 도시됨), 및 IMN (104) (도 1a에 도시됨) 를 더 포함한다.
RF 생성기 (RFGbs) 는 디지털 신호 프로세서 (DSPbs), 전력 파라미터 제어기 (PWRS1), 또 다른 전력 파라미터 제어기 (PWRS2), AFT (AFTS), RF 전력 공급부 (Pbs), 및 드라이버 시스템 (1302) 을 포함한다. 디지털 신호 프로세서 (DSPbs) 는 전력 파라미터 제어기들 (PWRS1 및 PWRS2) 에, 그리고 AFT (AFTS) 에 커플링된다. 게다가, 전력 파라미터 제어기들 (PWRS1 및 PWRS2) 및 AFT (AFTS) 는 드라이버 시스템 (1302) 에 커플링된다. 드라이버 시스템 (1302) 은 RF 전력 공급부 (Pbs) 에 커플링된다. RF 전력 공급부 (Pbs) 는 RF 생성기 (RFGbs) 의 출력부를 통해 RF 케이블 시스템 (137), 예컨대 RF 케이블 시스템 (137) 의 RF 케이블에 커플링된다.
프로세서 (132) 는 메모리 디바이스 (134) 로부터의 레시피에 액세스한다. 레시피의 예들은 상태 S1에 대해 RF 생성기 (RFGbs) 에 적용될 전력 파라미터 설정 점, 점 상태 S2에 대해 RF 생성기 (RFGbs) 에 적용될 전력 파라미터 설정, 상태들 (S1 및 S2) 에 대해 RF 생성기 (RFGx) 에 적용될 주파수 설정 점, 또는 이들의 조합을 포함한다.
프로세서 (132) 는 케이블 (117) 을 통해 DSPbs로 펄싱된 신호 (102) 와 함께 인스트럭션을 전송한다. 케이블 (117) 을 통해 DSPbs 로 전송된 인스트럭션은 펄싱된 신호 (102), 상태 S1에 대해 RF 생성기 (RFGbs) 에 인가될 전력 파라미터 설정 점, 상태 S2에 대해 RF 생성기 (RFGbs) 에 인가될 전력 파라미터 설정 점, 및 상태들 (S1 및 S2) 에 대해 RF 생성기 (RFGbs) 에 인가될 주파수 설정 점에 관한 정보를 갖는다. 펄싱된 신호 (102) 에 관한 정보는 RF 생성기 (RFGbs) 에 의해 생성될 RF 신호가 펄싱된 신호 (102) 의 천이 시간 tst1에서 상태 S1로부터 상태 S2로 천이하고, RF 신호가 펄싱된 신호 (102) 의 천이 시간 tst2에서 상태 S2로부터 상태 S1로 천이한다고 DSPbs에 나타낸다. DSPbs는 상태 S1에 대한 전력 파라미터 설정 점은 펄싱된 신호 (102) 의 상태 S1 동안 적용되고, 상태 S2에 대한 전력 파라미터 설정 점은 펄싱된 신호 (102) 의 상태 S2 동안 적용되고, 그리고 상태들 (S1 및 S2) 에 대한 주파수 설정 점은 펄싱된 신호 (102) 의 상태들 (S1 및 S2) 동안 적용된다고 인스트럭션으로부터 결정한다. 게다가, DSPbs는 인스트럭션 및 펄싱된 신호 (102) 로부터, RF 생성기 (RFGbs) 에 의해 생성될 RF 신호가 펄싱된 신호 (102) 의 천이 시간 tst1에서 상태 S1로부터 상태 S2로 천이하고 그리고 RF 신호가 펄싱된 신호 (102) 의 천이 시간 tst2에서 상태 S2로부터 상태 S1로 천이한다고 결정한다. 천이 시간 tst1 및 천이 시간 tst2는 펄싱된 신호 (102) 의 사이클 각각에 대해 반복된다.
펄싱된 신호 (102) 의 사이클의 천이 시간 tst2에서, DSPbs는 상태 S1에 대한 전력 파라미터 설정 점을 전력 파라미터 제어기 (PWRS1) 로 전송한다. 유사하게, 펄싱된 신호 (102) 의 사이클의 천이 시간 tst1에서, DSPbs는 상태 S2에 대한 전력 파라미터 설정 점을 전력 파라미터 제어기 (PWRS2) 로 전송한다. 게다가, 펄싱된 신호 (102) 의 사이클의 천이 시간 tst2 또는 천이 시간 tst1 에서, DSPbs는 상태들 (S1 및 S2) 에 대한 주파수 설정 점을 AFT (AFTS) 로 전송한다.
상태 S1에 대한 전력 파라미터 설정 점을 수신하자마자, 전력 파라미터 제어기 (PWRS1) 는 상태 S1에 대한 전력 파라미터 설정 점에 대응하는 전류의 양을 결정한다. 상태 S1 동안 드라이버 시스템 (1302) 에 의해 생성될 전류의 양에 기초하여, 전력 파라미터 제어기 (PWRS1) 는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (1302) 으로 전송한다. 상태 S1에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (1302) 은 전류의 양을 갖는 전류 신호를 생성하고 RF 전력 공급부 (Pbs) 로 전송한다. RF 전력 공급부 (Pbs) 는, 전류 신호를 수신하자마자 상태 S1에 대한 전력 파라미터 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGbs) 의 출력부 및 RF 케이블 시스템 (137) 의 RF 케이블을 통해 IMN (112) 의 입력부로 공급한다. 상태 S1에 대한 전력 파라미터 설정 점은 RF 생성기 (RFGbs) 의 RF 전력 공급부 (Pbs) 에 의해 상태 S1 동안 유지된다.
유사하게, 상태 S2에 대한 전력 파라미터 설정 점을 수신하자마자, 전력 파라미터 제어기 (PWRS2) 는 상태 S2에 대한 전력 파라미터 설정 점에 대응하는 전류의 양을 결정한다. 상태 S2 동안 드라이버 시스템 (1302) 에 의해 생성될 전류의 양에 기초하여, 전력 파라미터 제어기 (PWRS2) 는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (1302) 으로 전송한다. 상태 S2에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (1302) 은 전류의 양을 갖는 전류 신호를 RF 전력 공급부 (Psbs) 로 전송한다. RF 전력 공급부 (Pbs) 는, 전류 신호를 수신하자마자 상태 S2에 대한 전력 파라미터 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGbs) 의 출력부 및 RF 케이블 시스템 (137) 의 RF 케이블을 통해 IMN (112) 의 입력부로 공급한다. 상태 S2에 대한 전력 파라미터 설정 점은 상태 S2 동안 RF 생성기 (RFGbs) 의 RF 전력 공급부 (Pbs) 에 의해 유지된다.
게다가, 상태들 (S1 및 S2) 에 대한 주파수 설정 점을 수신하자마자, AFT (AFTS) 는 상태들 (S1 및 S2) 에 대한 주파수 설정 점에 대응하는 전류의 양을 결정한다. 상태들 (S1 및 S2) 동안 드라이버 시스템 (1302) 에 의해 생성될 전류의 양에 기초하여, AFT (AFTS) 는 명령 신호를 생성하고 명령 신호를 드라이버 시스템 (1302) 으로 전송한다. 상태들 (S1 및 S2) 에 대해, 명령 신호를 수신하는 것에 응답하여, 드라이버 시스템 (1302) 은 전류의 양을 갖는 전류 신호를 생성하고 RF 전력 공급부 (Pbs) 로 전송한다. RF 전력 공급부 (Pbs) 는, 전류 신호를 수신하자마자 상태들 (S1 및 S2) 에 대한 주파수 설정 점을 갖는 RF 신호를 생성하고 RF 신호를 RF 생성기 (RFGbs) 의 출력부 및 RF 케이블 시스템 (137) 의 RF 케이블을 통해 IMN (112) 의 입력부로 공급한다. 상태들 (S1 및 S2) 에 대한 주파수 설정 점은 상태들 (S1 및 S2) 동안 RF 전력 공급부 (Pbs) 에 의해 유지된다. 상태 S1에 대한 전력 파라미터 설정 점 및 상태들 (S1 및 S2) 에 대한 주파수 설정 점을 갖는 RF 신호는 상태 S1 동안 RF 생성기 (RFGbs) 에 의해 생성된 RF 신호이다. 유사하게, 상태 S2에 대한 전력 파라미터 설정 점 및 상태들 (S1 및 S2) 에 대한 주파수 설정 점을 갖는 RF 신호는 상태 Ss 동안 RF 생성기 (RFGbs) 에 의해 생성된 RF 신호이다.
IMN (112) 의 입력부는 RF 생성기 (RFGbs) 의 출력부로부터 RF 케이블 시스템 (137) 을 통해 RF 전력 공급부 (Pbs) 에 의해 생성된 RF 신호를 수신하고 IMN (112) 의 출력부에서 출력 RF 신호를 생성하기 위해 IMN (112) 의 출력부에 커플링된 부하의 임피던스와 IMN (112) 의 입력부에 커플링된 소스의 임피던스를 매칭시킨다. IMN (112) 의 입력부에 커플링된 소스의 예는 RF 케이블 시스템 (137) 및 RF 생성기 (RFGbs) 를 포함한다. 출력 RF 신호는 RF 송신 라인 (139) 을 통해 척 (110) 으로, 예컨대 척 (110) 의 하부 전극으로 전송된다.
하나 이상의 프로세스 가스들이 상부 전극 (106) 과 척 (110) 사이에 공급될 때, 수정된 RF 신호는 상부 전극 (106) 으로 공급되고, 출력부 RF 신호는 척 (110) 으로 공급되고, 하나 이상의 프로세스 가스들은 플라즈마 챔버 (108) 내에서 플라즈마를 생성하거나 유지하도록 점화된다.
다양한 실시예들에서, 전력 파라미터 제어기들 (PWRS1 및 PWRS2), 및 AFT (AFTS) 는 DSPbs에 의해 실행되는 컴퓨터 프로그램의 모듈들, 예를 들어, 부분들, 등이다.
몇몇 실시예들에서, 전력 파라미터 제어기들 (PWRS1 및 PWRS2), 및 AFT (AFTS) 는 DSPbs의 집적 회로에 커플링된 별도의 집적 회로들이다. 예를 들어, 전력 제어기 (PWRS1) 는 RF 생성기 (RFGbs) 의 제 1 집적 회로이고, 전력 제어기 (PWRS2) 는 RF 생성기 (RFGbs) 의 제 2 집적 회로이고, AFT (AFTx1) 는 RF 생성기 (RFGbs) 의 제 3 집적 회로이고, 그리고 DSPbs 는 RF 생성기 (RFGbs) 의 제 4 집적 회로이다. RF 생성기 (RFGbs) 의 제 1 집적 회로 내지 제 3 집적 회로 각각은 RF 생성기 (RFGbs) 의 제 4 집적 회로에 커플링된다.
도 13b는 도 13a의 RF 생성기 (RFGbs) 에 의해 생성된 RF 신호의 전력 파라미터의 펄싱을 예시하기 위한 그래프 (140), 그래프 (1310), 및 그래프 (1312) 의 실시예들의 도면이다. 그래프 (1310) 는 RF 생성기 (RFGbs) 에 의해 생성되는 RF 신호 (1314) 와 같은, RF 신호의 전력 파라미터 레벨 대 시간 t를 플롯팅한다. 유사하게, 그래프 (1312) 는 RF 생성기 (RFGbs) 에 의해 생성되는 RF 신호 (1316) 와 같은, RF 신호의 전력 파라미터 레벨 대 시간 t를 플롯팅한다.
그래프 (140) 및 그래프 (1310) 를 참조하면, 상태 S1 동안, RF 신호 (1314) 는 0의 전력 파라미터 레벨 및 0의 주파수 레벨을 갖는다. 더욱이, 천이 시간 tst1에서, RF 신호 (1314) 는 상태 S1로부터 상태 S2로 천이한다. 상태 S2 동안, RF 신호 (1314) 는 Pb2의 전력 파라미터 레벨 및 fb2의 주파수 레벨을 갖는다. 천이 시간 tst2에서, RF 신호 (1314) 는 상태 S2로부터 다시 상태 S1로 천이한다. RF 신호 (1314) 의 0 전력 파라미터 레벨은 상태 S1 동안 생성된 플라즈마 이온들이 척 (110) 을 향해 지향되는 것을 방지한다. 이와 같이, 플라즈마 이온들은 에칭 레이트를 더 상승시키기 위해 플라즈마 이온들의 수직 지향성을 상승시키도록 상태 S2 동안 인가를 위해 보존된다.
RF 신호 (1314) 의 상태 S1의 듀티 사이클은 RF 신호 (1314) 의 상태 S2의 듀티 사이클과 동일하다는 것을 주의해야 한다. 예를 들어, 상태 S1의 듀티 사이클은 50 %이고 상태 S2의 듀티 사이클은 50 %이다. RF 신호 (1314) 의 상태 S1은 펄싱된 신호 (102) 의 사이클의 50 %를 점유하고 RF 신호 (1314) 의 상태 S2는 펄싱된 신호 (102) 의 사이클의 나머지 50 %를 점유한다.
다양한 실시예들에서, RF 신호 (1314) 의 상태 S1의 듀티 사이클은 RF 신호 (1314) 의 상태 S2의 듀티 사이클과 상이하다. 예를 들어, 상태 S1의 듀티 사이클은 25 %이고, 상태 S2의 듀티 사이클은 75 %이다. RF 신호 (1314) 의 상태 S1은 펄싱된 신호 (102) 의 사이클의 25 %를 점유하고, RF 신호 (1314) 의 상태 S2는 펄싱된 신호 (102) 의 사이클의 나머지 75 %를 점유한다. 또 다른 예로서, 상태 S1의 듀티 사이클은 a %이고 상태 S2의 듀티 사이클은 (100-a) %이다. RF 신호 (1314) 의 상태 S1은 펄싱된 신호 (102) 의 사이클의 a %를 점유하고 RF 신호 (1314) 의 상태 S2는 펄싱된 신호 (102) 의 사이클의 나머지 (100-a) %를 점유한다.
전력 파라미터 레벨 Pb2 및 주파수 레벨 fb2는 그래프 (1310) 에 예시된 바와 같이 0이 아니라는 것을 주의해야 한다.
그래프 (1312) 는 RF 신호들 (1314 및 1316) 이 상태 S1 동안 상이한 전력 파라미터 레벨들을 갖는 것을 제외하고 그래프 (1310) 와 유사하다. 예를 들어, RF 신호 (1314) 는 상태 S1 동안 0의 전력 파라미터 레벨을 갖고, RF 신호 (1316) 는 상태 S1 동안 Pb1의 전력 파라미터 레벨을 갖는다. 게다가, RF 신호 (1316) 는 상태 S1 동안 fb1의 주파수 레벨을 갖고, 상태 S1 동안 주파수 레벨 fb1은 상태 S2 동안 RF 신호 (1316) 의 주파수 레벨 fb2와 동일하다. RF 신호 (1316) 는 상태 S2 동안 전력 파라미터 레벨 Pb2를 갖는다. 상태 S2 동안과 비교하여 상태 S1 동안 RF 신호 (1316) 의 하부 전력 파라미터 레벨은 상태 S1 동안 생성된 플라즈마 이온들이 상태 S1 동안 척 (110) 을 향해 지향되는 것을 방지한다. 플라즈마 이온들은 에칭 레이트를 더 상승시키기 위해 플라즈마 이온들의 수직 지향성을 상승시키도록 상태 S2 동안 인가를 위해 보존된다.
그래프 (140) 및 그래프 (1312) 를 참조하면, RF 신호 (1316) 의 상태 S2는 RF 신호 (1314) 의 상태 S2와 동일하다. 예를 들어, 상태 S2 동안, RF 신호 (1316) 는 상태 S2 동안 RF 신호 (1314) 의 전력 파라미터 레벨 Pb2와 동일한, Pb2의 전력 파라미터 레벨을 갖는다. 또한, 상태 S2 동안, RF 신호 (1316) 는 상태 S2 동안 RF 신호 (1314) 의 주파수 레벨과 동일한, fb2의 주파수 레벨을 갖는다.
더욱이, 천이 시간 tst1에서, RF 신호 (1316) 상태 S1로부터 상태 S2로 천이한다. Pb1의 전력 파라미터 레벨은 상태 S1 동안 RF 신호 (1314) 의 0의 전력 파라미터 레벨보다 높지만, 상태 S2 동안 RF 신호 (1316) 의 전력 파라미터 레벨 Pb2보다 낮다. 천이 시간 tst2에서, RF 신호 (1316) 는 상태 S2로부터 다시 상태 S1로 천이한다.
전력 파라미터들 레벨 Pb1 및 전력 파라미터들 레벨 Pb2 그리고 주파수 레벨 fb1 및 주파수 레벨 fb2는 그래프 (1312) 에 예시된 바와 같이 0이 아니라는 것을 주의해야 한다.
RF 신호 (1316) 의 상태 S1의 듀티 사이클은 RF 신호 (1316) 의 상태 S2의 듀티 사이클과 동일하다는 것을 주의해야 한다. 예를 들어, RF 신호 (1316) 의 상태 S1의 듀티 사이클은 50 %이고 RF 신호 (1316) 의 상태 S2의 듀티 사이클은 50 %이다. RF 신호 (1316) 의 상태 S1은 펄싱된 신호 (102) 의 사이클의 50 %를 점유하고 RF 신호 (1316) 의 상태 S2는 펄싱된 신호 (102) 의 사이클의 나머지 50 %를 점유한다.
다양한 실시예들에서, RF 신호 (1316) 의 상태 S1의 듀티 사이클은 RF 신호 (1316) 의 상태 S2의 듀티 사이클과 상이하다. 예를 들어, RF 신호 (1316) 의 상태 S1의 듀티 사이클은 25 %이고, RF 신호 (1316) 의 상태 S2의 듀티 사이클은 75 %이다. RF 신호 (1316) 의 상태 S1은 펄싱된 신호 (102) 의 사이클의 25 %를 점유하고, RF 신호 (1316) 의 상태 S2는 펄싱된 신호 (102) 의 사이클의 나머지 75 %를 점유한다. 또 다른 예로서, RF 신호 (1316) 의 상태 S1의 듀티 사이클은 a %이고, RF 신호 (1316) 의 상태 S2의 듀티 사이클은 (100-a) %이다. RF 신호 (1316) 의 상태 S1은 펄싱된 신호 (102) 의 사이클의 a %를 점유하고 RF 신호 (1316) 의 상태 S2는 펄싱된 신호 (102) 의 사이클의 나머지 (100-a) %를 점유한다.
일부 실시예들에서, 주파수 레벨 fb1은 주파수 레벨 fb2와 상이하고, 예컨대 보다 높거나 보다 낮다.
본 명세서에 기술된 실시예들은 휴대용 하드웨어 유닛들, 마이크로프로세서 시스템들, 마이크로프로세서-기반 또는 프로그래밍가능한 가전 제품들, 미니컴퓨터들, 메인프레임 컴퓨터들, 등을 포함하는 다양한 컴퓨터 시스템 구성들로 실시될 수도 있다. 실시예들은 또한 태스크들이 네트워크를 통해 링크되는 원격 프로세싱 하드웨어 유닛들에 의해 수행되는, 분산 컴퓨팅 환경들에서 실시될 수 있다.
일부 실시예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는, 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합된다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 유형에 따라서, 프로세스 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 시스템과 커플링되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램된다.
일반적으로 말하면, 다양한 실시예들에서, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC들로서 규정되는 칩들, PLD들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들, 인자들, 및/또는 변수들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들이다. 일부 실시예들에서, 프로그램 인스트럭션들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부이다.
제어기는 일부 실시예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합되는 컴퓨터에 커플링되거나 이의 일부이다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 하는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하기 위해 시스템으로의 원격 액세스를 인에이블하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사한다.
일부 실시예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함하는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공한다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함한다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들, 인자들, 및/또는 변수들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들, 인자들, 및/또는 변수들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 유형 및 수행될 프로세스의 유형에 특정적이라는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산된다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들을 포함한다.
비한정적으로, 다양한 실시예들에서, 방법들이 적용되는 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관되는 임의의 다른 반도체 프로세싱 시스템들을 포함한다.
일부 실시예들에서, 상기 기술된 동작들을 몇몇 유형들의 플라즈마 챔버들, 예를 들어, ICP (inductively coupled plasma) 반응기를 포함하는 플라즈마 챔버, TCP (transformer coupled plasma) 챔버, 도전체 툴들, 유전체 툴들, ECR (electron cyclotron resonance) 반응기을 포함하는 플라즈마 챔버, 등에 적용한다는 것을 또한 주의한다. 예를 들어, 하나 이상의 RF 생성기들이 ICP 반응기 내의 인덕터에 커플링된다. 인덕터의 형상의 예들은 솔레노이드, 돔-형상 코일, 플랫-형상 코일, 등을 포함한다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 호스트 컴퓨터는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신한다.
상기 실시예들을 유념하여, 실시예들 중 일부는 컴퓨터 시스템들에 저장된 데이터를 수반하는 다양한 컴퓨터-구현된 동작들을 채용한다는 것이 이해되어야 한다. 이들 동작들은 물리량들을 물리적으로 조작하는 것이다. 실시예들의 일부를 형성하는 본 명세서에 기술된 임의의 동작들은 유용한 머신 동작들이다.
실시예들 중 일부는 또한 이들 동작들을 수행하기 위한 하드웨어 유닛 또는 장치와 관련된다. 장치는 특수 목적 컴퓨터로 특별히 구성된다. 특수 목적 컴퓨터로 규정될 때, 컴퓨터는 특수 목적의 일부가 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행하지만, 여전히 특수 목적을 위해 동작할 수 있다.
일부 실시예들에서, 동작들은 컴퓨터 메모리, 캐시에 저장되거나 컴퓨터 네트워크를 통해 획득된 하나 이상의 컴퓨터 프로그램들에 의해 선택적으로 활성화되거나 구성된 컴퓨터에 의해 프로세싱될 수도 있다. 데이터가 컴퓨터 네트워크를 통해 획득될 때, 데이터는 컴퓨터 네트워크 상의 다른 컴퓨터들, 예를 들어, 컴퓨팅 리소스들의 클라우드에 이해 프로세싱될 수도 있다.
하나 이상의 실시예들은 또한 비일시적 컴퓨터-판독가능 매체 상의 컴퓨터-판독가능한 코드로서 제조될 수 있다. 비일시적 컴퓨터-판독가능 매체는, 나중에 컴퓨터 시스템에 의해 판독되는 데이터를 저장하는 임의의 하드웨어 저장 하드웨어 유닛, 예를 들어, 메모리 디바이스, 등이다. 비일시적 컴퓨터-판독가능 매체의 예들은 하드 드라이브들, NAS (network attached storage), ROM, RAM, CD-ROMs (compact disc-ROMs), CD-Rs (CD-recordables), CD-RWs (CD-rewritables), 자기 테이프들 및 다른 광학 데이터 저장 하드웨어 유닛 및 비광학 데이터 저장 하드웨어 유닛을 포함한다. 일부 실시예들에서, 비일시적 컴퓨터-판독가능 매체는 컴퓨터-판독가능 코드가 분산된 방식으로 저장 및 실행되도록 네트워크-커플링된 컴퓨터 시스템을 통해 분산된 컴퓨터-판독가능 유형의 매체를 포함한다
방법 동작들이 특정한 순서로 상기에 기술되었지만, 다양한 실시예들에서, 다른 하우스키핑 동작들이 동작들 사이에서 수행되거나, 방법 동작들은 약간 상이한 시간들에 발생하도록 조정되거나, 다양한 인터벌들로 방법 동작들의 발생을 허용하는 시스템 내에 분산되거나, 상기 기술된 것과 상이한 순서로 수행된다는 것이 이해되어야 한다.
일 실시예에서, 상기 기술된 임의의 실시예로부터 하나 이상의 피처들은 본 개시에 기술된 다양한 실시예들에서 기술된 범위로부터 벗어나지 않고 임의의 다른 실시예의 하나 이상의 피처들과 조합된다는 것을 또한 주의해야 한다.
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들은 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 이에 따라, 제시된 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 주어진 상세들로 제한되지 않는다.

Claims (39)

  1. 에칭 동작 동안 기판의 표면을 향해 지향된 이온들의 각도 확산을 감소시키고 이온 에너지를 상승시키도록 플라즈마 챔버를 동작시키는 방법에 있어서,
    상기 플라즈마 챔버의 동작을 구동시키도록 펄싱된 신호를 수신하는 단계로서, 상기 펄싱된 신호는 제 1 상태 및 제 2 상태를 포함하는 2 개의 상태들을 갖는, 상기 펄싱된 신호를 수신하는 단계;
    주 (primary) RF (radio frequency) 생성기를 상기 제 1 상태 동안 주 주파수 레벨에서 동작시키고 상기 주 RF 생성기를 상기 제 2 상태 동안 오프 상태로 유지하는 단계, ―상기 제 1 상태 동안 상기 주 RF 생성기를 동작시키는 단계는 상기 기판 위에 형성된 플라즈마 시스에 대해 증가된 전하를 생성하고, 상기 증가된 전하는 상기 플라즈마 시스의 두께를 부가함―;
    보조 (secondary) RF 생성기를 상기 제 2 상태 동안 보조 주파수 레벨에서 동작시키고 상기 보조 RF 생성기를 상기 제 1 상태 동안 오프 상태로 유지하는 단계, ―상기 제 2 상태 동안 상기 보조 RF 생성기를 동작시키는 단계는 상기 제 1 상태 동안 생성된 상기 플라즈마 시스의 상기 증가된 전하의 적어도 일부를 상기 제 2 상태 동안 생성된 상기 이온 에너지를 향상시키기 위한 부가 전력으로서 사용하고, 상기 부가 전력은 상기 기판의 상기 표면을 향해 지향될 때 상기 이온들의 상기 각도 확산을 감소시키고, 상기 주 RF 생성기 및 상기 보조 RF 생성기는 임피던스 매칭 회로를 통해 상기 플라즈마 챔버와 연관된 상단 전극에 커플링됨―; 및
    상기 제 1 상태 및 상기 제 2 상태의 복수의 사이클들에 걸쳐 상기 에칭 동작을 향상시키기 위해 상기 펄싱된 신호에 따라 상기 제 1 상태 및 상기 제 2 상태에서 상기 주 RF 생성기 및 상기 보조 RF 생성기를 동작시키는 것을 계속하는 단계를 포함하는, 플라즈마 챔버를 동작시키는 방법.
  2. 제 1 항에 있어서,
    상기 주 RF 생성기는 상기 플라즈마 시스의 전하를 증가시키기 위해 문턱 양의 전하를 생성하도록 한정된 (qualified) 적어도 미리 결정된 시간 기간에 대해 상기 제 1 상태 동안 온이도록 동작하는, 플라즈마 챔버를 동작시키는 방법.
  3. 제 2 항에 있어서,
    상기 미리 결정된 시간 기간은 상기 기판의 상기 표면의 재료의 타입을 에칭하기 위해 레시피 캘리브레이션 루틴 (recipe calibration routine) 동안 결정되는, 플라즈마 챔버를 동작시키는 방법.
  4. 제 2 항에 있어서,
    상기 미리 결정된 시간 기간은 상기 펄싱된 신호의 듀티 사이클의 10 % 내지 50 % 범위인, 플라즈마 챔버를 동작시키는 방법.
  5. 제 1 항에 있어서,
    상기 주 RF 생성기는 저 주파수 RF 생성기이고 상기 보조 RF 생성기는 고 주파수 RF 생성기이고, 상기 고 주파수 RF 생성기는 상기 저 주파수 RF 생성기와 비교하여 보다 높은 동작 주파수를 갖는, 플라즈마 챔버를 동작시키는 방법.
  6. 제 1 항에 있어서,
    상기 제 1 상태 동안, 상기 플라즈마 시스와 연관된 시간 상수는 증가하고, 상기 제 2 상태 동안 상기 에칭 동작을 향상시키기 위해 상기 제 1 상태 동안 상기 주 RF 생성기에 의해 생성된 RF 신호의 주 전력 레벨의 적어도 일부가 상기 제 2 상태 동안 상기 보조 RF 생성기에 의해 생성된 RF 신호의 보조 전력 레벨에 부가되는, 플라즈마 챔버를 동작시키는 방법.
  7. 제 1 항에 있어서,
    상기 제 1 상태 동안 제 1 바이어스 전력 파라미터 레벨을 갖고 상기 제 2 상태 동안 제 2 바이어스 전력 파라미터 레벨을 갖도록 바이어스 RF 생성기를 동작시키는 단계를 더 포함하고, 상기 제 1 바이어스 전력 파라미터 레벨은 상기 제 2 바이어스 전력 파라미터 레벨보다 낮고, 상기 바이어스 RF 생성기는 또 다른 임피던스 매칭 회로를 통해 상기 플라즈마 챔버의 척에 커플링되고, 상기 바이어스 RF 생성기의 상기 제 1 바이어스 전력 파라미터 레벨은 상기 제 1 상태 동안 형성되는 이온 에너지를 상기 제 2 상태로 전송하는 것을 보조하는, 플라즈마 챔버를 동작시키는 방법.
  8. 제 1 항에 있어서,
    상기 제 1 상태 동안 상기 주 RF 생성기를 상기 주 주파수 레벨에서 동작시키는 단계는 상기 플라즈마 시스의 리액턴스를 상승시키고, 상기 플라즈마 시스의 상기 리액턴스의 상기 상승은 상기 플라즈마 시스를 통한 전류를 대응하여 감소시키고, 상기 전류의 상기 감소는 상기 플라즈마 시스와 연관된 레지스턴스의 평균 양을 상승시키고, 상기 레지스턴스의 상기 평균 양의 상기 상승은 상기 제 1 상태 및 상기 제 2 상태 동안 상기 플라즈마 시스의 방전을 위한 시간 양을 증가시키기 위해 상기 플라즈마 시스 및 상기 제 1 상태 및 상기 제 2 상태와 연관된 평균 시간 상수를 상승시키고, 상기 방전을 위한 시간 양의 상기 상승은 상기 이온들의 피크 이온 에너지를 상승시키고 상기 이온들의 상기 각도 확산을 감소시키는, 플라즈마 챔버를 동작시키는 방법.
  9. 제 1 항에 있어서,
    상기 주 RF 생성기는 상기 제 1 상태 동안 주 전력 파라미터 레벨에서 동작하는 것으로 결정하는 단계;
    상기 제 1 상태 동안 상기 주 전력 파라미터 레벨에서 동작하도록 상기 주 RF 생성기를 제어하는 단계;
    상기 보조 RF 생성기는 상기 제 2 상태 동안 보조 전력 파라미터 레벨에서 동작하는 것으로 결정하는 단계; 및
    상기 제 2 상태 동안 상기 보조 전력 파라미터 레벨에서 동작하도록 상기 보조 RF 생성기를 제어하는 단계를 더 포함하는, 플라즈마 챔버를 동작시키는 방법.
  10. 제 9 항에 있어서,
    상기 주 전력 파라미터 레벨은 상기 보조 전력 파라미터 레벨과 동일한, 플라즈마 챔버를 동작시키는 방법.
  11. 제 9 항에 있어서,
    상기 주 전력 파라미터 레벨은 상기 보조 전력 파라미터 레벨과 상이한, 플라즈마 챔버를 동작시키는 방법.
  12. 제 1 항에 있어서,
    상기 상단 전극은 상기 플라즈마 챔버의 척과 대면하고, 상기 상단 전극은 TCP (transformer coupled plasma) 코일이고, 상기 척은 또 다른 임피던스 매칭 회로를 통해 바이어스 RF 생성기에 커플링되는, 플라즈마 챔버를 동작시키는 방법.
  13. 제 1 항에 있어서,
    상기 상단 전극은 상기 플라즈마 챔버의 척과 대면하고, 상기 상단 전극은 TCP 코일이고, 상기 척은 접지 전위에 커플링되는, 플라즈마 챔버를 동작시키는 방법.
  14. 에칭 동작 동안 기판의 표면을 향해 지향된 이온들의 각도 확산을 감소시키고 이온 에너지를 상승시키도록 플라즈마 챔버를 동작시키는 방법에 있어서,
    상기 플라즈마 챔버의 동작을 구동시키도록 펄싱된 신호를 수신하는 단계로서, 상기 펄싱된 신호는 제 1 상태 및 제 2 상태를 포함하는 2 개의 상태들을 갖는, 상기 펄싱된 신호를 수신하는 단계;
    주 RF 생성기를 상기 제 1 상태 동안 제 1 주 주파수 레벨에서 그리고 상기 제 2 상태 동안 제 2 주 주파수 레벨에서 동작시키는 단계, ―상기 제 1 상태 동안 상기 주 RF 생성기를 동작시키는 단계는 상기 기판 위에 형성된 플라즈마 시스에 대해 증가된 전하를 생성하고, 상기 증가된 전하는 상기 플라즈마 시스의 두께에 부가됨―;
    보조 RF 생성기를 상기 제 1 상태 동안 제 1 보조 주파수 레벨에서 그리고 상기 제 2 상태 동안 제 2 보조 주파수 레벨에서 동작시키는 단계, ―상기 제 2 상태 동안 상기 보조 RF 생성기를 동작시키는 단계는 상기 제 1 상태 동안 생성된 상기 플라즈마 시스의 상기 증가된 전하의 적어도 일부를 상기 제 2 상태 동안 생성된 상기 이온 에너지를 향상시키기 위한 부가 전력으로서 사용하고, 상기 부가 전력은 상기 기판의 상기 표면을 향해 지향될 때 상기 이온들의 상기 각도 확산을 감소시키고, 상기 주 RF 생성기 및 상기 보조 RF 생성기는 임피던스 매칭 회로를 통해 상기 플라즈마 챔버와 연관된 상단 전극에 커플링되고, 상기 제 1 주 주파수 레벨, 상기 제 2 주 주파수 레벨, 상기 제 1 보조 주파수 레벨, 및 상기 제 2 보조 주파수 레벨 각각은 0이 아님―; 및
    상기 제 1 상태 및 상기 제 2 상태의 복수의 사이클들에 걸쳐 상기 에칭 동작을 향상시키기 위해 상기 펄싱된 신호에 따라 상기 제 1 상태 및 상기 제 2 상태에서 상기 주 RF 생성기 및 상기 보조 RF 생성기를 동작시키는 것을 계속하는 단계를 포함하는, 플라즈마 챔버를 동작시키는 방법.
  15. 제 14 항에 있어서,
    상기 주 RF 생성기는 상기 플라즈마 시스의 전하를 증가시키기 위해 문턱 양의 전하를 생성하도록 한정된 적어도 미리 결정된 시간 기간에 대해 상기 제 1 상태 동안 온이도록 동작하는, 플라즈마 챔버를 동작시키는 방법.
  16. 제 15 항에 있어서,
    상기 미리 결정된 시간 기간은 상기 기판의 상기 표면의 재료의 타입을 에칭하기 위해 레시피 캘리브레이션 루틴 동안 결정되는, 플라즈마 챔버를 동작시키는 방법.
  17. 제 15 항에 있어서,
    상기 미리 결정된 시간 기간은 상기 펄싱된 신호의 듀티 사이클의 10 % 내지 50 % 범위인, 플라즈마 챔버를 동작시키는 방법.
  18. 제 14 항에 있어서,
    상기 주 RF 생성기는 저 주파수 RF 생성기이고 상기 보조 RF 생성기는 고 주파수 RF 생성기이고, 상기 고 주파수 RF 생성기는 상기 저 주파수 RF 생성기와 비교하여 보다 높은 동작 주파수를 갖는, 플라즈마 챔버를 동작시키는 방법.
  19. 제 14 항에 있어서,
    상기 제 1 상태 동안, 상기 플라즈마 시스와 연관된 시간 상수는 증가하고, 상기 제 2 상태 동안 상기 에칭 동작을 향상시키기 위해 상기 제 1 상태 동안 상기 주 RF 생성기에 의해 생성된 RF 신호의 주 전력 레벨의 적어도 일부가 상기 제 2 상태 동안 상기 보조 RF 생성기에 의해 생성된 RF 신호의 보조 전력 레벨에 부가되는, 플라즈마 챔버를 동작시키는 방법.
  20. 제 14 항에 있어서,
    상기 제 1 상태 동안 제 1 바이어스 전력 파라미터 레벨을 갖고 상기 제 2 상태 동안 제 2 바이어스 전력 파라미터 레벨을 갖도록 바이어스 RF 생성기를 동작시키는 단계를 더 포함하고, 상기 제 1 바이어스 전력 파라미터 레벨은 상기 제 2 바이어스 전력 파라미터 레벨보다 낮고, 상기 바이어스 RF 생성기는 또 다른 임피던스 매칭 회로를 통해 상기 플라즈마 챔버의 척에 커플링되고, 상기 바이어스 RF 생성기의 상기 제 1 바이어스 전력 파라미터 레벨은 상기 제 1 상태 동안 형성되는 이온 에너지를 상기 제 2 상태로 전송하는 것을 보조하는, 플라즈마 챔버를 동작시키는 방법.
  21. 제 14 항에 있어서,
    상기 제 1 상태 동안 상기 주 RF 생성기를 상기 제 1 주 주파수 레벨에서 동작시키는 단계는 상기 플라즈마 시스의 리액턴스를 상승시키고, 상기 플라즈마 시스의 상기 리액턴스의 상기 상승은 상기 플라즈마 시스를 통한 전류를 대응하여 감소시키고, 상기 전류의 상기 감소는 상기 플라즈마 시스와 연관된 레지스턴스의 평균 양을 상승시키고, 상기 레지스턴스의 상기 평균 양의 상기 상승은 상기 제 1 상태 및 상기 제 2 상태 동안 상기 플라즈마 시스의 방전을 위한 시간 양을 증가시키기 위해 상기 플라즈마 시스 및 상기 제 1 상태 및 상기 제 2 상태와 연관된 평균 시간 상수를 상승시키고, 상기 방전을 위한 시간 양의 상기 상승은 상기 이온들의 피크 이온 에너지를 상승시키고 상기 이온들의 상기 각도 확산을 감소시키는, 플라즈마 챔버를 동작시키는 방법.
  22. 제 14 항에 있어서,
    상기 주 RF 생성기는 상기 제 1 상태 동안 제 1 주 전력 파라미터 레벨에서 그리고 상기 제 2 상태 동안 제 2 주 전력 파라미터 레벨에서 동작하는 것으로 결정하는 단계;
    상기 제 1 상태 동안 제 1 주 전력 파라미터 레벨에서 그리고 상기 제 2 상태 동안 제 2 주 전력 파라미터 레벨에서 동작하도록 상기 주 RF 생성기를 제어하는 단계;
    상기 보조 RF 생성기는 상기 제 1 상태 동안 제 1 보조 전력 파라미터 레벨에서 그리고 상기 제 2 상태 동안 제 2 보조 전력 파라미터 레벨에서 동작하는 것으로 결정하는 단계; 및
    상기 제 1 상태 동안 제 1 보조 전력 파라미터 레벨에서 그리고 상기 제 2 상태 동안 제 2 보조 전력 파라미터 레벨에서 동작하도록 상기 보조 RF 생성기를 제어하는 단계를 더 포함하는, 플라즈마 챔버를 동작시키는 방법.
  23. 제 22 항에 있어서,
    상기 제 1 주 전력 파라미터 레벨, 상기 제 2 주 전력 파라미터 레벨, 상기 제 1 보조 전력 파라미터 레벨, 및 상기 제 2 보조 전력 파라미터 레벨은 동일한, 플라즈마 챔버를 동작시키는 방법.
  24. 제 22 항에 있어서,
    상기 제 1 주 전력 파라미터 레벨 및 상기 제 2 주 전력 파라미터 레벨과 상기 제 1 보조 전력 파라미터 레벨 및 상기 제 2 보조 전력 파라미터 레벨은 상이한, 플라즈마 챔버를 동작시키는 방법.
  25. 제 14 항에 있어서,
    상기 상단 전극은 상기 플라즈마 챔버의 척과 대면하고, 상기 상단 전극은 TCP (transformer coupled plasma) 코일이고, 상기 척은 또 다른 임피던스 매칭 회로를 통해 바이어스 RF 생성기에 커플링되는, 플라즈마 챔버를 동작시키는 방법.
  26. 제 14 항에 있어서,
    상기 상단 전극은 상기 플라즈마 챔버의 척에 대면하고, 상기 상단 전극은 TCP 코일이고, 상기 척은 접지 전위에 커플링되는, 플라즈마 챔버를 동작시키는 방법.
  27. 에칭 동작 동안 기판의 표면을 향해 지향된 이온들의 각도 확산을 감소시키고 이온 에너지를 상승시키도록 플라즈마 챔버를 동작시키기 위한 시스템에 있어서,
    주 RF 신호를 생성하도록 구성된 주 전력 공급부를 갖는 주 RF 생성기;
    보조 RF 신호를 생성하도록 구성된 보조 전력 공급부를 갖는 보조 RF 생성기;
    상기 주 전력 공급부 및 상기 보조 전력 공급부에 커플링된 임피던스 매칭 네트워크로서, 상기 임피던스 매칭 네트워크는 수정된 RF 신호를 생성하기 위해 상기 주 RF 신호 및 상기 보조 RF 신호를 수신하도록 구성되는, 상기 임피던스 매칭 네트워크;
    상기 임피던스 매칭 네트워크에 커플링된 상단 전극을 갖는 플라즈마 챔버로서, 상기 플라즈마 챔버는 상기 수정된 RF 신호를 수신하도록 구성되는, 상기 플라즈마 챔버;
    상기 주 RF 생성기는 하나 이상의 프로세서들을 포함하고, 상기 하나 이상의 프로세서들은,
    상기 플라즈마 챔버의 동작을 구동시키도록 펄싱된 신호를 수신하고 ―상기 펄싱된 신호는 제 1 상태 및 제 2 상태를 포함하는 2 개의 상태들을 가짐―; 그리고
    주 RF 생성기를 상기 제 1 상태 동안 주 주파수 레벨에서 동작시키고 상기 주 RF 생성기를 상기 제 2 상태 동안 오프 상태로 유지하도록 구성되고,
    상기 제 1 상태 동안 상기 주 RF 생성기의 상기 동작은 상기 기판 위에 형성된 플라즈마 시스에 대해 증가된 전하를 생성하고, 상기 증가된 전하는 상기 플라즈마 시스의 두께를 부가하고;
    상기 보조 RF 생성기는 하나 이상의 프로세서들을 포함하고, 상기 하나 이상의 프로세서들은,
    상기 펄싱된 신호를 수신하고, 그리고
    상기 제 2 상태 동안 보조 주파수 레벨에서 동작시키고 상기 보조 RF 생성기를 상기 제 1 상태 동안 오프 상태로 유지하도록 구성되고, 상기 제 2 상태 동안 상기 보조 RF 생성기의 상기 동작은 상기 제 1 상태 동안 생성된 상기 플라즈마 시스의 상기 증가된 전하의 적어도 일부를 상기 제 2 상태 동안 생성된 상기 이온 에너지를 향상시키기 위한 부가 전력으로서 사용하고, 상기 부가 전력은 상기 기판의 상기 표면을 향해 지향될 때 상기 이온들의 상기 각도 확산을 감소시키고,
    상기 주 RF 생성기 및 상기 보조 RF 생성기는 상기 제 1 상태 및 상기 제 2 상태의 복수의 사이클들에 걸쳐 상기 에칭 동작을 향상시키기 위해 상기 펄싱된 신호에 따라 상기 제 1 상태 및 상기 제 2 상태에서 계속해서 동작하도록 구성되는, 플라즈마 챔버를 동작시키기 위한 시스템.
  28. 제 27 항에 있어서,
    상기 주 RF 생성기는 상기 플라즈마 시스의 전하를 증가시키기 위해 문턱 양의 전하를 생성하도록 한정된 적어도 미리 결정된 시간 기간에 대해 상기 제 1 상태 동안 온이도록 구성되는, 플라즈마 챔버를 동작시키기 위한 시스템.
  29. 제 28 항에 있어서,
    상기 미리 결정된 시간 기간은 상기 기판의 상기 표면의 재료의 타입을 에칭하기 위해 레시피 캘리브레이션 루틴 동안 결정되는, 플라즈마 챔버를 동작시키기 위한 시스템.
  30. 제 28 항에 있어서,
    상기 미리 결정된 시간 기간은 상기 펄싱된 신호의 듀티 사이클의 10 % 내지 50 % 범위인, 플라즈마 챔버를 동작시키기 위한 시스템.
  31. 제 27 항에 있어서,
    상기 주 RF 생성기는 저 주파수 RF 생성기이고 상기 보조 RF 생성기는 고 주파수 RF 생성기이고, 상기 고 주파수 RF 생성기는 상기 저 주파수 RF 생성기와 비교하여 보다 높은 동작 주파수를 갖는, 플라즈마 챔버를 동작시키기 위한 시스템.
  32. 제 27 항에 있어서,
    상기 제 1 상태 동안, 상기 플라즈마 시스와 연관된 시간 상수는 증가하고, 상기 주 RF 신호는 상기 제 1 상태 동안 주 전력 레벨을 갖고, 상기 제 2 상태 동안 상기 에칭 동작을 향상시키기 위해 상기 주 전력 레벨의 적어도 일부가 상기 보조 RF 신호의 상기 제 2 상태 동안 보조 전력 레벨에 부가되는, 플라즈마 챔버를 동작시키기 위한 시스템.
  33. 제 27 항에 있어서,
    상기 플라즈마 챔버는 척을 포함하고,
    상기 시스템은,
    상기 제 1 상태 동안 제 1 바이어스 전력 파라미터 레벨을 갖고 상기 제 2 상태 동안 제 2 바이어스 전력 파라미터 레벨을 갖도록 구성된 바이어스 RF 생성기로서, 상기 제 1 바이어스 전력 파라미터 레벨은 상기 제 2 바이어스 전력 파라미터 레벨보다 낮은, 상기 바이어스 RF 생성기,
    상기 바이어스 RF 생성기 및 상기 플라즈마 챔버의 상기 척에 커플링된 임피던스 매칭 회로로서, 상기 바이어스 RF 생성기의 상기 제 1 바이어스 전력 파라미터 레벨은 상기 제 1 상태 동안 형성되는 이온 에너지를 상기 제 2 상태로 전송하는 것을 보조하는, 상기 임피던스 매칭 회로를 더 포함하는, 플라즈마 챔버를 동작시키기 위한 시스템.
  34. 제 27 항에 있어서,
    상기 주 RF 생성기는 상기 플라즈마 시스의 리액턴스를 상승시키기 위해 상기 제 1 상태 동안 상기 주 주파수 레벨에서 동작하도록 구성되고, 상기 플라즈마 시스의 상기 리액턴스의 상기 상승은 상기 플라즈마 시스를 통한 전류를 대응하여 감소시키고, 상기 전류의 상기 감소는 상기 플라즈마 시스와 연관된 레지스턴스의 평균 양을 상승시키고, 상기 레지스턴스의 상기 평균 양의 상기 상승은 상기 제 1 상태 및 상기 제 2 상태 동안 상기 플라즈마 시스의 방전을 위한 시간 양을 증가시키기 위해 상기 플라즈마 시스 및 상기 제 1 상태 및 상기 제 2 상태와 연관된 평균 시간 상수를 상승시키고, 상기 방전을 위한 시간 양의 상기 상승은 상기 이온들의 피크 이온 에너지를 상승시키고 상기 이온들의 상기 각도 확산을 감소시키는, 플라즈마 챔버를 동작시키기 위한 시스템.
  35. 제 27 항에 있어서,
    상기 주 RF 생성기는 상기 제 1 상태 동안 주 전력 파라미터 레벨에서 동작하도록 구성되고;
    상기 보조 RF 생성기는 상기 제 2 상태 동안 보조 전력 파라미터 레벨에서 동작하도록 구성되는, 플라즈마 챔버를 동작시키기 위한 시스템.
  36. 제 35 항에 있어서,
    상기 주 전력 파라미터 레벨은 상기 보조 전력 파라미터 레벨과 동일한, 플라즈마 챔버를 동작시키기 위한 시스템.
  37. 제 35 항에 있어서,
    상기 주 전력 파라미터 레벨은 상기 보조 전력 파라미터 레벨과 상이한, 플라즈마 챔버를 동작시키기 위한 시스템.
  38. 제 27 항에 있어서,
    상기 플라즈마 챔버는 척을 포함하고, 상기 상단 전극은 상기 척과 대면하고, 상기 상단 전극은 TCP 코일이고,
    상기 시스템은,
    바이어스 RF 생성기; 및
    상기 바이어스 RF 생성기 및 상기 척에 커플링된 임피던스 매칭 회로를 더 포함하는, 플라즈마 챔버를 동작시키기 위한 시스템.
  39. 제 27 항에 있어서,
    상기 플라즈마 챔버는 척을 포함하고, 상기 상단 전극은 상기 척과 대면하고, 상기 상단 전극은 TCP 코일이고, 상기 척은 접지 전위에 커플링되는, 플라즈마 챔버를 동작시키기 위한 시스템.
KR1020207009234A 2017-08-31 2018-08-23 저 각도 확산과 함께 피크 이온 에너지 향상을 달성하기 위한 시스템들 및 방법들 KR20200038316A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/693,134 2017-08-31
US15/693,134 US10395894B2 (en) 2017-08-31 2017-08-31 Systems and methods for achieving peak ion energy enhancement with a low angular spread
PCT/US2018/047710 WO2019046093A1 (en) 2017-08-31 2018-08-23 SYSTEMS AND METHODS FOR ENHANCED ION ENERGY IMPROVEMENT WITH LOW ANGULAR SIZE

Publications (1)

Publication Number Publication Date
KR20200038316A true KR20200038316A (ko) 2020-04-10

Family

ID=65437643

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207009234A KR20200038316A (ko) 2017-08-31 2018-08-23 저 각도 확산과 함께 피크 이온 에너지 향상을 달성하기 위한 시스템들 및 방법들

Country Status (7)

Country Link
US (5) US10395894B2 (ko)
JP (2) JP7229232B2 (ko)
KR (1) KR20200038316A (ko)
CN (1) CN111295731B (ko)
SG (1) SG11202001658YA (ko)
TW (2) TWI803513B (ko)
WO (1) WO2019046093A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022115157A1 (en) * 2020-11-24 2022-06-02 Mks Instruments, Inc. Apparatus and tuning method for mitigating rf load impedance variations due to periodic disturbances

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US10395894B2 (en) 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
KR102550393B1 (ko) * 2017-10-25 2023-06-30 삼성전자주식회사 플라즈마 처리 장치 및 이를 이용한 반도체 장치의 제조 방법
US10679825B2 (en) * 2017-11-15 2020-06-09 Lam Research Corporation Systems and methods for applying frequency and match tuning in a non-overlapping manner for processing substrate
TW202329762A (zh) 2017-11-17 2023-07-16 新加坡商Aes 全球公司 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
TWI767088B (zh) 2017-11-17 2022-06-11 新加坡商Aes全球公司 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
EP3711080B1 (en) * 2017-11-17 2023-06-21 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
US10714319B2 (en) * 2018-02-21 2020-07-14 Applied Materials, Inc. Apparatus and methods for removing contaminant particles in a plasma process
US10224183B1 (en) * 2018-03-21 2019-03-05 Lam Research Corporation Multi-level parameter and frequency pulsing with a low angular spread
US11398387B2 (en) * 2018-12-05 2022-07-26 Lam Research Corporation Etching isolation features and dense features within a substrate
US11361947B2 (en) 2019-01-09 2022-06-14 Tokyo Electron Limited Apparatus for plasma processing and method of etching
CN111524782B (zh) 2019-02-05 2023-07-25 东京毅力科创株式会社 等离子体处理装置
WO2020185353A1 (en) * 2019-03-13 2020-09-17 Applied Materials, Inc. Plasma ignition circuit
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
US11315757B2 (en) * 2019-08-13 2022-04-26 Mks Instruments, Inc. Method and apparatus to enhance sheath formation, evolution and pulse to pulse stability in RF powered plasma applications
US11545341B2 (en) 2019-10-02 2023-01-03 Samsung Electronics Co., Ltd. Plasma etching method and semiconductor device fabrication method including the same
JP7285377B2 (ja) * 2019-12-24 2023-06-01 イーグル ハーバー テクノロジーズ,インク. プラズマシステム用ナノ秒パルサrf絶縁
KR20220010648A (ko) 2020-07-16 2022-01-26 삼성전자주식회사 플라즈마 식각 장치, 플라즈마 식각 방법 및 그를 포함하는 반도체 소자의 제조 방법
US11848176B2 (en) * 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
WO2022079211A1 (en) 2020-10-16 2022-04-21 Adc Therapeutics Sa Glycoconjugates
TW202226899A (zh) * 2020-12-22 2022-07-01 荷蘭商Asm Ip私人控股有限公司 具匹配器的電漿處理裝置
KR20230133339A (ko) 2021-01-29 2023-09-19 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 소스 고주파 전력의 소스 주파수를제어하는 방법
WO2022177846A1 (en) * 2021-02-22 2022-08-25 Advanced Energy Industries, Inc. Integrated control of a plasma processing system
US20230170194A1 (en) * 2021-11-29 2023-06-01 Applied Materials, Inc. Ion energy control on electrodes in a plasma reactor
US20230187176A1 (en) * 2021-12-15 2023-06-15 Applied Materials, Inc. Auxiliary plasma source for robust ignition and restrikes in a plasma chamber
WO2023132300A1 (ja) * 2022-01-07 2023-07-13 東京エレクトロン株式会社 プラズマ処理装置、電源システム、制御方法、プログラム、及び記憶媒体
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11996274B2 (en) * 2022-04-07 2024-05-28 Mks Instruments, Inc. Real-time, non-invasive IEDF plasma sensor
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply
WO2024091796A1 (en) * 2022-10-25 2024-05-02 Lam Research Corporation Systems and methods for controlling a pulse width of a square pulse waveform

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3112610B2 (ja) * 1994-02-22 2000-11-27 東京エレクトロン株式会社 プラズマ発生装置
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US6222718B1 (en) 1998-11-12 2001-04-24 Lam Research Corporation Integrated power modules for plasma processing systems
KR100712124B1 (ko) 2005-01-18 2007-04-27 삼성에스디아이 주식회사 용량결합형 플라즈마 처리 장치
DE102006052061B4 (de) * 2006-11-04 2009-04-23 Hüttinger Elektronik Gmbh + Co. Kg Verfahren zur Ansteuerung von zumindest zwei HF-Leistungsgeneratoren
KR101353684B1 (ko) * 2006-11-14 2014-01-20 엘지전자 주식회사 플라즈마 발생장치 및 방법
JP5426811B2 (ja) * 2006-11-22 2014-02-26 パール工業株式会社 高周波電源装置
JP5058909B2 (ja) * 2007-08-17 2012-10-24 株式会社半導体エネルギー研究所 プラズマcvd装置及び薄膜トランジスタの作製方法
JP5395491B2 (ja) * 2009-03-31 2014-01-22 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US8404598B2 (en) * 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9117767B2 (en) * 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
US8692467B2 (en) * 2011-07-06 2014-04-08 Lam Research Corporation Synchronized and shortened master-slave RF pulsing in a plasma processing chamber
JP5867701B2 (ja) * 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
US9462672B2 (en) * 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9171699B2 (en) * 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US10157729B2 (en) * 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US8952765B2 (en) * 2012-03-23 2015-02-10 Mks Instruments, Inc. System and methods of bimodal automatic power and frequency tuning of RF generators
US9082590B2 (en) 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
TWI599272B (zh) * 2012-09-14 2017-09-11 蘭姆研究公司 根據三個或更多狀態之功率及頻率調整
US9232628B2 (en) * 2013-02-20 2016-01-05 Varian Semiconductor Equipment Associates, Inc. Method and system for plasma-assisted ion beam processing
JP6277055B2 (ja) * 2014-04-25 2018-02-07 株式会社日立ハイテクノロジーズ プラズマ処理装置
TWI735912B (zh) * 2014-08-22 2021-08-11 美商蘭姆研究公司 在一狀態期間中的次脈動用之電漿系統、電漿工具、射頻產生器、控制器、及方法
US9536749B2 (en) * 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US9595424B2 (en) * 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US9761459B2 (en) * 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
TWI559821B (zh) * 2015-09-25 2016-11-21 紫焰科技股份有限公司 獲得穩定電漿源之方法
CN106609362B (zh) * 2015-10-27 2020-12-01 奥塔装置公司 用于半导体化学气相沉积反应器的平铺式喷头
US10395894B2 (en) 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022115157A1 (en) * 2020-11-24 2022-06-02 Mks Instruments, Inc. Apparatus and tuning method for mitigating rf load impedance variations due to periodic disturbances
US11527384B2 (en) 2020-11-24 2022-12-13 Mks Instruments, Inc. Apparatus and tuning method for mitigating RF load impedance variations due to periodic disturbances

Also Published As

Publication number Publication date
JP7471478B2 (ja) 2024-04-19
US11049693B2 (en) 2021-06-29
SG11202001658YA (en) 2020-03-30
WO2019046093A1 (en) 2019-03-07
TW201923816A (zh) 2019-06-16
US10395894B2 (en) 2019-08-27
JP2020532859A (ja) 2020-11-12
US20210313149A1 (en) 2021-10-07
US20190362942A1 (en) 2019-11-28
US20190066979A1 (en) 2019-02-28
US20230124201A1 (en) 2023-04-20
TWI803513B (zh) 2023-06-01
US11915912B2 (en) 2024-02-27
CN111295731A (zh) 2020-06-16
JP7229232B2 (ja) 2023-02-27
TW202331785A (zh) 2023-08-01
CN111295731B (zh) 2024-04-09
US11569067B2 (en) 2023-01-31
US20240162005A1 (en) 2024-05-16
JP2023062052A (ja) 2023-05-02

Similar Documents

Publication Publication Date Title
KR20200038316A (ko) 저 각도 확산과 함께 피크 이온 에너지 향상을 달성하기 위한 시스템들 및 방법들
US10755895B2 (en) Ion energy control by RF pulse shape
US20240030000A1 (en) Systems and methods for reverse pulsing
JP7441819B2 (ja) 制御されたエッチングのための単一エネルギイオン生成
US10340915B2 (en) Frequency and match tuning in one state and frequency tuning in the other state
KR20210010946A (ko) 방사상 에칭 균일도의 능동 제어
US20230005717A1 (en) Multi-state pulsing for achieving a balance between bow control and mask selectivity
US20220319856A1 (en) Etching isolation features and dense features within a substrate
US10304662B2 (en) Multi regime plasma wafer processing to increase directionality of ions

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal