JP2020532859A - 小さい角発散でピークイオンエネルギ増強を達成するためのシステムおよび方法 - Google Patents

小さい角発散でピークイオンエネルギ増強を達成するためのシステムおよび方法 Download PDF

Info

Publication number
JP2020532859A
JP2020532859A JP2020511459A JP2020511459A JP2020532859A JP 2020532859 A JP2020532859 A JP 2020532859A JP 2020511459 A JP2020511459 A JP 2020511459A JP 2020511459 A JP2020511459 A JP 2020511459A JP 2020532859 A JP2020532859 A JP 2020532859A
Authority
JP
Japan
Prior art keywords
state
generator
signal
during
power parameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020511459A
Other languages
English (en)
Other versions
JP7229232B2 (ja
JP2020532859A5 (ja
Inventor
シューブ・ジュリーン
ウー・イン
パターソン・アレックス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2020532859A publication Critical patent/JP2020532859A/ja
Publication of JP2020532859A5 publication Critical patent/JP2020532859A5/ja
Priority to JP2023020512A priority Critical patent/JP7471478B2/ja
Application granted granted Critical
Publication of JP7229232B2 publication Critical patent/JP7229232B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Electron Sources, Ion Sources (AREA)
  • Electron Tubes For Measurement (AREA)
  • Other Investigation Or Analysis Of Materials By Electrical Means (AREA)

Abstract

【解決手段】イオンの角発散を減少させつつピークイオンエネルギを増大させるためのシステムおよび方法が開示されている。それらのシステムの1つにおいて、プラズマチャンバに関連する上側電極に接続されている複数の高周波(RF)発生器は、RF発生器のパルス化のために、2つの異なる状態(2つの異なる周波数レベルなど)で動作される。RF発生器のパルス化は、状態の内の一方の間のイオンエネルギを状態の内の他方に遷移させることで他方の状態中のイオンエネルギを増大させて、基板の処理速度をさらに速くすることを容易にする。【選択図】図1A

Description

本実施形態は、小さい角発散でピークイオンエネルギ増強を達成するためのシステムおよび方法に関する。
一部のプラズマ処理システムでは、プラズマチャンバ内の電極に高周波(RF)信号が供給される。RF信号は、プラズマチャンバ内でプラズマを生成するために用いられる。プラズマは、様々な動作、例えば、下側電極上に設置された基板の洗浄、基板のエッチングなどに用いられる。プラズマを用いた基板処理中、RF信号は、連続的である。
本開示に記載の実施形態は、このような背景において生じたものである。
本開示の実施形態は、小さい低角発散でピークイオンエネルギ増強を達成するためのシステム、装置、方法、および、コンピュータプログラムを提供する。本実施形態は、処理、装置、システム、デバイス、または、コンピュータ読み取り可能な媒体に記録された方法など、種々の形態で実施できることを理解されたい。以下に、いくつかの実施形態を記載する。
いくつかの実施形態において、本明細書に記載のシステムおよび方法は、供給される高周波(RF)バイアス電圧またはRFバイアス電力を増大または実質的に増大させることなしにイオンエネルギを増強し、ピークエネルギで狭い角発散を生み出す。ピークエネルギでの狭い角発散は、高アスペクト比のエッチングを達成するために用いられる。
本明細書に記載のシステムおよび方法は、パルス周期中に高周波数レベルおよび低周波数レベルを利用する。高周波数レベルは、高周波RF発生器(27メガヘルツRF発生器または60メガヘルツRF発生器など)によって利用され、低周波数レベルは、別の低周波RF発生器(2メガヘルツRF発生器または13.56メガヘルツRF発生器または400キロヘルツRF発生器など)によって利用される。システムおよび方法は、RF信号の非パルス化(連続波RF信号など)で達成されるピークイオンエネルギと比べて、ピークイオンエネルギを増大させつつ(35%超の増大など)、厳しい(狭い、など)イオン角を容易にする利点を有する。厳しいイオン角およびピークイオンエネルギの増大は、低周波数および高周波数の同期したRF電圧パルスの結果として達成される。高周波数レベルの開始時に、プラズマイオンは、以前の低周波数レベル(前の低周波数レベル、など)から電圧ブーストを受ける。例えば、低周波数レベルからの電圧量が、低周波数レベルに続く高周波数レベルへの電圧量に追加される。これにより、同じRFバイアス電圧では、本明細書に記載のシステムおよび方法のイオンエネルギ・角度分布関数(IEADF)におけるピークエネルギが、連続波技術と比べて高くなる。プラズマシースのシース電圧が、以下の式(1)に基づいて充電および放電する。
ここで、VLowは、低周波数レベルを有するRF信号の電圧レベルであり、VHighは、高周波数レベルを有するRF信号の電圧レベルであり、VHigh_Peakは、低から高周波数レベルへの遷移から時間t後の最終電圧レベルであり、以前の低周波数レベルの寄与分が、現在の高周波数電圧レベルに追加されている。さらに、式(1)において、expは、指数関数であり、Rは、キャパシタとして機能するプラズマシースの出力での抵抗であり、RCは、キャパシタの時定数であり、Cは、キャパシタの静電容量である。高周波数レベルの開始時に、以前の低周波数レベルからの電圧レベル故に、連続波技術よりも高い電圧で、プラズマイオンが、プラズマシースを通して移動し、基板に衝突する。以前の低周波数レベルからの電圧レベルは、高周波数レベルの電圧レベルに追加される。プラズマシース電圧レベルのこの上昇により、以下に示す式(2)の分母が大きくなる。
ここで、Vは、プラズマシースの電圧であり、Tは、シース端でのイオン温度であり、eは、単一の電子が持つ電荷量であり、tanは、正接関数である。式(2)の分母が大きくなると、イオン角σθが狭くなる。また、低周波数レベルは、抵抗Rを増大させ、抵抗Rの増大は、時定数RCを増大させる。時定数RCが低周波数レベル中に増大すると、パルス化なし(連続波モード)で単一の周波数が用いられた場合と比べて、基板におけるバイアス電圧がさらにいっそう増強される。高および低周波数レベルの間でのパルス化は、バイアスRF発生器システムによって供給されるバイアス電圧を増大させることによって基板におけるバイアス電圧を増大させるシステムと比べて、所定の量(35〜50%など)のエッチング速度の上昇と、所定の量(10%など)のチャネルのクリティカルディメンションの改善とを実現する。クリティカルディメンションの改善は、より真っ直ぐなエッチングフィーチャが存在する場合に達成される。
さらに、本明細書に記載のシステムおよび方法は、低電力パラメータレベルからの電力量または電圧量を高電力パラメータレベルの電力量に寄与させることによって、バイアス電圧またはバイアス電力を実質的に増大させることなしにイオンエネルギを増強し、ピークエネルギで狭い角発散を生み出す。本明細書に記載のシステムおよび方法は、パルス周期の高状態中に高電力パラメータレベルを利用し、低状態中に低電力パラメータレベルを利用する。低電力パラメータレベルは、高状態中の電力パラメータのレベルのある割合である。高電力パラメータレベルおよび低電力パラメータレベルは、同じRF発生器(高周波RF発生器または低周波RF発生器など)によって供給される。したがって、高状態の開始時に、キャパシタとして機能するプラズマシースは、低電力パラメータレベルの以前の低電圧または電力を保持し、これが、後に、高電力パラメータレベルの高電圧または高電力に追加されて、IEADFにおけるより高いピークエネルギを引き起こす。ピークエネルギは、同じバイアス電圧では、高状態および低状態中に、連続波技術と比べて高い。プラズマシースの電圧は、式(1)に基づいて、充電および放電する。
高電力パラメータレベルの開始時に、プラズマイオンは、連続波技術よりも高い電圧で、プラズマシースを通して移動し、基板に衝突する。以前の低電力パラメータレベル(前の低電力パラメータレベル、など)からの電圧量または電力量が、高電力パラメータレベルへ寄与分を追加する。高電力パラメータレベルの電圧の追加は、プラズマシースの電圧を増大させて、さらに式(2)の分母を大きくする。式(2)の分母が大きくなると、イオン角が狭くなる。また、連続波技術と異なり、低電力パラメータレベルから高電力パラメータレベルへの遷移中に、プラズマシースが最初は薄いので、プラズマイオンは、衝突および散乱が少なく、インエネルギおよびより厳しいイオン角を保つ。衝突および散乱は、連続波モードでの厚いシースに比べて少なくなる。高電力パラメータレベル中にピークエネルギでエネルギ増強されたイオンは、連続波モードと比べて、高アスペクト比エッチングで利用される厳しいイオン角を維持する。また、低電力パラメータレベル中に、シース端でのイオン温度Tが低いので、低電力パラメータレベルから高電力パラメータレベルへの遷移中に、イオン角発散が、CW技術と比べて狭くなる。これらの要因すべてを併せて、IEDFにおけるピークエネルギを増強し、このピークエネルギでのイオン角を絞る。さらに、低電力パラメータレベルと高電力パラメータレベルとの間でのパルス化により、連続波技術と比べて、マスクが強く腐食されないようになる。
一実施形態において、エッチング動作中に、イオンエネルギを増大させ、基板の表面に向けられるイオンの角発散を減少させるように、プラズマチャンバを動作させるための方法が開示されている。方法は、プラズマチャンバの動作を駆動するためのパルス信号を受信することを備える。パルス信号は、第1状態および第2状態を含む2つの状態を有する。方法は、さらに、第1状態中に一次周波数レベルで一次RF発生器を動作させ、第2状態中に一次RF発生器をオフ状態に維持することを備える。第1状態中の一次RF発生器の動作は、基板の上に形成されるプラズマシースの増大した電荷を生み出す。増大した電荷は、プラズマシースの厚さを増大させる。方法は、さらに、第2状態中に二次周波数レベルで二次RF発生器を動作させ、第1状態中に二次RF発生器をオフ状態に維持することを備える。第2状態中の二次RF発生器の動作は、第1状態中に生み出されたプラズマシースの増大した電荷の少なくとも一部を、第2状態中に生成されるイオンエネルギを増強するための追加電力として利用する。追加電力は、基板の表面に向けられた時にイオンの角発散を減少させる。一次および二次RF発生器は、インピーダンス整合回路を介して、プラズマチャンバに関連する上部電極に接続されている。方法は、第1および第2状態の複数サイクルにわたってエッチング動作を強化するために、パルス信号に従って、第1および第2状態で一次および二次RF発生器を動作させ続けることを備える。
様々な実施形態において、エッチング動作中に、イオンエネルギを増大させ、基板の表面に向けられるイオンの角発散を減少させるように、プラズマチャンバを動作させるための方法が開示されている。方法は、プラズマチャンバの動作を駆動するためのパルス信号を受信することを備える。方法は、さらに、第1状態中に第1一次周波数レベルおよび第2状態中に第2一次周波数レベルで、一次RF発生器を動作させることを備える。第1状態中の一次RF発生器の動作は、基板の上に形成されるプラズマシースの増大した電荷を生み出す。方法は、さらに、第1状態中に第1二次周波数レベルおよび第2状態中に第2二次周波数レベルで、二次RF発生器を動作させることを備える。第2状態中の二次RF発生器の動作は、第1状態中に生み出されたプラズマシースの増大した電荷の少なくとも一部を、第2状態中に生成されるイオンエネルギを増強するための追加電力として利用する。第1一次周波数レベル、第2一次周波数レベル、第1二次周波数レベル、第2二次周波数レベルの各々は、0ではない。例えば、一次および二次RF発生器のいずれも、第1および第2状態中にオフではない。方法は、第1および第2状態の複数サイクルにわたってエッチング動作を強化するために、パルス信号に従って、第1および第2状態で一次および二次RF発生器を動作させ続けることを備える。
いくつかの実施形態において、エッチング動作中に、イオンエネルギを増大させ、基板の表面に向けられるイオンの角発散を減少させるように、プラズマチャンバを動作させるためのシステムが開示されている。システムは、一次RF信号を生成する一次電源を有する一次RF発生器を備える。システムは、さらに、二次RF信号を生成する二次電源を有する二次RF発生器を備える。システムは、さらに、一次電源および二次電源に接続されているインピーダンス整合回路網を備える。インピーダンス整合回路網は、一次RF信号および二次RF信号を受信して、変調されたRF信号を生成する。システムは、インピーダンス整合回路網に接続されている上部電極を有するプラズマチャンバを備える。プラズマチャンバは、変調されたRF信号を受信する。一次RF発生器は、1または複数のプロセッサを備える。一次RF発生器の1または複数のプロセッサは、パルス信号を受信して、プラズマチャンバの動作を駆動する。1または複数のプロセッサは、第1状態中に一次RF発生器を一次周波数レベルで動作させ、第2状態中に一次RF発生器をオフ状態に維持する。第1状態中の一次RF発生器の動作は、基板の上に形成されるプラズマシースの増大した電荷を生み出す。増大した電荷は、プラズマシースの厚さを増大させる。二次RF発生器は、パルス信号を受信するよう構成されている1または複数のプロセッサを備える。二次RF発生器の1または複数のプロセッサは、第2状態中に二次RF発生器を二次周波数レベルで動作させ、第1状態中に二次RF発生器をオフ状態に維持する。第2状態中の二次RF発生器の動作は、第1状態中に生み出されたプラズマシースの増大した電荷の少なくとも一部を、第2状態中に生成されるイオンエネルギを増強するための追加電力として利用する。追加電力は、基板の表面に向けられた時にイオンの角発散を減少させる。一次および二次RF発生器は、第1および第2状態の複数サイクルにわたってエッチング動作を強化するために、パルス信号に従って、第1および第2状態での動作を続ける。
添付の図面を参照して行う以下の詳細な説明から、別の態様が明らかになる。
実施形態は、添付の図面に関連して行う以下の説明を参照することによって最も良好に理解できる。
低い角発散でピークイオンエネルギ増強を達成するための周波数レベルの2状態パルス化を説明するために、プラズマツールの一実施形態を示すブロック図。
一方の状態がオフ状態である周波数レベルの2状態パルス化を説明するために、グラフの実施形態を示す図。
両方の状態が非ゼロ状態である周波数レベルの2状態パルス化を説明するために、グラフの実施形態を示す図。
低い角発散でピークイオンエネルギ増強を達成するための周波数レベルの3状態パルス化を説明するために、プラズマツールの一実施形態を示すブロック図。
周波数レベルの3状態パルス化を説明するために、グラフの実施形態を示す図。
周波数レベルの3状態パルス化を説明するために、グラフの実施形態を示す図。
周波数レベルの3状態パルス化を説明するために、グラフの実施形態を示す図。
周波数パルス化RF発生器によって生成されたRF信号の周波数レベルのパルス化で、基板の表面に入射するプラズマイオンのピークエネルギが増大することを説明するために、複数のグラフの実施形態を示す図。
バイアスRF発生器によって供給されるバイアス電圧の上昇と共に、プラズマイオンの角度分布が小さくなることを説明するために、グラフの一実施形態を示す図。
バイアス電圧の増大によって達成されるのと同等の角発散が、RF発生器の周波数レベルをパルス化することによって達成されることを説明するために、グラフの一実施形態を示す図。
基板内に形成されたチャネルのクリティカルディメンション(CD)の差を説明するために、グラフの実施形態を示す図。
低い角発散でピークイオンエネルギ増強を達成するための電力パラメータレベルのパルス化を説明するために、プラズマツールの一実施形態を示すブロック図。
図7AのプラズマツールのRF発生器によって生成されるRF信号の電力パラメータのパルス化を説明するために、グラフの実施形態を示す図。
バイアス電圧の上昇と共に、プラズマイオンの垂直方向性が増すことを説明するために、複数のグラフの実施形態を示す図。
RF発生器によって生成されたRF信号の電力パラメータレベルのパルス化で、基板の表面に入射するプラズマイオンのピークエネルギが増大することを説明するために、複数のグラフの実施形態を示す図。
図4のグラフの一実施形態を示す図。
バイアス電圧の増大によって達成されるのと同等の角発散が、RF発生器の電力パラメータレベルをパルス化することによって達成されることを説明するために、グラフの一実施形態を示す図。
電力パラメータレベルのパルス化と連続波モードの適用との間での達成されるクリティカルディメンションの差を説明するために、グラフの実施形態を示す図。
低い角発散でピークイオンエネルギ増強を達成するためのバイアスRF発生器の電力パラメータレベルのパルス化を説明するために、プラズマツールの一実施形態を示すブロック図。
図13AのバイアスRF発生器によって生成されるRF信号の電力パラメータのパルス化を説明するために、グラフの実施形態を示す図。
以下の実施形態は、低い角発散でピークイオンエネルギ増強を達成するためのシステムおよび方法を記載する。本実施形態は、これらの具体的な詳細事項の一部または全てがなくとも実施可能であることが明らかである。また、本実施形態が不必要に不明瞭となることを避けるため、周知の処理動作の詳細な説明は省略した。
図1Aは、低い角発散でピークイオンエネルギ増強を達成するためのプラズマツール100の一実施形態を示すブロック図である。プラズマツール100は、高周波(RF)発生器RFGxと、別のRF発生器RFGyと、ホストコンピュータ116と、インピーダンス整合回路網(IMN)104と、プラズマチャンバ108と、別のIMN112と、1または複数のバイアスRF発生器を備えるバイアスRF発生器システム114と、を備える。プラズマツール100は、さらに、RF発生器システム114をIMN112に接続するRFケーブルシステム137と、IMN112をプラズマチャンバ108のチャック110に接続するRF電送線路139と、を備える。RF伝送線路139は、絶縁体によって取り囲まれた金属ロッドを備えており、絶縁体は、シースによってさらに囲まれている。金属ロッドは、RFストラップを介してシリンダに接続されており、シリンダは、チャック110に接続されている。RF発生器RFGxの例は、400キロヘルツ(kHz)RF発生器、2メガヘルツ(MHz)RF発生器、または、13.56MHzRF発生器など、低周波RF発生器を含む。RF発生器RFGyの例は、13.56MHz、27MHz、または、60MHzRF発生器など、高周波RF発生器を含む。RF発生器RFGyは、RF発生器RFGxよりも高い周波数で動作する。ホストコンピュータ116の例は、デスクトップコンピュータ、ラップトップコンピュータ、スマートフォン、または、タブレットなどを含む。
RFケーブルシステム137は、バイアスRF発生器システム114をIMN112に接続する1または複数のRFケーブルを備える。複数のRFケーブルがRFケーブルシステム137内に含まれる場合、それらのRFケーブルは、IMN112の異なる入力に接続される。例えば、或るRFケーブルは、バイアスRF発生器システム114のRF発生器の出力をIMN112の入力に接続し、別のRFケーブルは、バイアスRF発生器システム114の別のRF発生器の出力をIMN112の別の入力に接続する。
IMN112は、IMN112の出力に接続されている負荷のインピーダンスをIMN112の1または複数の入力に接続されているソースのインピーダンスと整合するために、電気回路構成要素(例えば、インダクタ、キャパシタ、抵抗器、または、それらの2以上の組みあわせ、など)を備える。例えば、IMN112は、IMN112の出力に接続されているプラズマチャンバ108およびRF伝送線路139のインピーダンスを、IMN112の1または複数の入力に接続されているバイアスRF発生器システム114およびRFケーブルシステム137のインピーダンスと整合する。一実施形態において、IMN112の電気回路構成要素の内の1または複数は、IMN112の出力に接続されている負荷のインピーダンスと、IMN112の1または複数の入力に接続されているソースのインピーダンスとの整合を容易にするように調整される。IMN112は、ソースに向かう方向(負荷からソースに向かう方向など)にRF電力が反射される可能性を低減する。
RF発生器RFGxは、デジタル信号プロセッサDSPxと、電力パラメータコントローラPWRS1xと、別の電力パラメータコントローラPWRS2xと、自動周波数調整器(AFT)AFTS1xと、別の自動周波数調整器AFTS2xと、RF電源Psxと、ドライバシステム118と、を備える。本明細書で用いられるRF電源の例は、RFオシレータを含む。例示すると、RF電源は、高周波の振動信号(正弦波など)を生成する電子回路である。別の例として、RF電源は、電圧が水晶振動子の近くまたはその上の電極に印加された時に所定の周波数で変形される水晶振動子を有する水晶発振器である。本明細書で用いられるプロセッサは、特定用途向け集積回路(ASIC)、プログラム可能論理デバイス(PLD)、中央処理装置(CPU)、マイクロプロセッサ、または、マイクロコントローラである。本明細書で用いられるコントローラは、特定用途向け集積回路(ASIC)、プログラム可能論理デバイス(PLD)、中央処理装置(CPU)、マイクロプロセッサ、マイクロコントローラ、または、プロセッサである。本明細書で用いられるドライバシステムの例は、1または複数のトランジスタを含む。
プラズマチャンバ108は、誘電体窓120を備えており、誘電体窓120は、プラズマチャンバ108の上壁の一部を形成する。誘電体窓120は、プラズマチャンバ108の内部空間から上側電極106を分離する。誘電体窓120は、プラズマチャンバ108の空間内で上側電極106によって誘導される電場の効果を制御(低減など)する。上側電極106の一例は、トランス結合プラズマ(TCP)コイルを含み、TCPコイルは、1または複数のコイルターンを備える。例えば、各コイルターンは、同じ水平面内にある。別の例として、各コイルターンは、異なる水平面内にある。上側電極106は、誘電体窓120を介してプラズマチャンバ108の内部空間に誘導結合される。誘電体窓120の製造に用いられる材料の例は、石英またはセラミックなどを含む。いくつかの実施形態において、プラズマチャンバ108は、さらに、他の構成要素(図示せず)、例えば、チャック110を取り囲む下側誘電体リング、下側誘電体リングを取り囲む下側電極延長部、下側プラズマ排除区域(PEZ)リングなど、を備える。上側電極106は、下側電極を備えたチャック110の反対側に向かい合って配置される。例えば、チャック110は、下側電極の上部に取り付けられたセラミック層と、下側電極の底部に取り付けられた設備プレートとを備える。下側電極は、金属(例えば、陽極酸化アルミニウム、アルミニウム合金など)で形成される。また、上側電極106は、金属で形成される。
基板122(例えば、半導体ウエハ)は、チャック110の上面の上に支持される。集積回路(例えば、ASIC、PLDなど)が、基板122上に製造され、それらの集積回路は、様々なデバイス、例えば、携帯電話、タブレット、スマートフォン、コンピュータ、ラップトップ、ネットワーク装置などで利用される。
1または複数の流入ポート(プラズマチャンバ108の側壁内に形成されるなどする)は、中央ガス供給部(図示せず)に接続されている。中央ガス供給部は、ガス供給源(図示せず)から1または複数の処理ガスを受け入れる。1または複数の処理ガスの例としては、酸素含有ガス(Oなど)が挙げられる。1または複数の処理ガスの他の例は、フッ素含有ガス、例えば、テトラフルオロメタン(CF)、六フッ化硫黄(SF)、ヘキサフルオロエタン(C)などを含む。
DSPxは、電力パラメータコントローラPWRS1xおよびPWRS2xと、自動周波数調整器AFTS1xおよびAFTS2xと、に接続されている。さらに、電力パラメータコントローラPWRS1xおよびPWRS2x、ならびに、自動周波数調整器AFTS1xおよびAFTS2xは、ドライバシステム118に接続されている。ドライバシステム118は、RF電源Psxに接続されている。RF電源Psxは、RF発生器RFGxの出力を介して、IMN104の入力に接続されているRFケーブル124に接続されている。
IMN104の出力は、RF伝送ケーブル126を介して上側電極106の端部E1に接続されている。上側電極106は、その反対側の端部(端部E2など)で接地電位に接続される。RF伝送ケーブル126の一例は、RFケーブルである。
RF発生器RFGyは、DSPyと、電力パラメータコントローラPWRS1yと、別の電力パラメータコントローラPWRS2yと、自動周波数調整器AFTS1yと、別の自動周波数調整器AFTS2yと、を備える。RF発生器RFGyは、さらに、RF電源Psyおよびドライバシステム128を備える。DSPyは、電力パラメータコントローラPWRS1yおよびPWRS2yと、自動周波数調整器AFTS1yおよびAFTS2yと、に接続されている。さらに、電力パラメータコントローラPWRS1yおよびPWRS2y、ならびに、自動周波数調整器AFTS1yおよびAFTS2yは、ドライバシステム128に接続されている。ドライバシステム128は、RF電源Psyに接続されている。RF電源Psyは、RF発生器RFGyの出力を介して、IMN104の入力に接続されているRFケーブル130に接続されている。RFケーブル130が接続されているIMN104の他の入力は、RFケーブル124が接続されている入力とは異なる。
IMN104は、IMN104の出力に接続されている負荷のインピーダンスをIMN104の入力に接続されているソースのインピーダンスと整合するために、電気回路構成要素(例えば、インダクタ、キャパシタ、抵抗器、または、それらの2以上の組みあわせ、など)を備える。例えば、IMN104は、IMN104の出力に接続されているプラズマチャンバ108およびRF伝送ケーブル126のインピーダンスを、RF発生器RFGx、RFケーブル124、RF発生器RFGy、および、RFケーブル130のインピーダンスと整合する。一実施形態において、IMN104の電気回路構成要素の内の1または複数は、IMN104の出力に接続されている負荷のインピーダンスと、IMN104の入力に接続されているソースのインピーダンスとの整合を容易にするように調整される。IMN104は、RF電力が、ソースに向かう方向に(例えば、負荷からソースに向かって)反射される可能性を低減する。
ホストコンピュータ116は、プロセッサ132およびメモリデバイス134を備える。プロセッサ132は、メモリデバイス134に接続されている。メモリデバイスの例としては、ランダムアクセスメモリ(RAM)およびリードオンリーメモリ(ROM)が挙げられる。例を挙げると、メモリデバイスは、フラッシュメモリ、ハードディスク、または、ストレージデバイスなどである。メモリデバイスは、コンピュータ読み取り可能な媒体の一例である。プロセッサ132は、ケーブル136を介してDSPxに接続されると共に、ケーブル138を介してDSPyに接続されている。ケーブル136またはケーブル138の例は、シリアルにデータを転送するために用いられるケーブル、パラレルにデータを転送するために用いられるケーブル、および、ユニバーサルシリアルバス(USB)プロトコルを適用することによってデータを転送するために用いられるケーブルを含む。
プロセッサ132の制御回路は、パルス信号102(例えば、トランジスタ−トランジスタロジック(TTL)信号、デジタルパルス信号、クロック信号、デューティサイクルを持つ信号、など)を生成するために用いられる。パルス信号102を生成するために用いられるプロセッサ132の制御回路の例は、TTL回路を含む。
パルス信号102は、複数の状態S1およびS2を備える。例えば、パルス信号102の状態S1は、パルス信号102のサイクルの一部の間にロジックレベル「1」を有し、サイクルの別の部分の間にロジックレベル「0」を有する。様々な実施形態において、状態S1およびS2は、パルス信号102のサイクル中に一回実行され、パルス信号102の複数のサイクルで反復する。例えば、パルス信号102のサイクルが、状態S1およびS2を備え、パルス信号102の別のサイクルが、状態S1およびS2を備える。説明すると、パルス信号102のサイクルの期間の一部の間に、状態S1が実行され、サイクルの残りの期間中に、状態S2が実行される。別の例として、状態S1に対するデューティサイクルは、状態S2のデューティサイクルと同じである。説明すると、パルス信号102の各状態S1およびS2は、50%のデューティサイクルを有する。さらに別の例として、状態S1のデューティサイクルは、状態S2のデューティサイクルと異なる。説明すると、パルス信号102の状態S1は、a%のデューティサイクルを有し、パルス信号102の状態S2は、(100−a)%は、のデューティサイクルを有し、ここで、aは、ゼロより大きい整数である。a%の一例は、10%〜50%の範囲である。a%の別の例は、20%〜40%の範囲である。a%のさらに別の例は、25%である。
様々な実施形態において、プロセッサ132の制御回路の代わりに、クロックソース(例えば、水晶発振器など)が、アナログクロック信号を生成するために用いられ、アナログクロック信号は、アナログデジタル変換器によってパルス信号102と同様のデジタル信号に変換される。例えば、水晶発振器は、水晶発振器の近くの電極に電圧を印加することによって、電界内で振動するように形成されている。様々な実施形態において、プロセッサ132の代わりに、デジタルクロックソースが、パルス信号102を生成する。
プロセッサ132は、メモリデバイス134からのレシピにアクセスする。レシピの例は、状態S1中にRF発生器RFGxに適用される電力パラメータ設定点、状態S2中にRF発生器RFGxに適用される電力パラメータ設定点、状態S1中にRF発生器RFGxに適用される周波数設定点、状態S2中にRF発生器RFGxに適用される周波数設定点、状態S1中にRF発生器RFGyに適用される電力パラメータ設定点、状態S2中にRF発生器RFGyに適用される電力パラメータ設定点、状態S1中にRF発生器RFGyに適用される周波数設定点、状態S2中にRF発生器RFGyに適用される周波数設定点、1または複数の処理ガスの化学、もしくは、それらの組み合わせ、を含む。本明細書で用いられる電力パラメータ設定点の例は、電圧設定点および電力設定点を含む。
プロセッサ132は、ケーブル136を介してDSPxへパルス信号102と共に命令を送信する。ケーブル136を介してDSPxに送信される命令は、パルス信号102に関する情報、状態S1中にRF発生器RFGxに適用される電力パラメータ設定点、状態S2中にRF発生器RFGxに適用される電力パラメータ設定点、状態S1中にRF発生器RFGxに適用される周波数設定点、および、状態S2中にRF発生器RFGxに適用される周波数設定点、を有する。パルス信号102に関する情報は、RF発生器RFGxによって生成されるRF信号がパルス信号102の遷移時間tst1に状態S1から状態S2へ遷移すること、および、RF信号がパルス信号102の遷移時間tst2に状態S2から状態S1へ遷移することを、DSPxに示す。DSPxは、状態S1のための電力パラメータ設定点がパルス信号102の状態S1中に適用され、状態S2のための電力パラメータ設定点がパルス信号102の状態S2中に適用され、状態S1のための周波数設定点がパルス信号102の状態S1中に適用され、状態S2のための周波数設定点がパルス信号102の状態S2中に適用されることを、命令から決定する。さらに、DSPxは、RF発生器RFGxによって生成されるRF信号がパルス信号102の遷移時間tst1に状態S1から状態S2へ遷移すること、および、RF信号がパルス信号102の遷移時間tst2に状態S2から状態S1へ遷移することを、命令およびパルス信号102から決定する。遷移時間tst1およびtst2は、パルス信号102の各サイクルで繰り返す。
パルス信号102のサイクルの遷移時間tst2に、DSPxは、状態S1のための電力パラメータ設定点を電力パラメータコントローラPWRS1xに送信する。同様に、パルス信号102のサイクルの遷移時間tst1に、DSPxは、状態S2のための電力パラメータ設定点を電力パラメータコントローラPWRS2xに送信する。さらに、パルス信号102のサイクルの遷移時間tst2に、DSPxは、状態S1のための周波数設定点を自動周波数調整器AFTS1xに送信する。また、パルス信号102のサイクルの遷移時間tst1に、DSPxは、状態S2のための周波数設定点を自動周波数調整器AFTS2xに送信する。
状態S1のための電力パラメータ設定点を受信すると、電力パラメータコントローラPWRS1xは、状態S1のための電力パラメータ設定点に対応する(例えば、一対一の関係を有する、対応付けられた、つながりのある、など)電流量を決定する。状態S1中にドライバシステム118によって生成される電流量に基づいて、電力パラメータコントローラPWRS1xは、コマンド信号を生成し、そのコマンド信号をドライバシステム118に送信する。状態S1中に、コマンド信号の受信に応答して、ドライバシステム118は、その電流量を有する電流信号を生成して、RF電源Psxに送信する。RF電源Psxは、電流信号の受信後に、状態S1のための電力パラメータ設定点を有するRF信号を生成し、RF発生器RFGxの出力およびRFケーブル124を介してIMN104の入力にそのRF信号を供給する。状態S1のための電力パラメータ設定点は、状態S1中にRF発生器RFGxのRF電源Psxによって維持される。
同様に、状態S2のための電力パラメータ設定点を受信すると、電力パラメータコントローラPWRS2xは、状態S2のための電力パラメータ設定点に対応する電流量を決定する。状態S2中にドライバシステム118によって生成される電流量に基づいて、電力パラメータコントローラPWRS2xは、コマンド信号を生成し、そのコマンド信号をドライバシステム118に送信する。状態S2中に、コマンド信号の受信に応答して、ドライバシステム118は、その電流量を有する電流信号を生成して、RF電源Psxに送信する。RF電源Psxは、電流信号の受信後に、状態S2のための電力パラメータ設定点を有するRF信号を生成し、RF発生器RFGxの出力およびRFケーブル124を介してIMN104の入力にそのRF信号を供給する。状態S2のための電力パラメータ設定点は、状態S2中にRF発生器RFGxのRF電源Psxによって維持される。
さらに、状態S1のための周波数設定点を受信すると、自動周波数調整器AFTS1xは、状態S1のための周波数設定点に対応する電流量を決定する。状態S1中にドライバシステム118によって生成される電流量に基づいて、自動周波数調整器AFTS1xは、コマンド信号を生成し、そのコマンド信号をドライバシステム118に送信する。状態S1中に、コマンド信号の受信に応答して、ドライバシステム118は、その電流量を有する電流信号を生成して、RF電源Psxに送信する。RF電源Psxは、電流信号の受信後に、状態S1のための周波数設定点を有するRF信号を生成し、RF発生器RFGxの出力およびRFケーブル124を介してIMN104の入力にそのRF信号を供給する。状態S1のための周波数設定点は、状態S1中にRF電源Psxによって維持される。状態S1のための電力パラメータ設定点および状態S1のための周波数設定点を有するRF信号は、状態S1中にRF発生器RFGxによって生成されるRF信号である。
同様に、状態S2のための周波数設定点を受信すると、自動周波数調整器AFTS2xは、状態S2のための周波数設定点に対応する電流量を決定する。状態S2中にドライバシステム118によって生成される電流量に基づいて、自動周波数調整器AFTS2xは、コマンド信号を生成し、そのコマンド信号をドライバシステム118に送信する。状態S2中に、コマンド信号の受信に応答して、ドライバシステム118は、その電流量を有する電流信号を生成して、RF電源Psxに送信する。RF電源Psxは、電流信号の受信後に、状態S2のための周波数設定点を有するRF信号を生成し、RF発生器RFGxの出力およびRFケーブル124を介してIMN104の入力にそのRF信号を供給する。状態S2のための周波数設定点は、状態S2中にRF電源Psxによって維持される。状態S2のための電力パラメータ設定点および状態S2のための周波数設定点を有するRF信号は、状態S2中にRF発生器RFGxによって生成されるRF信号である。
プロセッサ132は、ケーブル138を介してDSPyへパルス信号102と共に命令を送信する。ケーブル138を介してDSPyに送信される命令は、パルス信号102に関する情報、状態S1中にRF発生器RFGyに適用される電力パラメータ設定点、状態S2中にRF発生器RFGyに適用される電力パラメータ設定点、状態S1中にRF発生器RFGyに適用される周波数設定点、および、状態S2中にRF発生器RFGyに適用される周波数設定点、を有する。パルス信号102に関する情報は、RF発生器RFGyによって生成されるRF信号がパルス信号102のサイクルの遷移時間tst1に状態S1から状態S2へ遷移すること、および、RF信号がパルス信号102のサイクルの遷移時間tst2に状態S2から状態S1へ遷移することを、DSPyに示す。DSPxは、命令を構文解析して、状態S1のための電力パラメータ設定点がパルス信号102の状態S1中に適用され、状態S2のための電力パラメータ設定点がパルス信号102の状態S2中に適用され、状態S1のための周波数設定点がパルス信号102の状態S1中に適用され、状態S2のための周波数設定点がパルス信号102の状態S2中に適用されることを、命令から決定する。さらに、DSPyは、RF発生器RFGyによって生成されるRF信号がパルス信号102のサイクルの遷移時間tst1に状態S1から状態S2へ遷移すること、および、RF信号がパルス信号102のサイクルの遷移時間tst2に状態S2から状態S1へ遷移することを、命令から決定する。
パルス信号102のサイクルの遷移時間tst2に、DSPyは、状態S1のための電力パラメータ設定点を電力パラメータコントローラPWRS1yに送信する。同様に、パルス信号102のサイクルの遷移時間tst1に、DSPyは、状態S2のための電力パラメータ設定点を電力パラメータコントローラPWRS2yに送信する。さらに、パルス信号102のサイクルの遷移時間tst2に、DSPyは、状態S1のための周波数設定点を自動周波数調整器AFTS1yに送信する。また、パルス信号102のサイクルの遷移時間tst1に、DSPyは、状態S2のための周波数設定点を自動周波数調整器AFTS2yに送信する。
状態S1のための電力パラメータ設定点を受信すると、電力パラメータコントローラPWRS1yは、状態S1のための電力パラメータ設定点に対応する電流量を決定する。状態S1中にドライバシステム128によって生成される電流量に基づいて、電力パラメータコントローラPWRS1yは、コマンド信号を生成し、そのコマンド信号をドライバシステム128に送信する。状態S1中に、コマンド信号の受信に応答して、ドライバシステム128は、その電流量を有する電流信号を生成して、RF電源Psyに送信する。RF電源Psyは、電流信号の受信後に、状態S1のための電力パラメータ設定点を有するRF信号を生成し、RF発生器RFGyの出力およびRFケーブル130を介してIMN104の他の入力にそのRF信号を供給する。状態S1のための電力パラメータ設定点は、状態S1中にRF電源Psyによって維持される。
同様に、状態S2のための電力パラメータ設定点を受信すると、電力パラメータコントローラPWRS2yは、状態S2のための電力パラメータ設定点に対応する電流量を決定する。状態S2中にドライバシステム128によって生成される電流量に基づいて、電力パラメータコントローラPWRS2yは、コマンド信号を生成し、そのコマンド信号をドライバシステム128に送信する。状態S2中に、コマンド信号の受信に応答して、ドライバシステム128は、その電流量を有する電流信号を生成して、RF電源Psyに送信する。RF電源Psyは、電流信号の受信後に、状態S2のための電力パラメータ設定点を有するRF信号を生成し、RF発生器RFGyの出力およびRFケーブル130を介してIMN104の他の入力にそのRF信号を供給する。状態S2のための電力パラメータ設定点は、状態S2中にRF電源Psyによって維持される。
さらに、状態S1のための周波数設定点を受信すると、自動周波数調整器AFTS1yは、状態S1のための周波数設定点に対応する電流量を決定する。状態S1中にドライバシステム128によって生成される電流量に基づいて、自動周波数調整器AFTS1yは、コマンド信号を生成し、そのコマンド信号をドライバシステム128に送信する。状態S1中に、コマンド信号の受信に応答して、ドライバシステム128は、その電流量を有する電流信号を生成して、RF電源Psyに送信する。RF電源Psyは、電流信号の受信後に、状態S1のための周波数設定点を有するRF信号を生成し、RF発生器RFGyの出力およびRFケーブル130を介してIMN104の他の入力にそのRF信号を供給する。状態S1のための周波数設定点は、状態S1中にRF電源Psyによって維持される。状態S1のための電力パラメータ設定点および状態S1のための周波数設定点を有するRF信号は、状態S1中にRF発生器RFGyによって生成されるRF信号である。
同様に、状態S2のための周波数設定点を受信すると、自動周波数調整器AFTS2yは、状態S2のための周波数設定点に対応する電流量を決定する。状態S2中にドライバシステム128によって生成される電流量に基づいて、自動周波数調整器AFTS2yは、コマンド信号を生成し、そのコマンド信号をドライバシステム128に送信する。状態S2中に、コマンド信号の受信に応答して、ドライバシステム128は、その電流量を有する電流信号を生成して、RF電源Psyに送信する。RF電源Psyは、電流信号の受信後に、状態S2のための周波数設定点を有するRF信号を生成し、RF発生器RFGyの出力およびRFケーブル130を介してIMN104の他の入力にそのRF信号を供給する。状態S2のための周波数設定点は、状態S2中にRF電源Psyによって維持される。状態S2のための電力パラメータ設定点および状態S2のための周波数設定点を有するRF信号は、状態S2中にRF発生器RFGyによって生成されるRF信号である。
IMN104の入力は、RF電源Psxによって生成されたRF信号をRF発生器RFGxの出力からRFケーブル124を介して受信し、他の入力で、RF電源Psyによって生成されたRF信号をRF発生器RFGyの出力からRFケーブル130を介して受信し、IMN104の出力に接続されている負荷のインピーダンスを、IMN104の入力に接続されているソースのインピーダンスと整合して、変調されたRF信号をIMN104の出力で生成する。変調されたRF信号は、RF伝送ケーブル126を介して上側電極106に(TCPコイルの端部E1などに)送信される。
さらに、RF発生器システム114は、1または複数のRF信号を生成する。例えば、RF発生器システム114の或るRF発生器が、RF信号を生成する。別の例として、RF発生器システム114の或るRF発生器が、RF信号を生成し、RF発生器システム114の別のRF発生器が、別のRF信号を生成する。RF発生器システム114によって供給される1または複数のRF信号のバイアス電圧またはバイアス電力の量は、複数の状態(状態S1およびS2、もしくは、状態S1、S2、および、状態S3、など)中に所定の範囲内にあることに注意されたい。説明すると、プロセッサ132は、RF発生器システム114をプロセッサ132に接続するケーブル117を介して、バイアス電圧のレベルまたはバイアス電力のレベルをRF発生器システム114へ送信する。RF発生器システム114は、複数の状態の間にそのバイアス電圧のレベルまたはバイアス電力のレベルを有する1または複数のRF信号を生成する。1または複数のRF信号は、RF発生器RFGxまたはRFGyによって生成されるRF信号を生成するための本明細書に記載の方法と同様に、RF発生器システム114によって生成される。1または複数のRF信号のバイアス電圧またはバイアス電力は一定である(プロセッサ132から受信したバイアス電圧のレベルまたはバイアス電力のレベルと同じ、または、それから所定の範囲内など)。バイアスRF発生器システム114は、状態S1およびS2もしくは状態S1〜S3の間に、連続波モードで動作する。
1または複数のRF信号がRFケーブルシステム137を介してIMN112によって受信されると、IMN112の出力に接続されている負荷のインピーダンスをIMN112の1または複数の入力に接続されているソースのインピーダンスと整合することで、出力RF信号が生成される。出力RF信号は、RF伝送線路139を介してチャック110に送信される。
1または複数の処理ガスが上側電極106とチャック110との間に供給されると、変調されたRF信号が上側電極106に供給され、出力RF信号がチャック110に供給され、1または複数の処理ガスが点火されて、プラズマチャンバ108内でプラズマを生成または維持する。プラズマは、プラズマシース123を有しており、基板122の処理(例えば、エッチング、材料の蒸着、洗浄、スパッタリングなど)を行うために用いられる。プラズマシース123は、プラズマチャンバ108内に形成されたプラズマの境界である。例えば、プラズマシース123は、プラズマチャンバ108内に形成されたプラズマの上部境界125Aと、プラズマチャンバ108内に形成されたプラズマの下部境界125Bと、を含む。上部境界125Aは、チャック110よりも上側電極106に近く、下部境界125Bは、上側電極106よりもチャック110に近い。
いくつかの実施形態において、調整器およびコントローラという用語は、本明細書では交換可能に用いられる。
様々な実施形態において、電力パラメータコントローラPWRS1xおよびPWRS2x、ならびに、自動周波数調整器AFTS1xおよびAFTS2xは、DSPxによって実行されるコンピュータプログラムのモジュール(例えば、一部など)である。同様に、いくつかの実施形態において、電力パラメータコントローラPWRS1yおよびPWRS2y、ならびに、自動周波数調整器AFTS1yおよびAFTS2yは、DSPyによって実行されるコンピュータプログラムのモジュール(例えば、一部など)である。
いくつかの実施形態において、電力パラメータコントローラPWRS1xおよびPWRS2x、ならびに、自動周波数調整器AFTS1xおよびAFTS2xは、DSPxの集積回路に接続されている別個の集積回路である。例えば、電力パラメータコントローラPWRS1xは、RF発生器RFGxの第1集積回路であり、電力パラメータコントローラPWRS2xは、RF発生器RFGxの第2集積回路であり、自動周波数調整器AFTS1xは、RF発生器RFGxの第3集積回路であり、自動周波数調整器AFTS2xは、RF発生器RFGxの第4集積回路であり、DSPxは、RF発生器RFGxの第5集積回路である。RF発生器RFGxの第1〜第4集積回路の各々は、RF発生器RFGxの第5集積回路に接続されている。
同様に、様々な実施形態において、電力パラメータコントローラPWRS1yおよびPWRS2y、ならびに、自動周波数調整器AFTS1yおよびAFTS2yは、DSPyの集積回路に接続されている別個の集積回路である。例えば、電力パラメータコントローラPWRS1yは、RF発生器RFGyの第1集積回路であり、電力パラメータコントローラPWRS2yは、RF発生器RFGyの第2集積回路であり、自動周波数調整器AFTS1yは、RF発生器RFGyの第3集積回路であり、自動周波数調整器AFTS2yは、RF発生器RFGyの第4集積回路であり、DSPyは、RF発生器RFGyの第7集積回路である。RF発生器RFGyの第1〜第4集積回路の各々は、RF発生器RFGyの第5集積回路に接続されている。
様々な実施形態において、本明細書に記載のRF信号の状態S1の一例は、状態S1のための電力パラメータ設定点および状態S1のための周波数設定点を含む。状態S1のための電力パラメータ設定点は、動作電力パラメータ設定点であり、これは、状態S1中のRF信号の電力量または電圧量の電力パラメータレベル(エンベロープまたはゼロからピークまでの振幅など)である。状態S1のための周波数設定点は、動作周波数設定点であり、これは、状態S1中のRF信号の周波数値の周波数レベル(エンベロープまたはゼロからピークまでの振幅など)である。同様に、本明細書に記載のRF信号の状態S2の一例は、状態S2のための電力パラメータ設定点および状態S2のための周波数設定点を含む。状態S2のための電力パラメータ設定点は、動作電力パラメータ設定点であり、これは、状態S2中のRF信号の電力量または電圧量の電力パラメータレベル(エンベロープまたはゼロからピークまでの振幅など)である。状態S2のための周波数設定点は、動作周波数設定点であり、これは、状態S2中のRF信号の周波数値の周波数レベル(エンベロープまたはゼロからピークまでの振幅など)である。一実施形態において、ゼロの電力パラメータレベルは、本明細書に記載の電力パラメータ設定点の一例であることに注意されたい。同様に、一実施形態において、ゼロの周波数レベルは、本明細書に記載の周波数設定点の一例である。
様々な実施形態において、3つのRF発生器が、IMN104に接続される。例えば、さらなるRF発生器が、IMN104のさらに別の入力への別のRFケーブル(図示せず)を介してIMN104に接続される。さらなるRF発生器は、RF発生器RFGxおよびRF発生器RFGyに追加される。さらに別の入力は、RFケーブル124が接続されているIMN104の入力とも、RFケーブル130が接続されているIMN104の他の入力とも同じではない。さらなるRF発生器は、さらなるRF発生器がRF発生器RFGyの周波数とは異なる動作周波数(例えば、2MHz、27MHz、60MHzなど)を有する以外は、RF発生器RFGyと同じ構造および機能を有する。例えば、RF発生器RFGyは、13.56MHzの動作周波数を有し、さらなるRF発生器は、2MHz、27MHz、または、60MHzの動作周波数を有する。IMN104は、RF発生器RFGx、RF発生器RFGy、および、さらなるRF発生器から受信したRF信号を組みあわせ、IMN104の出力に接続されている負荷のインピーダンスを、ソース(例えば、RF発生器RFGx、RF発生器RFGy、さらなるRF発生器、RFケーブル124、RFケーブル130、および、その他のRFケーブルなど)のインピーダンスと整合して、変調されたRF信号をIMN104の出力で生成する。
一実施形態において、インピーダンス整合回路およびインピーダンス整合回路網という用語は、本明細書では交換可能に用いられる。
いくつかの実施形態において、チャック110は、IMN112およびバイアスRF発生器システム114に接続される代わりに、接地電位に連結される。
様々な実施形態において、TCPコイルが上側電極106として利用される代わりに、CCPプレートが上側電極106として利用される。例えば、CCPプレートは、円形のボリュームを有する円形プレートであり、プラズマチャンバ108内の水平面内にある。CCPプレートは、アルミニウムまたはアルミニウム合金などの金属で形成される。これらの実施形態において、プラズマチャンバ108は、誘電体窓120を備えず、その代わりに上壁を有する。プラズマチャンバ108は、さらに、CCPプレートを取り囲む上側誘電体リング、上側誘電体リングを取り囲む上側電極延長部、上側PEZリングなど、他の構成要素を含む。CCPプレートは、チャック110の反対側に向かい合って配置される。
いくつかの実施形態において、パルス信号102がプロセッサ132からRF発生器RFGxおよびRFGyへ送信される代わりに、パルス信号102は、マスタRF発生器からスレーブRF発生器(RF発生器RFGyなど)へ送信される。マスタRF発生器の一例は、RF発生器RFGxを含む。説明すると、RF発生器RFGxのデジタル信号プロセッサDSPxは、プロセッサ132からパルス信号102を受信し、ケーブル(パラレル転送ケーブル、シリアル転送ケーブル、または、USBケーブルなど)を介して、パルス信号102をRF発生器RFGyのデジタル信号プロセッサDSPyへ送信する。図1Bは、グラフ140、142、および、144の実施形態を示す図である。グラフ140は、時間tに対してパルス信号102のロジックレベルをプロットしている。ロジックレベルの例は、レベル「0」およびレベル「1」を含む。レベル「0」は、低ロジックレベルの一例であり、レベル「1」は、高ロジックレベルの一例である。さらに、グラフ142は、時間tに対して、RF発生器RFGxによって生成および供給されるRF信号(RF信号146Aなど)の電力パラメータレベル(電圧レベルまたは電力レベルなど)をプロットしている。グラフ142は、さらに、時間tに対して、RF発生器RFGyによって生成および供給されるRF信号(RF信号146Bなど)の電力パラメータレベルをプロットしている。また、グラフ144は、時間tに対して、RF信号146Aの電力パラメータレベルをプロットしている。グラフ144は、さらに、時間tに対して、RF発生器RFGyによって生成および供給されるRF信号(RF信号146Cなど)の電力パラメータレベルをプロットしている。
グラフ140および142を参照すると、パルス信号102の各サイクル中に、パルス信号102は、遷移時間tst1に状態S1から状態S2へ遷移し、遷移時間tst1に状態S2から状態S1へ遷移する。さらに、状態S1中、RF信号146Aは、電力パラメータレベル「Px1」を有し、RF信号146Bは、電力パラメータレベル「0」を有する。また、状態S1中、RF信号146Aは、周波数レベル「fx1」を有し、RF信号146Bは、周波数レベル「0」を有する。
さらに、遷移時間tst1に、各RF信号146Aおよび146Bは、状態S1から状態S2へ遷移する。状態S2中、RF信号146Aは、電力パラメータレベル「0」を有し、RF信号146Bは、電力パラメータレベル「Py2」を有する。また、状態S2中、RF信号146Aは、周波数レベル「0」を有し、RF信号146Bは、周波数レベル「fy2」を有する。本明細書に記載のいずれのRF発生器も、周波数レベル「0」かつ電力パラメータレベル「0」で動作する時、オフにされる(例えば、非稼働になる、スイッチを切られる、など)。電力パラメータレベル「Py2」は、電力パラメータレベル「Px1」と同じである。さらに、周波数レベル「fy2」は、周波数レベル「fx1」よりも大きい。遷移時間tst2に、各RF信号146Aおよび146Bは、状態S2から状態S1に戻るように遷移する。
さらに、パルス信号102またはRF信号146AまたはRF信号146Bの状態S1のデューティサイクルは、パルス信号102またはRF信号146AまたはRF信号146Bの状態S2のデューティサイクルと同じであることに注意されたい。例えば、状態S1のデューティサイクルは50%であり、状態S2のデューティサイクルは50%である。RF信号146AまたはRF信号146Bの状態S1は、パルス信号102のサイクルの50%を占めており、RF信号146AまたはRF信号146Bの状態S2は、パルス信号102のサイクルの残り50%を占めている。
様々な実施形態において、パルス信号102またはRF信号146AまたはRF信号146Bなどの信号の状態S1のデューティサイクルは、その信号の状態S2のデューティサイクルと異なる。例えば、状態S1のデューティサイクルは25%であり、状態S2のデューティサイクルは75%である。RF信号146AまたはRF信号146Bの状態S1は、パルス信号102のサイクルの25%を占めており、RF信号146AまたはRF信号146Bの状態S2は、パルス信号102のサイクルの残り75%を占めている。別の例として、状態S1のデューティサイクルはa%であり、状態S2のデューティサイクルは(100−a)%である。RF信号146AまたはRF信号146Bの状態S1は、パルス信号102のサイクルのa%を占めており、RF信号146AまたはRF信号146Bの状態S2は、パルス信号102のサイクルの残り(100−a)%を占めている。説明すると、較正動作中、状態S1のための周波数レベル、状態S2のための周波数レベル、状態S1のための電力パラメータレベル、および、状態S2のための電力パラメータレベル、1または複数の処理ガスのタイプ、ならびに、基板122の材料のタイプに対して、RF信号がRF発生器RFGxによって生成されるパルス信号102のサイクルの割合が、達成されるべきエッチング速度に基づいて決定される。エッチング速度は、較正動作中にエッチング速度測定装置(ERMD)によって測定される。基板120の材料のタイプの例は、基板122の酸化物層または金属層を含む。さらに、パルス信号102のサイクルの割合(その間に、RF信号がRF発生器RFGxによって生成される)は、状態S1中にプラズマシース123に蓄積される閾値電荷量に関連する。閾値電荷量と、エッチング速度と、RF信号がRF発生器RFGxによって生成されるパルス信号102のサイクルの割合との間の関連性は、メモリデバイス134に格納される。基板122の処理中、パルス信号102のサイクルの割合(その間に、RF信号がRF発生器RFGxによって生成される)は、レシピの一部としてまたはパルス信号102のデューティサイクルとして用いられる。
ERMDは、ケーブルを介してプロセッサ132に接続されており、プラズマチャンバ108の窓を介して見通し線を有する。見通し線は、プラズマチャンバ108内でプラズマが生成される空間に方向付けられる。例えば、ERMDは、窓を介してプラズマによって放射される放射強度を測定するためにプラズマチャンバ108内でプラズマを監視する分光光度計を備える。いくつかの実施形態において、窓は、プラズマによって放射される光が通過することを許容する透明な材料(例えば、ガラス)で形成される。様々な実施形態において、窓は、半透明の窓である。強度は、プラズマによってエッチングされるダミーウエハの層のエッチング速度に正比例する。別の例として、既知のレシピに対して、較正動作中にプラズマによって放射される放射線の強度から、ERMDは、時刻tm1にダミーウエハの厚さを測定し、時刻tm1の後かつダミーウエハのエッチングの後の時刻tm2にダミーウエハの厚さを測定する。ERMDは、時間tm2とtm1との間の差に対する時間tm2の厚さと時間tm1の厚さとの間の差の比として、ダミーウエハのエッチング速度を決定する。様々な実施形態において、ダミーウエハは、基板122と同じ材料を有する。
いくつかの実施形態において、RF信号146Bの電力パラメータレベル「Py2」は、RF信号146Aの電力パラメータレベル「Px1」とは異なる(低いまたは高い)。
グラフ144は、RF信号146Bおよび146Cが異なる電力パラメータレベルを有することを除けば、グラフ142と同様である。例えば、RF信号146Bは、状態S2中に電力パラメータレベル「Py2」を有し、RF信号146Bの電力パラメータレベル「Py2」は、RF信号146Cの電力パラメータレベル「Py2」よりも大きい。
グラフ140および144を参照すると、RF信号146Cの状態S1は、RF信号146Bの状態S1と同じである。例えば、状態S1中、RF信号146Cは、電力パラメータレベル「0」を有する。また、状態S1中、RF信号146Cは、周波数レベル「0」を有する。
さらに、遷移時間tst1に、RF信号146Cは、状態S1から状態S2へ遷移する。状態S2中、RF信号146Cは、電力パラメータレベル「Py2」を有する。また、状態S2中、RF信号146Cは、周波数レベル「fy2」を有する。RF信号146Cの電力パラメータレベル「Py2」は、RF信号146Aの電力パラメータレベル「Px1」よりも低く、RF信号146Cの周波数レベル「fy2」は、RF信号146Bの周波数レベルと同じである。遷移時間tst2に、RF信号146Cは、状態S2から状態S1へ戻るように遷移する。
RF信号146Cの状態S1のデューティサイクルは、RF信号146Cの状態S2のデューティサイクルと同じであることに注意されたい。例えば、RF信号146Cの状態S1のデューティサイクルは50%であり、RF信号146Cの状態S2のデューティサイクルは50%である。RF信号146Cの状態S1は、パルス信号102のサイクルの50%を占めており、RF信号146Cの状態S2は、パルス信号102のサイクルの残り50%を占めている。
様々な実施形態において、RF信号146Cの状態S1のデューティサイクルは、RF信号146Cの状態S2のデューティサイクルとは異なる。例えば、RF信号146Cの状態S1のデューティサイクルは25%であり、RF信号146Cの状態S2のデューティサイクルは75%である。RF信号146Cの状態S1は、パルス信号102のサイクルの25%を占めており、RF信号146Cの状態S2は、パルス信号102のサイクルの残り75%を占めている。別の例として、RF信号146Cの状態S1のデューティサイクルはa%であり、RF信号146Cの状態S2のデューティサイクルは(100−a)%である。RF信号146Cの状態S1は、パルス信号102のサイクルのa%を占めており、RF信号146Cの状態S2は、パルス信号102のサイクルの残り(100−a)%を占めている。
いくつかの実施形態において、RF信号146Cの電力パラメータレベル「Py2」は、RF信号146Aの電力パラメータレベル「Px1」よりも大きい。
電力パラメータレベル「Px1」および「Py2」は、グラフ142に示すように、0ではないことに注意されたい。さらに、周波数レベル「fx1」および「fy2」は、グラフ142に示すように、0ではない。また、電力パラメータレベル「Px1」および「Py2」は、グラフ144に示すように、0ではない。さらに、周波数レベル「fx1」および「fy2」は、グラフ144に示すように、0ではない。
さらに、RF発生器RFGxは状態S1中に周波数レベル「fx1」で動作するように制御されることに注意されたい。プラズマチャンバ108内で、状態S1中にRF発生器RFGxによって生成されたRF信号の電力パラメータが、状態S2中にRF発生器RFGyによって生成されたRF信号の電力パラメータに追加される。プラズマチャンバ108内のプラズマシース123は、キャパシタとして機能する。キャパシタは、周波数レベル「fx1」に関連する電力パラメータレベル「Px1」から状態S1中に充電し、状態S2中に放電する。電力パラメータレベル「Px1」は、プラズマシース123を充電して、状態S1中にプラズマシース123の電荷量を増大させる。さらに、状態S1中のプラズマシース123の充電は、状態S1中のプラズマシース123の厚さを増す。例えば、状態S1中に生成された多数のプラズマイオンが状態S1中にプラズマシース123上に蓄積するので、状態S1中のプラズマシース123の厚さが増大する。充電が起きると、電力パラメータレベル「Px1」の一部を電力パラメータレベル「Py2」に追加する。電力パラメータレベル「Px1」の一部を電力パラメータレベル「Py2」に追加し、状態S2中にキャパシタを放電することは、状態S2中にプラズマチャンバ108内のプラズマのイオンのイオンエネルギを増大させ、状態S2中にイオンエネルギの角発散を減少させる。例えば、プラズマチャンバ108内のプラズマの垂直方向性が、状態S2中に電力パラメータレベル「Px1」の一部を電力パラメータレベル「Py2」に追加すると共に、状態S2中に増大する。プラズマチャンバ108内で形成されるプラズマのイオンエネルギは、電力パラメータレベル「Px1」の一部を電力パラメータレベル「Py2」に追加した結果として増大する。合計の電力パラメータレベルは、電力パラメータレベル「Px1」の一部と電力パラメータレベル「Px2」とを含み、状態S2中にイオンエネルギを増強する。増強されたイオンエネルギを状態S1中に蓄積するプラズマシース123の電荷の少なくとも一部が、状態S2中にプラズマシース123の上部境界125Aから下部境界125Bへ放電されることで、基板122の上面へ入射するプラズマイオンの角発散を減少させて、基板122のエッチングのエッチング速度をさらに増大させる。
また、RF発生器RFGxが状態S1の周波数設定点で動作する時には、状態S1中にプラズマチャンバ108内で形成されるプラズマのプラズマシース123のリアクタンスが増大することに注意されたい。プラズマシース123のリアクタンスは、プラズマシース123を生成または維持するために動作されるRF発生器の周波数に反比例する。状態S2と比較して状態S1中のプラズマシース123のリアクタンスが高いことから、プラズマシース123を通るイオン電流は、状態S1中に減少する。
プラズマシース123のリアクタンスの増大と共に、状態S1中に基板122の表面でプラズマイオンのイオン電流が減少する。プラズマシース123に関連する抵抗Rは、状態S1およびS2中のプラズマイオンの同じ電力量に対してイオン電流の二乗に反比例する。状態S1およびS2中に同じ量(一定量など)の電力を供給するには、イオン電流の減少と共に状態S1中にプラズマシース123に関連する抵抗Rが増大する。状態S1中に増大した抵抗Rは、状態S1中にプラズマシース123に関連する時定数RCを増大させ、状態S1およびS2に対する平均時定数を延ばすことで、イオンエネルギ・角度分布関数(IEADF)においてピークエネルギを高めると共に角度(角発散など)を小さくする。ここで、Cは、プラズマシース123の静電容量であり、Rは、プラズマシース123の出力での抵抗である。説明すると、プラズマシース123の出力は、イオン電流が流れる先のプラズマシース123の部分である。状態S1中の抵抗Rの増大と共に、状態S1およびS2中のプラズマシース123に関連する平均抵抗が増大する。状態S1およびS2に対する平均時定数は、平均抵抗の増大と共に増大する。平均時定数の増大と共に、プラズマシース123の放電にかかる時間が、状態S1およびS2のいずれかまたは両方において長くなる。放電時間の延長は、状態S1およびS2中にプラズマシース123の間のプラズマボリュームのプラズマのピークイオンエネルギを増大させ、状態S1およびS2中にプラズマのイオンの角発散を減少させる。
さらに、状態S1中、プラズマシース123は、状態S1の電力パラメータレベルに基づいて充電される。例えば、状態S1中、プラズマシース123は、キャパシタとして機能し、RF発生器RFGxによって供給されたRF信号に基づいて生成された変調RF信号から受けた電荷量を蓄積する。状態S2中、状態S1の電力パラメータレベル(状態S1の電力パラメータレベルの一部など)に基づいてプラズマシース123内で生成された電荷は、状態S2の電力パラメータレベルに基づいたプラズマシース123内の電荷に追加されて、合計電力パラメータレベルに対応する総電荷を生成する。合計電力パラメータレベルは、状態S1の電力パラメータレベルの一部と状態S2の電力パラメータレベルとの合計である。総電荷は、プラズマシース123内に存在する。総電荷は、キャパシタとして機能するプラズマシース123の放電のために時定数RCに従って状態S2中に放電する。例えば、プラズマシース123が放電するには時間がかかるが、連続波モードRF発生器が用いられる場合、シースは、プラズマ動作中に放電する必要がない。放電の結果として、基板122の表面上に入射するイオンエネルギが増大することで、プラズマチャンバ108内で形成されるプラズマのプラズマイオンの垂直方向性が増大し、プラズマイオンの角発散が減少し、基板122の処理速度(エッチング速度またはスパッタリング速度など)が速くなる。
いくつかの実施形態において、状態S1の電力パラメータレベルの一部は、本明細書では、追加電力と呼ぶこととする。
図1Cは、グラフ140、152、および、154の実施形態を示す図である。グラフ152は、時間tに対して、RF発生器RFGxによって生成および供給されるRF信号(RF信号156Aなど)の電力パラメータレベル(電圧レベルまたは電力レベルなど)をプロットしている。さらに、グラフ152は、時間tに対して、RF発生器RFGyによって生成および供給されるRF信号(RF信号156Bなど)の電力パラメータレベルをプロットしている。また、グラフ154は、時間tに対して、RF信号156Aの電力パラメータレベルをプロットしている。グラフ154は、さらに、時間tに対して、RF発生器RFGyによって生成および供給されるRF信号(RF信号156Cなど)の電力パラメータレベルをプロットしている。
グラフ140および152を参照すると、状態S1中、RF信号156Aは、電力パラメータレベル「Px1」を有し、RF信号156Bは、0ではない電力パラメータレベル「Py1」を有する。また、状態S1中、RF信号156Aは、周波数レベル「fx1」を有し、RF信号156Bは、0ではない周波数レベル「fy1」を有する。
さらに、遷移時間tst1に、各RF信号156Aおよび156Bは、状態S1から状態S2へ遷移する。状態S2中、RF信号156Aは、電力パラメータレベル「Px2」を有し、RF信号156Bは、電力パラメータレベル「Py2」を有する。また、状態S2中、RF信号156Aは、周波数レベル「fx2」を有し、RF信号156Bは、周波数レベル「fy2」を有する。電力パラメータレベルPx1、Px2、Py1、および、Py2は、同じである。さらに、周波数レベル「fx2」は、周波数レベル「fx1」より高く、周波数レベル「fy1」は、周波数レベル「fy2」よりも低い。遷移時間tst2に、各RF信号156Aおよび156Bは、状態S2から状態S1に戻るように遷移する。
いくつかの実施形態において、RF信号156Aの周波数レベル「fx2」は、RF信号156Aの周波数レベル「fx1」よりも低く、RF信号156Bの周波数レベル「fy1」は、RF信号156Bの周波数レベル「fy2」よりも高い。いくつかの実施形態において、RF信号156Aの周波数レベル「fx2」は、RF信号156Aの周波数レベル「fx1」よりも高く、RF信号156Bの周波数レベル「fy1」は、RF信号156Bの周波数レベル「fy2」よりも高い。様々な実施形態において、RF信号156Aの周波数レベル「fx2」は、RF信号156Aの周波数レベル「fx1」よりも低く、RF信号156Bの周波数レベル「fy1」は、RF信号156Bの周波数レベル「fy2」よりも低い。
パルス信号102またはRF信号156AまたはRF信号156Bの状態S1のデューティサイクルは、パルス信号102またはRF信号156AまたはRF信号156Bの状態S2のデューティサイクルと同じであることに注意されたい。例えば、状態S1のデューティサイクルは50%であり、状態S2のデューティサイクルは50%である。RF信号156AまたはRF信号156Bの状態S1は、パルス信号102のサイクルの50%を占めており、RF信号156AまたはRF信号156Bの状態S2は、パルス信号102のサイクルの残り50%を占めている。
様々な実施形態において、パルス信号102またはRF信号156AまたはRF信号156Bなどの信号の状態S1のデューティサイクルは、その信号の状態S2のデューティサイクルと異なる。例えば、状態S1のデューティサイクルは25%であり、状態S2のデューティサイクルは75%である。RF信号156AまたはRF信号156Bの状態S1は、パルス信号102のサイクルの25%を占めており、RF信号156AまたはRF信号156Bの状態S2は、パルス信号102のサイクルの残り75%を占めている。別の例として、状態S1のデューティサイクルはa%であり、状態S2のデューティサイクルは(100−a)%である。RF信号156AまたはRF信号156Bの状態S1は、パルス信号102のサイクルのa%を占めており、RF信号156AまたはRF信号156Bの状態S2は、パルス信号102のサイクルの残り(100−a)%を占めている。
グラフ154は、RF信号156Aおよび156Cが異なる電力パラメータレベルを有することを除けば、グラフ152と同様である。例えば、RF信号156Aは、状態S1およびS2中に電力パラメータレベル「Px1」および「Px2」を有し、RF信号156Cは、状態S1およびS2中に電力パラメータレベル「Py1」および「Py2」を有する。状態S1およびS2中のRF信号156Cの電力パラメータレベル「Py1」および「Py2」は、状態S1およびS2中のRF信号156Aの電力パラメータレベル「Px1」および「Px2」よりも低い。RF信号156Aの電力パラメータレベル「Px1」は、RF信号156Aの電力パラメータレベル「Px2」と同じである。同様に、RF信号156Cの電力パラメータレベル「Py1」は、RF信号156Cの電力パラメータレベル「Py2」と同じである。
グラフ150および154を参照すると、RF信号156Cの状態S1中、RF信号156Cは、電力パラメータレベル「Py1」を有し、周波数レベル「fy1」を有する。さらに、遷移時間tst1に、RF信号156Cは、状態S1から状態S2へ遷移する。状態S2中、RF信号156Cは、電力パラメータレベル「Py2」を有する。また、状態S2中、RF信号156Cは、周波数レベル「fy2」を有する。RF信号156Cの周波数レベル「fy2」は、RF信号156Cの周波数レベル「fy1」よりも高い。遷移時間tst2に、各RF信号156Aおよび156Cは、状態S2から状態S1に戻るように遷移する。
いくつかの実施形態において、RF信号156Aの周波数レベル「fx2」は、RF信号156Aの周波数レベル「fx1」よりも低く、RF信号156Cの周波数レベル「fy1」は、RF信号156Cの周波数レベル「fy2」よりも高い。いくつかの実施形態において、RF信号156Aの周波数レベル「fx2」は、RF信号156Aの周波数レベル「fx1」よりも高く、RF信号156Cの周波数レベル「fy1」は、RF信号156Cの周波数レベル「fy2」よりも高い。様々な実施形態において、RF信号156Aの周波数レベル「fx2」は、RF信号156Aの周波数レベル「fx1」よりも低く、RF信号156Cの周波数レベル「fy1」は、RF信号156Cの周波数レベル「fy2」よりも低い。
RF信号156Cの状態S1のデューティサイクルは、RF信号156Cの状態S2のデューティサイクルと同じであることに注意されたい。例えば、RF信号156Cの状態S1のデューティサイクルは50%であり、RF信号156Cの状態S2のデューティサイクルは50%である。RF信号156Cの状態S1は、パルス信号102のサイクルの50%を占めており、RF信号156Cの状態S2は、パルス信号102のサイクルの残り50%を占めている。
様々な実施形態において、RF信号156Cの状態S1のデューティサイクルは、RF信号156Cの状態S2のデューティサイクルとは異なる。例えば、RF信号156Cの状態S1のデューティサイクルは25%であり、RF信号156Cの状態S2のデューティサイクルは75%である。RF信号156Cの状態S1は、パルス信号102のサイクルの25%を占めており、RF信号156Cの状態S2は、パルス信号102のサイクルの残り75%を占めている。別の例として、RF信号156Cの状態S1のデューティサイクルはa%であり、RF信号156Cの状態S2のデューティサイクルは(100−a)%である。RF信号156Cの状態S1は、パルス信号102のサイクルのa%を占めており、RF信号156Cの状態S2は、パルス信号102のサイクルの残り(100−a)%を占めている。
いくつかの実施形態において、RF信号156Cの電力パラメータレベル「Py1」および「Py2」は、RF信号156Aの電力パラメータレベル「Px1」および「Px2」よりも大きい。
様々な実施形態において、RF信号156Cの電力パラメータレベルは、RF信号156Cの周波数レベルをパルス化することに加えて、状態S1およびS2の間でパルス化される。例えば、状態S1中のRF信号156CのPy1は、状態S2中のRF信号156Cの電力パラメータレベル「Py2」とは異なる(高いまたは低い)。
いくつかの実施形態において、RF信号156Aの電力パラメータレベルは、RF信号156Aの周波数レベルをパルス化することに加えて、状態S1およびS2の間でパルス化される。例えば、状態S1中のRF信号156Aの電力パラメータレベル「Px1」は、状態S2中のRF信号156Aの電力パラメータレベル「Px2」とは異なる(高いまたは低い)。
電力パラメータレベル「Px1」、「Px2」、「Py1」、および、「Py2」は、グラフ152に示すように、0ではないことに注意されたい。さらに、周波数レベル「fx1」、「fx2」、「fy1」、および、「fy2」は、グラフ152に示すように、0ではない。また、電力パラメータレベル「Px1」、「Px2」、「Py1」、および、「Py2」は、グラフ154に示すように、0ではない。さらに、周波数レベル「fx1」、「fx2」、「fy1」、および、「fy2」は、グラフ154に示すように、0ではない。
図2Aは、低い角発散でピークイオンエネルギ増強を達成するためのプラズマツール200の一実施形態を示すブロック図である。プラズマツール200は、プラズマツール200が、パルス信号102(図1A)の2状態動作ではなく、パルス信号202の3状態動作に関連することを除けば、プラズマツール100と同様である。プラズマツール200は、RF発生器RFGaと、別のRF発生器RFGbと、ホストコンピュータ116と、IMN104と、プラズマチャンバ108と、IMN112と、バイアスRF発生器システム114と、を備える。RF発生器RFGaは、400kHzRF発生器または2MHzRF発生器または13.56MHzRF発生器など、低周波RF発生器である。RF発生器RFGbは、高周波RF発生器である。RF発生器RFGbの例は、2MHz、13.56MHz、27MHz、または、60MHzRF発生器を含む。RF発生器RFGbは、RF発生器RFGaよりも高い周波数で動作する。
RF発生器RFGaは、DSPxと、電力コントローラPWRS1xと、電力コントローラPWRS2xと、さらに別の電力コントローラPWRS3xと、自動周波数調整器AFTS1xと、自動周波数調整器AFTS2xと、さらに別の自動周波数調整器AFTS3xと、RF電源Psxと、ドライバシステム118と、を備える。
DSPxは、電力コントローラPWRS1x、PWRS2x、および、PWRS3xと、自動周波数調整器AFTS1x、AFTS2x、および、AFTS3xとに接続されている。さらに、電力コントローラPWRS1x、PWRS2x、および、PWRS3x、ならびに、自動周波数調整器AFTS1x、AFTS2x、および、AFTS3xは、ドライバシステム118に接続されている。ドライバシステム118は、RF電源Psxに接続されており、RF電源Psxは、RF発生器RFGaの出力を介してRFケーブル124に接続されている。
RF発生器RFGbは、DSPyと、電力コントローラPWRS1yと、電力コントローラPWRS2yと、さらに別の電力コントローラPWRS3yと、自動周波数調整器AFTS1yと、自動周波数調整器AFT2yと、を備える。RF発生器RFGbは、さらに、別の自動周波数調整器AFTS3yと、RF電源Psyと、ドライバシステム128と、を備える。DSPyは、電力コントローラPWRS1y、PWRS2y、および、PWRS3yと、自動周波数調整器AFTS1y、AFTS2y、および、AFTS3yとに接続されている。さらに、電力コントローラPWRS1y、PWRS2y、および、PWRS3y、ならびに、自動周波数調整器AFTS1y、AFTS2y、および、AFTS3yは、ドライバシステム132に接続されている。ドライバシステム132は、RF電源Psyに接続されており、RF電源Psyは、RF発生器RFGbの出力を介してRFケーブル130に接続されている。
プロセッサ132の制御回路は、パルス信号202(例えば、3つの状態S1〜S3に対する3つのディーティサイクルを有するTTL信号、デジタルパルス信号、矩形波、パルス信号など)を生成するために用いられる。パルス信号202を生成するために用いられるプロセッサ132の制御回路の例は、TTL回路を含む。
パルス信号202は、状態S1、S2、および、S3を備える。例えば、パルス信号202の状態S1は、クロック信号204のクロックサイクルの一部の間にロジックレベル「1」を有し、クロックサイクルの別の部分の間にロジックレベル「0」を有し、パルス信号202の状態S2は、クロックサイクルの一部の間にロジックレベル「1」を有し、クロックサイクルの別の部分の間にロジックレベル「0」を有し、パルス信号202の状態S3は、クロックサイクルの一部の間にロジックレベル「1」を有し、クロックサイクルの別の部分の間にロジックレベル「0」を有する。様々な実施形態において、状態S1、S2、および、S3は、パルス信号202のクロックサイクル中に一回実行され、複数のクロックサイクルで反復する。例えば、或るクロックサイクルが、状態S1〜S3を備え、クロック信号204の別のクロックサイクルが、状態S1〜S3を備える。説明すると、クロックサイクルの期間の一部の間に、状態S1が実行され、クロックサイクルの別の期間の間に、状態S2が実行され、クロックサイクルの残りの部分の間に状態S3が実行される。
いくつかの実施形態において、状態S1〜S3の各々は、1/3のデューティサイクルを有する。いくつかの実施形態において、状態S1〜S3の各々は、状態S1〜S3の残りのいずれのデューティサイクルとも異なるデューティサイクルを有する。例えば、状態S1がa%のデューティサイクルを有し、状態S2がb%のデューティサイクルを有し、状態S3が(100−a−b)%のデューティサイクルを有し、ここで、aおよびbは正の整数であり、aはbとは異なる数値である。
様々な実施形態において、パルス信号202を生成するためのプロセッサ132の制御回路の代わりに、クロックソース(例えば、水晶発振器など)が、アナログクロック信号を生成するために用いられ、アナログクロック信号は、アナログデジタル変換器によってパルス信号202と同様のデジタル信号に変換される。例えば、水晶発振器は、水晶発振器の近くの電極に電圧を印加することによって、電界内で振動するように形成されている。説明すると、水晶発振器は、クロック信号204のクロックサイクルの第1部分の間に第1周波数で振動し、クロック信号204のクロックサイクルの第2部分の間に第2周波数で振動し、クロック信号204のクロックサイクルの残り部分の間に第3周波数で振動する。第3周波数は第2周波数と異なり、第2周波数は第1周波数と異なる。いくつかの実施形態において、第1周波数は、第2周波数と同じであるが、第3周波数とは異なる。様々な実施形態において、第1周波数は、第3周波数と同じであるが、第2周波数とは異なる。様々な実施形態において、プロセッサ132の代わりに、デジタルクロックソースが、パルス信号202を生成する。
プロセッサ132は、メモリデバイス144からのレシピにアクセスする。レシピの例は、状態S1中にRF発生器RFGaに適用される電力パラメータ設定点、状態S2中にRF発生器RFGaに適用される電力パラメータ設定点、状態S3中に発生器RFGaに適用される電力パラメータ設定点、状態S1中にRF発生器RFGaに適用される周波数設定点、状態S2中にRF発生器RFGaに適用される周波数設定点、状態S3中にRF発生器RFGaに適用される周波数設定点、状態S1中にRF発生器RFGbに適用される電力パラメータ設定点、状態S2中にRF発生器RFGbに適用される電力パラメータ設定点、状態S3中にRF発生器RFGbに適用される電力パラメータ設定点、状態S1中にRF発生器RFGbに適用される周波数設定点、状態S2中にRF発生器RFGbに適用される周波数設定点、状態S3中にRF発生器RFGbに適用される周波数設定点、1または複数の処理ガスの化学、もしくは、これらの組みあわせを含む。
プロセッサ132は、ケーブル146を介してDSPxへパルス信号202と共に命令を送信する。ケーブル146を介してDSPxに送信される命令は、パルス信号202に関する情報、状態S1中にRF発生器RFGaに適用される電力パラメータ設定点、状態S2中にRF発生器RFGaに適用される電力パラメータ設定点、状態S3中にRF発生器RFGaに適用される電力パラメータ設定点、状態S1中にRF発生器RFGaに適用される周波数設定点、状態S2中にRF発生器RFGaに適用される周波数設定点、および、状態S3中にRF発生器RFGaに適用される周波数設定点、を有する。パルス信号202に関する情報は、RF発生器RFGaによって生成されるRF信号が、クロックサイクルの遷移時間ts1に状態S1から状態S2に遷移し、クロックサイクルの遷移時間ts2に状態S2から状態S3に遷移し、クロックサイクルの遷移時間ts3に状態S3から状態S1に遷移することを、DSPxに示す。DSPxは、状態S1のための電力パラメータ設定点がパルス信号202の状態S1中に適用され、状態S2のための電力パラメータ設定点がパルス信号202の状態S2中に適用され、状態S3のための電力パラメータ設定点がパルス信号202の状態S3中に適用され、状態S1のための周波数設定点がパルス信号202の状態S1中に適用され、状態S2のための周波数設定点がパルス信号202の状態S2中に適用され、状態S3のための周波数設定点がパルス信号202の状態S3中に適用されることを、命令から決定する。さらに、DSPxは、RF発生器RFGaによって生成されるRF信号が、クロックサイクルの遷移時間ts1に状態S1から状態S2に遷移し、クロックサイクルの遷移時間ts2に状態S2から状態S3に遷移し、クロックサイクルの遷移時間ts3に状態S3から状態S1に遷移することを、命令およびパルス信号202から決定する。遷移時間ts1〜ts3は、クロック信号204の各クロックサイクルで繰り返す。
クロック信号204のクロックサイクルの遷移時間ts3に、DSPxは、状態S1のための電力パラメータ設定点を電力コントローラPWRS1xに送信する。同様に、クロック信号204のクロックサイクルの遷移時間ts1に、DSPxは、状態S2のための電力パラメータ設定点を電力コントローラPWRS2xに送信する。また、クロック信号204のクロックサイクルの遷移時間ts2に、DSPxは、状態S3のための電力パラメータ設定点を電力コントローラPWRS3xに送信する。さらに、クロックサイクルの遷移時間ts3に、DSPxは、状態S1のための周波数設定点を自動周波数調整器AFTS1xに送信する。また、クロックサイクルの遷移時間ts1に、DSPxは、状態S2のための周波数設定点を自動周波数調整器AFTS2xに送信する。さらに、クロックサイクルの遷移時間ts2に、DSPxは、状態S3のための周波数設定点を自動周波数調整器AFTS3xに送信する。
状態S1のための電力パラメータ設定点を受信すると、電力コントローラPWRS1xは、状態S1のための電力パラメータ設定点に対応する電流量を決定する。状態S1中にドライバシステム118によって生成される電流量に基づいて、電力コントローラPWRS1xは、コマンド信号を生成し、そのコマンド信号をドライバシステム118に送信する。状態S1中に、コマンド信号の受信に応答して、ドライバシステム118は、その電流量を有する電流信号を生成して、RF電源Psxに送信する。RF電源Psxは、電流信号の受信後に、状態S1のための電力パラメータ設定点を有するRF信号を生成し、RF発生器RFGaの出力およびRFケーブル124を介してIMN104の入力にそのRF信号を供給する。状態S1のための電力パラメータ設定点は、状態S1中にRF発生器RFGaのRF電源Psxによって維持される。
同様に、状態S2のための電力パラメータ設定点を受信すると、電力コントローラPWRS2xは、状態S2のための電力パラメータ設定点に対応する電流量を決定する。状態S2中にドライバシステム118によって生成される電流量に基づいて、電力コントローラPWRS2xは、コマンド信号を生成し、そのコマンド信号をドライバシステム118に送信する。状態S2中に、コマンド信号の受信に応答して、ドライバシステム118は、その電流量を有する電流信号を生成して、RF電源Psxに送信する。RF電源Psxは、電流信号の受信後に、状態S2のための電力パラメータ設定点を有するRF信号を生成し、RF発生器RFGaの出力およびRFケーブル124を介してIMN104の入力にそのRF信号を供給する。状態S2のための電力パラメータ設定点は、状態S2中にRF発生器RFGaのRF電源Psxによって維持される。
さらに、状態S3のための電力パラメータ設定点を受信すると、電力コントローラPWRS3xは、状態S3のための電力パラメータ設定点に対応する電流量を決定する。状態S3中にドライバシステム118によって生成される電流量に基づいて、電力コントローラPWRS3xは、コマンド信号を生成し、そのコマンド信号をドライバシステム118に送信する。状態S3中に、コマンド信号の受信に応答して、ドライバシステム118は、その電流量を有する電流信号を生成して、RF電源Psxに送信する。RF電源Psxは、電流信号の受信後に、状態S3のための電力パラメータ設定点を有するRF信号を生成し、RF発生器RFGaの出力およびRFケーブル124を介してIMN104の入力にそのRF信号を供給する。状態S3のための電力パラメータ設定点は、状態S3中にRF発生器RFGaのRF電源Psxによって維持される。
さらに、状態S1のための周波数設定点を受信すると、自動周波数調整器AFTS1xは、状態S1のための周波数設定点に対応する電流量を決定する。状態S1中にドライバシステム118によって生成される電流量に基づいて、自動周波数調整器AFTS1xは、コマンド信号を生成し、そのコマンド信号をドライバシステム118に送信する。状態S1中に、コマンド信号の受信に応答して、ドライバシステム118は、その電流量を有する電流信号を生成して、RF電源Psxに送信する。RF電源Psxは、電流信号の受信後に、状態S1のための周波数設定点を有するRF信号を生成し、RF発生器RFGaの出力およびRFケーブル124を介してIMN104の入力にそのRF信号を供給する。状態S1のための周波数設定点は、状態S1中にRF発生器RFGaのRF電源Psxによって維持される。状態S1のための電力パラメータ設定点および状態S1のための周波数設定点を有するRF信号は、状態S1中にRF発生器RFGaによって生成されるRF信号である。
同様に、状態S2のための周波数設定点を受信すると、自動周波数調整器AFTS2xは、状態S2のための周波数設定点に対応する電流量を決定する。状態S2中にドライバシステム118によって生成される電流量に基づいて、自動周波数調整器AFTS2xは、コマンド信号を生成し、そのコマンド信号をドライバシステム118に送信する。状態S2中に、コマンド信号の受信に応答して、ドライバシステム118は、その電流量を有する電流信号を生成して、RF電源Psxに送信する。RF電源Psxは、電流信号の受信後に、状態S2のための周波数設定点を有するRF信号を生成し、RF発生器RFGaの出力およびRFケーブル124を介してIMN104の入力にそのRF信号を供給する。状態S2のための周波数設定点は、状態S2中にRF発生器RFGaのRF電源Psxによって維持される。状態S2のための電力パラメータ設定点および状態S2のための周波数設定点を有するRF信号は、状態S2中にRF発生器RFGaによって生成されるRF信号である。
さらに、状態S3のための周波数設定点を受信すると、自動周波数調整器AFTS3xは、状態S3のための周波数設定点に対応する電流量を決定する。状態S3中にドライバシステム118によって生成される電流量に基づいて、自動周波数調整器AFTS3xは、コマンド信号を生成し、そのコマンド信号をドライバシステム118に送信する。状態S3中に、コマンド信号の受信に応答して、ドライバシステム118は、その電流量を有する電流信号を生成して、RF電源Psxに送信する。RF電源Psxは、電流信号の受信後に、状態S3のための周波数設定点を有するRF信号を生成し、RF発生器RFGaの出力およびRFケーブル124を介してIMN104の入力にそのRF信号を供給する。状態S3のための周波数設定点は、状態S3中にRF発生器RFGaのRF電源Psxによって維持される。状態S3のための電力パラメータ設定点および状態S3のための周波数設定点を有するRF信号は、状態S3中にRF発生器RFGaによって生成されるRF信号である。
プロセッサ132は、ケーブル148を介してDSPyへパルス信号202と共に命令を送信する。ケーブル148を介してDSPyに送信される命令は、パルス信号202に関する情報、状態S1中にRF発生器RFGbに適用される電力パラメータ設定点、状態S2中にRF発生器RFGbに適用される電力パラメータ設定点、状態S3中にRF発生器RFGbに適用される電力パラメータ設定点、状態S1中にRF発生器RFGbに適用される周波数設定点、状態S2中にRF発生器RFGbに適用される周波数設定点、および、状態S3中にRF発生器RFGbに適用される周波数設定点、を有する。パルス信号202に関する情報は、RF発生器RFGbによって生成されるRF信号が、クロック信号204のクロックサイクルの遷移時間ts1に状態S1から状態S2に遷移し、クロックサイクルの遷移時間ts2に状態S2から状態S3に遷移し、クロックサイクルの遷移時間ts3に状態S3から状態S1に遷移することを、DSPyに示す。DSPyは、命令を構文解析して、状態S1のための電力パラメータ設定点がパルス信号202の状態S1中に適用され、状態S2のための電力パラメータ設定点がパルス信号202の状態S2中に適用され、状態S3のための電力パラメータ設定点がパルス信号202の状態S3中に適用され、状態S1のための周波数設定点がパルス信号202の状態S1中に適用され、状態S2のための周波数設定点がパルス信号202の状態S2中に適用され、状態S3のための周波数設定点がパルス信号202の状態S3中に適用されることを、命令から決定する。さらに、DSPyは、RF発生器RFGbによって生成されるRF信号が、クロックサイクルの遷移時間ts1に状態S1から状態S2に遷移し、クロックサイクルの遷移時間ts2に状態S2から状態S3に遷移し、クロックサイクルの遷移時間ts3に状態S3から状態S1に遷移することを、命令から決定する。
クロック信号204のクロックサイクルの遷移時間ts3に、DSPyは、状態S1のための電力パラメータ設定点を電力コントローラPWRS1yに送信する。同様に、クロック信号204のクロックサイクルの遷移時間ts1に、DSPyは、状態S2のための電力パラメータ設定点を電力コントローラPWRS2yに送信する。また、クロック信号204のクロックサイクルの遷移時間ts2に、DSPyは、状態S3のための電力パラメータ設定点を電力コントローラPWRS3yに送信する。さらに、クロックサイクルの遷移時間ts3に、DSPyは、状態S1のための周波数設定点を自動周波数調整器AFTS1yに送信する。また、クロックサイクルの遷移時間ts1に、DSPyは、状態S2のための周波数設定点を自動周波数調整器AFTS2yに送信する。さらに、クロックサイクルの遷移時間ts2に、DSPyは、状態S3のための周波数設定点を自動周波数調整器AFTS3yに送信する。
状態S1のための電力パラメータ設定点を受信すると、電力コントローラPWRS1yは、状態S1のための電力パラメータ設定点に対応する電流量を決定する。状態S1中にドライバシステム128によって生成される電流量に基づいて、電力コントローラPWRS1yは、コマンド信号を生成し、そのコマンド信号をドライバシステム128に送信する。状態S1中に、コマンド信号の受信に応答して、ドライバシステム128は、その電流量を有する電流信号を生成して、RF電源Psyに送信する。RF電源Psyは、電流信号の受信後に、状態S1のための電力パラメータ設定点を有するRF信号を生成し、RF発生器RFGbの出力およびRFケーブル130を介してIMN104の他の入力にそのRF信号を供給する。状態S1のための電力パラメータ設定点は、状態S1中にRF電源Psyによって維持される。
同様に、状態S2のための電力パラメータ設定点を受信すると、電力コントローラPWRS2yは、状態S2のための電力パラメータ設定点に対応する電流量を決定する。状態S2中にドライバシステム128によって生成される電流量に基づいて、電力コントローラPWRS2yは、コマンド信号を生成し、そのコマンド信号をドライバシステム128に送信する。状態S2中に、コマンド信号の受信に応答して、ドライバシステム128は、その電流量を有する電流信号を生成して、RF電源Psyに送信する。RF電源Psyは、電流信号の受信後に、状態S2のための電力パラメータ設定点を有するRF信号を生成し、RF発生器RFGbの出力およびRFケーブル130を介してIMN104の他の入力にそのRF信号を供給する。状態S2のための電力パラメータ設定点は、状態S2中にRF電源Psyによって維持される。
さらに、状態S3のための電力パラメータ設定点を受信すると、電力コントローラPWRS3yは、状態S3のための電力パラメータ設定点に対応する電流量を決定する。状態S3中にドライバシステム128によって生成される電流量に基づいて、電力コントローラPWRS3yは、コマンド信号を生成し、そのコマンド信号をドライバシステム128に送信する。状態S3中に、コマンド信号の受信に応答して、ドライバシステム128は、その電流量を有する電流信号を生成して、RF電源Psyに送信する。RF電源Psyは、電流信号の受信後に、状態S3のための電力パラメータ設定点を有するRF信号を生成し、RF発生器RFGbの出力およびRFケーブル130を介してIMN104の他の入力にそのRF信号を供給する。状態S3のための電力パラメータ設定点は、状態S3中にRF電源Psyによって維持される。
さらに、状態S1のための周波数設定点を受信すると、自動周波数調整器AFTS1yは、状態S1のための周波数設定点に対応する電流量を決定する。状態S1中にドライバシステム128によって生成される電流量に基づいて、自動周波数調整器AFTS1yは、コマンド信号を生成し、そのコマンド信号をドライバシステム128に送信する。状態S1中に、コマンド信号の受信に応答して、ドライバシステム128は、その電流量を有する電流信号を生成して、RF電源Psyに送信する。RF電源Psyは、電流信号の受信後に、状態S1のための周波数設定点を有するRF信号を生成し、RF発生器RFGbの出力およびRFケーブル130を介してIMN104の他の入力にそのRF信号を供給する。状態S1のための周波数設定点は、状態S1中にRF電源Psyによって維持される。状態S1のための電力パラメータ設定点および状態S1のための周波数設定点を有するRF信号は、状態S1中にRF発生器RFGbによって生成されるRF信号である。
同様に、状態S2のための周波数設定点を受信すると、自動周波数調整器AFTS2yは、状態S2のための周波数設定点に対応する電流量を決定する。状態S2中にドライバシステム128によって生成される電流量に基づいて、自動周波数調整器AFTS2yは、コマンド信号を生成し、そのコマンド信号をドライバシステム132に送信する。状態S2中に、コマンド信号の受信に応答して、ドライバシステム132は、その電流量を有する電流信号を生成して、RF電源Psyに送信する。RF電源Psyは、電流信号の受信後に、状態S2のための周波数設定点を有するRF信号を生成し、RF発生器RFGbの出力およびRFケーブル130を介してIMN104の他の入力にそのRF信号を供給する。状態S2のための周波数設定点は、状態S2中にRF電源Psyによって維持される。状態S2のための電力パラメータ設定点および状態S2のための周波数設定点を有するRF信号は、状態S2中にRF発生器RFGbによって生成されるRF信号である。
さらに、状態S3のための周波数設定点を受信すると、自動周波数調整器AFTS3yは、状態S3のための周波数設定点に対応する電流量を決定する。状態S3中にドライバシステム128によって生成される電流量に基づいて、自動周波数調整器AFTS3yは、コマンド信号を生成し、そのコマンド信号をドライバシステム128に送信する。状態S3中に、コマンド信号の受信に応答して、ドライバシステム128は、その電流量を有する電流信号を生成して、RF電源Psyに送信する。RF電源Psyは、電流信号の受信後に、状態S3のための周波数設定点を有するRF信号を生成し、RF発生器RFGbの出力およびRFケーブル130を介してIMN104の他の入力にそのRF信号を供給する。状態S3のための周波数設定点は、状態S3中にRF電源Psyによって維持される。状態S3のための電力パラメータ設定点および状態S3のための周波数設定点を有するRF信号は、状態S3中にRF発生器RFGbによって生成されるRF信号である。
IMN104の入力は、入力において、RF発生器RFGaによって生成されたRF信号をRF発生器RFGaの出力からRFケーブル124を介して受信し、他の入力で、RF発生器RFGbによって生成されたRF信号をRF発生器RFGbの出力からRFケーブル130を介して受信し、IMN104の出力に接続されている負荷のインピーダンスを、IMN104の入力に接続されているソースのインピーダンスと整合して、変調されたRF信号をIMN104の出力で生成する。変調されたRF信号は、RF伝送線路126を介して上側電極106に送信される。1または複数の処理ガスが上側電極108とチャック110との間に供給されると、変調されたRF信号が下側電極120に供給され、出力RF信号がチャック110に供給され、1または複数の処理ガスが点火されて、プラズマチャンバ108内でプラズマを生成するか、または、プラズマがプラズマチャンバ108内で維持される。
様々な実施形態において、電力コントローラPWRS1x、PWRS2x、および、PWRS3x、ならびに、自動周波数調整器AFTS1x、AFTS2x、および、AFTS3xは、RF発生器RFGaのDSPxによって実行されるコンピュータプログラムのモジュール(例えば、一部など)である。
いくつかの実施形態において、電力コントローラPWRS1x、PWRS2x、および、PWRS3x、ならびに、自動周波数調整器AFTS1x、AFTS2x、および、AFTS3xは、RF発生器RFGaのDSPxの集積回路に接続されている別個の集積回路である。例えば、電力コントローラPWRS1xは、RF発生器RFGaの第1集積回路であり、電力コントローラPWRS2xは、RF発生器RFGaの第2集積回路であり、電力コントローラPWRS3xは、RF発生器RFGaの第3集積回路であり、自動周波数調整器AFTS1xは、RF発生器RFGaの第4集積回路であり、自動周波数調整器AFTS2xは、RF発生器RFGaの第5集積回路であり、自動周波数調整器AFTS3xは、RF発生器RFGaの第6集積回路であり、DSPxは、RF発生器RFGaの第7集積回路である。RF発生器RFGaの第1〜第6集積回路の各々は、RF発生器RFGaの第7集積回路に接続されている。
いくつかの実施形態において、電力コントローラPWRS1y、PWRS2y、および、PWRS3y、ならびに、自動周波数調整器AFTS1y、AFTS2y、および、AFTS3yは、RF発生器RFGbのDSPyによって実行されるコンピュータプログラムのモジュール(例えば、一部など)である。
様々な実施形態において、電力コントローラPWRS1y、PWRS2y、および、PWRS3y、ならびに、自動周波数調整器AFTS1y、AFTS2y、および、AFTS3yは、RF発生器RFGbのDSPyの集積回路に接続されている別個の集積回路である。例えば、電力コントローラPWRS1yは、RF発生器RFGbの第1集積回路であり、電力コントローラPWRS2yは、RF発生器RFGbの第2集積回路であり、電力コントローラPWRS3yは、RF発生器RFGbの第3集積回路であり、自動周波数調整器AFTS1yは、RF発生器RFGbの第4集積回路であり、自動周波数調整器AFTS2yは、RF発生器RFGbの第5集積回路であり、自動周波数調整器AFTS3yは、RF発生器RFGbの第6集積回路であり、DSPyは、RF発生器RFGbの第7集積回路である。RF発生器RFGbの第1〜第6集積回路の各々は、RF発生器RFGbの第7集積回路に接続されている。
いくつかの実施形態において、RF信号の状態S3の一例は、状態S3のための電力パラメータ設定点および状態S3のための周波数設定点を含む。状態S3のための電力パラメータ設定点は、動作電力パラメータ設定点であり、これは、状態S3中のRF信号の電力量または電圧量の電力パラメータレベル(エンベロープまたはゼロからピークまでの振幅など)である。状態S3のための周波数設定点は、動作周波数設定点であり、これは、状態S3中のRF信号の周波数値の周波数レベル(エンベロープまたはゼロからピークまでの振幅など)である。
様々な実施形態において、クロック信号204は、プロセッサ132またはクロックソース(上で例を挙げた)によって生成される。いくつかの実施形態において、クロック信号204は、プロセッサ132から、ケーブル146を介してRF発生器RFGaのDSPxへ、そして、ケーブル148を介してRF発生器RFGbのDSPyへ送信される。
いくつかの実施形態において、パルス信号202がプロセッサ132からRF発生器RFGaおよびRFGbへ送信される代わりに、パルス信号202は、マスタRF発生器からスレーブRF発生器(RF発生器RFGbなど)へ送信される。マスタRF発生器の一例は、RF発生器RFGaを含む。例示すると、RF発生器RFGaのデジタル信号プロセッサDSPxは、プロセッサ132からパルス信号202を受信し、ケーブル(パラレル転送ケーブル、シリアル転送ケーブル、または、USBケーブルなど)を介して、パルス信号202をRF発生器RFGbのデジタル信号プロセッサDSPyへ送信する。
図2Bは、RF発生器RFGaによって生成および供給されるRF信号(RF信号216Aなど)の、ならびに、RF発生器RFGbによって生成および供給されるRF信号(RF信号216Bなど)の、状態S1、S2、および、S2を説明するために、グラフ210、212、および、214の実施形態を示す図である。グラフ210は、時間tに対してクロック信号204のロジックレベルをプロットしている。同様に、グラフ212は、時間tに対してパルス信号202のロジックレベルをプロットしている。
期間t1は、RF発生器RFGaおよびRFGbによって生成されるRF信号の状態S1が維持されるクロック信号204のクロックサイクル中の期間である。同様に、期間t2は、RFGaおよびRFGbによって生成されるRF信号の状態S2が維持されるクロック信号204のクロックサイクル中の期間である。また、期間t3は、RFGaおよびRFGbによって生成されるRF信号の状態S3が維持されるクロック信号204のクロックサイクル中の期間である。例えば、期間t1は、クロックサイクルの一部を占め、期間t2は、クロックサイクルの別の一部を占め、期間t3は、クロックサイクルの残りの部分を占める。クロック信号204のクロックサイクルは、期間t1〜t3で構成されており、クロック信号204の複数のクロックサイクルを生成するように繰り返す。
期間t1中に、パルス信号202は、ロジックレベル1からロジックレベル0へパルスする。ロジックレベルは、高ロジックレベルの一例であり、ロジックレベル0は、低ロジックレベルの一例である。期間t1中、RF発生器RFGaおよびRFGbによって生成されるRF信号は、状態S1を維持するように制御される。
パルス信号202がロジックレベル0からロジックレベル1へ遷移するクロックサイクルの遷移時間ts1では、RF発生器RFGaおよびRFGbによって生成されるRF信号は、状態S1から状態S2へ遷移するように制御される。遷移時間ts1は、期間t1の後に起きる。
期間t2は、遷移時間ts1の後に起きる。期間t2中に、パルス信号202は、ロジックレベル1からロジックレベル0へパルスする。さらに、期間t2中、RF発生器RFGaおよびRFGbによって生成されるRF信号は、状態S2を維持するように制御される。
パルス信号202がロジックレベル0からロジックレベル1へ遷移するクロックサイクルの遷移時間ts2では、RF発生器RFGaおよびRFGbによって生成されるRF信号は、状態S2から状態S3へ遷移するように制御される。遷移時間ts2は、期間t2の後に起きる。
期間t3は、遷移時間ts2の後に起きる。期間t3中に、パルス信号202は、ロジックレベル1からロジックレベル0へパルスする。さらに、期間t3中、RF発生器RFGaおよびRFGbによって生成されるRF信号は、状態S3を維持するように制御される。
パルス信号102がロジックレベル0からロジックレベル1へ遷移するクロックサイクルの遷移時間ts3では、RF発生器RFGaおよびRFGbによって生成されるRF信号は、状態S3から状態S1へ遷移するように制御される。遷移時間ts3は、期間t3の後に起きる。期間t1は、遷移時間ts3の後、クロック信号204の続きのクロックサイクル中に繰り返す。クロック信号204の続きのクロックサイクル中の期間t1の後には、クロック信号204の続きのクロックサイクルの期間t2およびt3が再び続く。クロック信号204の続きのクロックサイクルは、クロック信号204のクロックサイクルに連続的に続くまたは順次続くなど、連続的である。遷移時間ts1〜ts3および期間t1〜t3は、続きのクロックサイクル中に繰り返す。さらに、遷移時間ts1〜ts3および期間t1〜t3は、続きのサイクルの後に繰り返すクロック信号204の次のサイクル中に繰り返す。
RF信号216Aおよび216Bの状態S1〜S3は、クロック信号204の各サイクルと同期して繰り返す。例えば、RF信号216Aの状態S1〜S3は、クロック信号204のクロックサイクル中に起こり、RF信号216Aの状態S1〜S3は、クロック信号204の続きのクロックサイクル中に繰り返す。別の例として、RF信号216Bの状態S1〜S3は、クロック信号204のクロックサイクル中に起こり、RF信号216Bの状態S1〜S3は、クロック信号204の続きのクロックサイクル中に繰り返す。
RF信号216Aは、状態S1中に周波数レベル「f1x」を有し、状態S1中に電力パラメータレベル「P1x」を有する。さらに、RF信号216Bは、状態S1中に0である周波数レベル「f1y」を有し、状態S1中に0である電力パラメータレベル「P1y」を有する。
同様に、RF信号216Aは、状態S2中に周波数レベル「f2x」を有し、状態S2中に電力パラメータレベル「P2x」を有する。周波数レベル「f2x」は、周波数レベル「f1x」と同じであり、電力パラメータレベル「P2x」は、電力パラメータレベル「P1x」と同じである。さらに、RF信号216Bは、状態S2中に周波数レベル「f2y」を有し、状態S2中に電力パラメータレベル「P2y」を有する。電力パラメータレベル「P2y」は、電力パラメータレベル「P2x」と同じである。周波数レベル「f2y」は、周波数レベル「f2x」よりも高い。
同様に、RF信号216Aは、状態S3中に0である周波数レベル「f3x」を有し、状態S3中に0である電力パラメータレベル「P3x」を有する。さらに、RF信号216Bは、状態S3中に周波数レベル「f3y」を有し、状態S3中に電力パラメータレベル「P3y」を有する。周波数レベル「f3y」は、周波数レベル「f2y」よりも低く、周波数レベル「f2x」よりも高い。さらに、電力パラメータレベル「P3y」は、電力パラメータレベル「P2y」と同じである。
電力パラメータレベル「P1x」、「P2x」、「P2y」、および、「P3y」は、グラフ214に示すように、0ではないことに注意されたい。同様に、周波数レベル「f1x」、「f2x」、「f2y」、および、「f3y」は、グラフ214に示すように、0ではない。
いくつかの実施形態において、電力パラメータレベル「P2y」および「P3y」は、電力パラメータレベル「P1x」および「P2x」と同じではない(低いまたは高い)。
様々な実施形態において、周波数レベル「f3y」は、周波数レベル「f2y」よりも高い。いくつかの実施形態において、周波数レベル「f3y」は、周波数レベル「f2y」と同じである。いくつかの実施形態において、電力パラメータレベル「P2x」は、電力パラメータレベル「P1x」と同じではない(高いまたは低い)。様々な実施形態において、電力パラメータレベル「P2y」は、電力パラメータレベル「P3y」と同じではない(高いまたは低い)。
いくつかの実施形態において、周波数レベル「f2x」は、周波数レベル「f1x」と同じではない(高いまたは低い)。様々な実施形態において、周波数レベル「f1x」および電力パラメータレベル「P1x」は、0である。いくつかの実施形態において、周波数レベル「f2x」および電力パラメータレベル「P2x」は、0である。いくつかの実施形態において、周波数レベル「f3y」および電力パラメータレベル「P3y」は、0である。いくつかの実施形態において、周波数レベル「f2y」および電力パラメータレベル「P2y」は、0である。
いくつかの実施形態において、RF信号216AまたはRF信号216Bの状態S1〜S3の各々は、1/3のデューティサイクルを有する。いくつかの実施形態において、RF信号(RF信号216AまたはRF信号216Bなど)の状態S1〜S3の各々は、RF信号の状態S1〜S3の残りのどの状態のデューティサイクルとも異なるデューティサイクルを有する。例えば、RF信号の状態S1がa%のデューティサイクルを有し、RF信号の状態S2がb%のデューティサイクルを有し、RF信号の状態S3が(100−a−b)%のデューティサイクルを有する。ここで、RF信号の状態S1のデューティサイクルは、RF信号の状態S2のデューティサイクルとは異なる。別の例として、RF信号の状態S1のデューティサイクルは、RF信号の状態S2のデューティサイクルと異なり、RF信号の状態S1のデューティサイクルは、RF信号の状態S3のデューティサイクルと同じである。別の例として、RF信号の状態S1のデューティサイクルは、RF信号の状態S3のデューティサイクルと異なる。さらに別の例として、RF信号の状態S1のデューティサイクルは、RF信号の状態S3のデューティサイクルと異なり、RF信号の状態S1のデューティサイクルは、RF信号の状態S2のデューティサイクルと同じである。さらに別の例として、RF信号の状態S2のデューティサイクルは、RF信号の状態S3のデューティサイクルと異なる。別の例として、RF信号の状態S2のデューティサイクルは、RF信号の状態S3のデューティサイクルと異なり、RF信号の状態S2のデューティサイクルは、RF信号の状態S1のデューティサイクルと同じである。
RF発生器RFGaは状態S2中に周波数レベル「f2x」で動作するように制御されることに注意されたい。状態S2中にRF発生器RFGaによって生成されたRF信号の電力パラメータが、状態S3中にRF発生器RFGbによって生成されたRF信号の電力パラメータに追加される。プラズマチャンバ108内で形成されたプラズマのプラズマシース123は、キャパシタとして機能し、そのキャパシタは、周波数レベル「f2x」に関連する電力パラメータレベル「P2x」から状態S2中に充電し、状態S3中に放電する。電力パラメータの追加およびキャパシタの放電は、状態S3中にプラズマチャンバ108内のプラズマのイオンのイオンエネルギを増大させ、状態S3中にイオンエネルギの角発散を減少させる。例えば、プラズマチャンバ108内のプラズマの垂直方向性が、状態S3中の電力パラメータの追加と共に、状態S3中に増大する。
図2Cは、RF発生器RFGaによって生成および供給されるRF信号(RF信号220Aなど)の、ならびに、RF発生器RFGbによって生成および供給されるRF信号(RF信号220Bなど)の、状態S1、S2、および、S2を説明するために、グラフ210、212、および、218の実施形態を示す図である。
RF信号220Aおよび220Bの状態S1〜S3は、クロック信号204の各サイクルと同期して繰り返す。例えば、RF信号220Aの状態S1〜S3は、クロック信号204のクロックサイクル中に起こり、RF信号220Aの状態S1〜S3は、クロック信号204の続きのクロックサイクル中に繰り返す。別の例として、RF信号220Bの状態S1〜S3は、クロック信号204のクロックサイクル中に起こり、RF信号220Bの状態S1〜S3は、クロック信号204の続きのクロックサイクル中に繰り返す。
RF信号220Aは、状態S1中に0である周波数レベル「f1x」を有し、状態S1中に0である電力パラメータレベル「P1x」を有する。さらに、RF信号220Bは、状態S1中に0である周波数レベル「f1y」を有し、状態S1中に0である電力パラメータレベル「P1y」を有する。
同様に、RF信号220Aは、状態S2中に周波数レベル「f2x」を有し、状態S2中に電力パラメータレベル「P2x」を有する。さらに、RF信号220Bは、状態S2中に周波数レベル「f2y」を有し、状態S2中に電力パラメータレベル「P2y」を有する。電力パラメータレベル「P2y」は、電力パラメータレベル「P2x」と同じであり、周波数レベル「f2y」は、周波数レベル「f2x」よりも高い。
同様に、RF信号220Aは、状態S3中に周波数レベル「f3x」を有し、状態S3中に電力パラメータレベル「P3x」を有する。周波数レベル「f3x」は、周波数レベル「f2x」よりも高く、電力パラメータレベル「P3x」は、電力パラメータレベル「P2x」と同じである。さらに、RF信号220Bは、状態S3中に周波数レベル「f3y」を有し、状態S3中に電力パラメータレベル「P3y」を有する。周波数レベル「f3y」は、周波数レベル「f2y」よりも低い。さらに、電力パラメータレベル「P3y」は、電力パラメータレベル「P2y」と同じである。また、周波数レベル「f3x」は、周波数レベル「f2x」よりも高い。
いくつかの実施形態において、電力パラメータレベル「P2y」および「P3y」は、電力パラメータレベル「P2x」および「P3x」と同じではない(低いまたは高い)。
様々な実施形態において、周波数レベル「f3x」は、周波数レベル「f2x」と同じである。いくつかの実施形態において、周波数レベル「f3x」は、周波数レベル「f2x」よりも低い。様々な実施形態において、周波数レベル「f3y」は、周波数レベル「f2y」よりも高い。いくつかの実施形態において、周波数レベル「f3y」は、周波数レベル「f2y」と同じである。
いくつかの実施形態において、電力パラメータレベル「P2x」は、電力パラメータレベル「P3x」と同じではない(高いまたは低い)。様々な実施形態において、電力パラメータレベル「P2y」は、電力パラメータレベル「P3y」と同じではない(高いまたは低い)。
いくつかの実施形態において、周波数レベル「f2x」および電力パラメータレベル「P2x」は、0である。様々な実施形態において、周波数レベル「f3x」および電力パラメータレベル「P3x」は、0である。いくつかの実施形態において、周波数レベル「f2y」および電力パラメータレベル「P2y」は、0である。いくつかの実施形態において、周波数レベル「f3y」および電力パラメータレベル「P3y」は、0である。
いくつかの実施形態において、RF信号220AまたはRF信号220Bの状態S1〜S3の各々は、1/3のデューティサイクルを有する。いくつかの実施形態において、RF信号(RF信号220AまたはRF信号220Bなど)の状態S1〜S3の各々は、RF信号の状態S1〜S3の残りのどの状態のデューティサイクルとも異なるデューティサイクルを有する。例えば、RF信号の状態S1がa%のデューティサイクルを有し、RF信号の状態S2がb%のデューティサイクルを有し、RF信号の状態S3が(100−a−b)%のデューティサイクルを有する。
RF発生器RFGaは状態S2中に周波数レベル「f2x」で動作するように制御されることに注意されたい。状態S2中にRF発生器RFGaによって生成されたRF信号の電力パラメータが、状態S3中にRF発生器RFGbによって生成されたRF信号の電力パラメータに追加される。プラズマチャンバ108内で形成されたプラズマのプラズマシース123は、キャパシタとして機能し、そのキャパシタは、周波数レベル「f2x」に関連する電力パラメータレベル「P2x」から状態S2中に充電し、状態S3中に放電する。電力パラメータの追加およびキャパシタの放電は、状態S3中にプラズマチャンバ108内のプラズマのイオンのイオンエネルギを増大させ、状態S3中にイオンエネルギの角発散を減少させる。例えば、プラズマチャンバ108内のプラズマの垂直方向性が、状態S3中の電力パラメータの追加と共に、状態S3中に増大する。
電力パラメータレベル「P2x」、「P3x」、「P2y」、および、「P3y」は、グラフ218に示すように、0ではないことに注意されたい。さらに、周波数レベル「f2x」、「f3x」、「f2y」、および、「f3y」は、グラフ218に示すように、0ではない。
図2Dは、RF発生器RFGaによって生成および供給されるRF信号(RF信号224Aなど)の、ならびに、RF発生器RFGbによって生成および供給されるRF信号(RF信号224Bなど)の、状態S1、S2、および、S2を説明するために、グラフ210、212、および、222の実施形態を示す図である。
RF信号224Aおよび224Bの状態S1〜S3は、クロック信号204の各サイクルと同期して繰り返す。例えば、RF信号224Aの状態S1〜S3は、クロック信号204のクロックサイクル中に起こり、RF信号224Aの状態S1〜S3は、クロック信号204の続きのクロックサイクル中に繰り返す。別の例として、RF信号224Bの状態S1〜S3は、クロック信号204のクロックサイクル中に起こり、RF信号224Bの状態S1〜S3は、クロック信号204の続きのクロックサイクル中に繰り返す。
RF信号224Aは、状態S1中に0である周波数レベル「f1x」を有し、状態S1中に0である電力パラメータレベル「P1x」を有する。さらに、RF信号224Bは、状態S1中に0である周波数レベル「f1y」を有し、状態S1中に0である電力パラメータレベル「P1y」を有する。
同様に、RF信号224Aは、状態S2中に周波数レベル「f2x」を有し、状態S2中に0である電力パラメータレベル「P2x」を有する。さらに、RF信号224Bは、状態S2中に周波数レベル「f2y」を有し、状態S2中に電力パラメータレベル「P2y」を有する。RF信号224Bの周波数レベル「f2y」は、状態S2中にRF信号224Aの周波数レベル「f2x」よりも高く、RF信号224Bの電力パラメータレベル「P2y」は、状態S2中にRF信号224Aの電力パラメータレベル「P2x」と同じである。
同様に、RF信号224Aは、状態S3中に0である周波数レベル「f3x」を有し、状態S3中に0である電力パラメータレベル「P3x」を有する。さらに、RF信号224Bは、状態S3中に周波数レベル「f3y」を有し、状態S3中に電力パラメータレベル「P3y」を有する。状態S3中のRF信号224Bの周波数レベル「f3y」は、状態S2中のRF信号224Bの周波数レベル「f2y」と同じである。さらに、状態S3中のRF信号224Bの電力パラメータレベル「P3y」は、状態S2中のRF信号224Bの電力パラメータレベル「P2y」と同じである。
いくつかの実施形態において、電力パラメータレベル「P2y」および「P3y」は、電力パラメータレベル「P2x」と同じではない(低いまたは高い)。
様々な実施形態において、周波数レベル「f3y」は、周波数レベル「f2y」と同じではない(高いまたは低い)。いくつかの実施形態において、周波数レベル「f2y」および電力パラメータレベル「P2y」は、0である。様々な実施形態において、周波数レベル「f3y」および電力パラメータレベル「P3y」は、0である。
いくつかの実施形態において、RF信号224AまたはRF信号224Bの状態S1〜S3の各々は、1/3のデューティサイクルを有する。いくつかの実施形態において、RF信号(RF信号224AまたはRF信号224Bなど)の状態S1〜S3の各々は、RF信号の状態S1〜S3の残りのどの状態のデューティサイクルとも異なるデューティサイクルを有する。例えば、RF信号の状態S1がa%のデューティサイクルを有し、RF信号の状態S2がb%のデューティサイクルを有し、RF信号の状態S3が(100−a−b)%のデューティサイクルを有し、ここで、aはbとは異なる整数である。
RF発生器RFGaは状態S2中に周波数レベル「f2x」で動作するように制御されることに注意されたい。状態S2中にRF発生器RFGaによって生成されたRF信号の電力パラメータが、状態S3中にRF発生器RFGbによって生成されたRF信号の電力パラメータに追加される。プラズマチャンバ108内で形成されたプラズマのプラズマシース223は、キャパシタとして機能し、そのキャパシタは、周波数レベル「f2x」に関連する電力パラメータレベル「P2x」から状態S2中に充電し、状態S3中に放電する。電力パラメータの追加およびキャパシタの放電は、状態S3中にプラズマチャンバ108内のプラズマのイオンのイオンエネルギを増大させ、状態S3中にイオンエネルギの角発散を減少させる。例えば、プラズマチャンバ108内のプラズマの垂直方向性が、状態S3中の電力パラメータの追加と共に、状態S3中に増大する。
電力パラメータレベル「P2x」、「P2y」、および、「P3y」は、グラフ222に示すように、0ではないことに注意されたい。さらに、周波数レベル「f2x」、「f2y」、および、「f3y」は、グラフ222に示すように、0ではない。
図3は、周波数パルス化RF発生器(RF発生器RFGxまたはRF発生器RFGaなど)によって生成されたRF信号の周波数レベルのパルス化で、基板122の表面(基板122のチャネルの表面など)に入射するプラズマイオンのピークエネルギが増大することを説明するために、複数のグラフ302Aおよび302Bの実施形態を示す図である。各グラフ302aおよび302bは、IEADをプロットしており、これは、基板122内に形成されたチャネルにおいて測定された角度θ(度)に対するプラズマイオンのエネルギ(電子ボルト(eV)で測定)のプロットである。グラフ302aは、RF発生器の周波数レベルがパルス状ではない(例えば、連続波(CW)モードで動作する)時のエネルギをプロットする。グラフ302bは、周波数パルス化RF発生器が用いられた時のエネルギをプロットする。RF発生器RFGxまたはRFGaの周波数レベルが複数の状態の間でパルス化されると、プラズマチャンバ108内のプラズマのプラズマイオンのピークイオンエネルギが、CWモードRF発生器を用いた場合のプラズマイオンのピークイオンエネルギと比較して増大することに注意されたい。さらに、RF発生器RFGxまたはRFGaの周波数レベルが、複数の状態の間でパルス化されると、チャネルにおけるプラズマイオンの角発散が、CWモードRF発生器を用いた場合のプラズマイオンの角発散と比較して減少する。さらに、グラフ302aおよび302bに示すように、バイアスRF発生器システム114によって供給されるバイアス電圧の量は、周波数パルス化RF発生器またはCWモードRF発生器のどちらを用いるかに関係なく、同じである(300ボルトなど)ことに注意されたい。ピークイオンエネルギの増大および角発散の減少は、基板122のエッチングのエッチング速度を増大させ、バイアス電圧は、エッチング速度の増大のために上げる必要はない。例えば、バイアスRF発生器システム114によって生成および供給される1または複数のRF信号のバイアス電圧は、RF発生器RFGxまたはRFGaの周波数レベルがパルス化される場合に一定である。別の例として、バイアスRF発生器システム114によって生成および供給される1または複数のRF信号のバイアス電圧は、RF発生器RFGxまたはRFGaの周波数レベルがパルス化された場合に、実質的に一定である(例えば、所定の閾値内である、所定の値の5〜10%以内である、など)。
図4は、バイアスRF発生器システム114によって供給されるバイアス電圧の上昇と共に、プラズマイオンの角度分布が小さくなることを説明するために、グラフ400の一実施形態を示す図である。グラフ400は、バイアス電圧に対して角度分布(度)をプロットしている。明らかに、バイアス電圧が200ボルトから1600ボルトまで上がるにつれて、角発散分布の減少およびエッチング速度の増大がある。角度分布は、本明細書では、角発散とも呼ばれる。
エッチング中、バイアス電圧は、エッチング速度を速くするために増大される。バイアス電圧の増大と共に、ピークイオンエネルギが増大し、プラズマイオンの角発散が減少するので、増大したバイアス電圧は、ほぼ垂直なプロファイル(適切なクリティカルディメンションなど)を維持しつつ、基板122に高アスペクト比フィーチャをより高速にエッチングする。しかしながら、増大したバイアス電圧は角発散を狭くし、これが、基板122の上部であるマスク層の腐食を増大させる。さらに、バイアス電圧の増大は、ハードウェア実装の複雑さを引き起こす。さらに、所定の量のバイアス電圧を超えると(5キロボルト超など)、角発散は、プラズマシース123の厚さが大きくなることから、より狭くはならない。
一実施形態において、RF発生器システム114によって供給されるバイアス電圧の量は、5キロボルト未満であることに注意されたい。
図5は、バイアス電圧の増大によって達成されるのと同等の角発散が、RF発生器RFGxまたはRFGyまたはRFGaまたはRFGbもしくはそれらの組み合わせの1または複数の周波数レベルをパルス化することによって達成されることを説明するために、グラフ500の一実施形態を示す図である。同じバイアス電圧に対して、上側電極106に接続されているRF発生器(RF発生器RFGxまたはRFGyまたはRFGaまたはRFGbもしくはそれらの組み合わせ)の1または複数の周波数レベルがパルス化されると、RF発生器がCWモードで動作する場合と比較して角発散が減少する。角発散の減少は、基板122のエッチングのエッチング速度を上昇させる。RF発生器RFGxまたはRFGyまたはRFGaまたはRFGbもしくはそれらの組み合わせの1または複数の周波数レベルがパルスされる場合、バイアス電圧を増大させる必要がない。
図6は、基板122内に形成されたチャネルのクリティカルディメンション(CD)の差を説明するために、グラフ602Aおよびグラフ602Bの実施形態を示す図である。グラフ602Aは、ナノメートル(nm)単位でのチャネルの高さをナノメートル単位でのチャネルの幅と比較してプロットしている。チャネルのクリティカルディメンションは、グラフ602Aに22.2nmと示されている。グラフ602Aのクリティカルディメンションは、RFGxまたはRFGyまたはRFGaまたはRFGbもしくはそれらの組み合わせの代わりに、CWモードのRF発生器を用いた時に達成される。グラフ602Bは、ナノメートル単位での基板122のチャネルの高さをナノメートル単位での基板122のチャネルの幅と比較してプロットしている。クリティカルディメンションは、グラフ602Bに20.1nmと示されている。グラフ602Aと比べて低いグラフ602Bのクリティカルディメンションは、RFGxまたはRFGyまたはRFGaまたはRFGbもしくはそれらの組み合わせの1または複数の周波数レベルがパルス化された場合に達成される。低いクリティカルディメンションは、プラズマチャンバ108内のプラズマのプラズマイオンの垂直方向性が、プラズマイオンの角発散の減少によって増大した時に達成される。プラズマイオンは、エッチング速度を上昇させるために垂直方向性が増大された時に、基板122のチャネルの底面上により多く集中する。
図7Aは、低い角発散でピークイオンエネルギ増強を達成するためのプラズマツール700の一実施形態を示すブロック図である。プラズマツール700は、RF発生器RFGx1と、ホストコンピュータ116と、IMN104と、プラズマチャンバ108と、IMN112と、バイアスRF発生器システム114と、を備える。RF発生器RFGx1の例は、400kHzRF発生器、2MHzRF発生器、または、13.56MHzRF発生器など、低周波RF発生器を含む。RF発生器RFGx1の他の例は、13.56MHzRF発生器、27MHzRF発生器、または、60MHzRF発生器など、高周波RF発生器を含む。
RF発生器RFGx1は、デジタル信号プロセッサDSPxと、電力パラメータコントローラPWRS1xと、別の電力パラメータコントローラPWRS2xと、自動周波数調整器AFTx1と、RF電源Psxと、ドライバシステム118と、を備える。
DSPxは、電力パラメータコントローラPWRS1xおよびPWRS2xと、自動周波数調整器AFTx1と、に接続されている。さらに、電力パラメータコントローラPWRS1xおよびPWRS2x、ならびに、AFTx1は、ドライバシステム118に接続されている。RF電源Psxは、RF発生器RFGx1の出力を介してRFケーブル124に接続されている。
プロセッサ132は、メモリデバイス134からのレシピにアクセスする。レシピの例は、状態S1中にRF発生器RFGx1に適用される電力パラメータ設定点、状態S2中にRF発生器RFGx1に適用される電力パラメータ設定点、状態S1およびS2中にRF発生器RFGx1に適用される周波数設定点、1または複数の処理ガスの化学、もしくは、それらの組み合わせ、を含む。
プロセッサ132は、ケーブル136を介してRF発生器RFGx1のDSPxへパルス信号102と共に命令を送信する。ケーブル136を介してRF発生器RFGx1のDSPxに送信される命令は、パルス信号102に関する情報、状態S1中にRF発生器RFGx1に適用される電力パラメータ設定点、状態S2中にRF発生器RFGx1に適用される電力パラメータ設定点、状態S1およびS2中にRF発生器RFGx1に適用される周波数設定点、を有する。パルス信号102に関する情報は、RF発生器RFGx1によって生成されるRF信号がパルス信号102の遷移時間tst1に状態S1から状態S2へ遷移すること、および、RF信号がパルス信号102の遷移時間tst2に状態S2から状態S1へ遷移することを、RF発生器RFGx1のDSPxに示す。RF発生器RFGx1のDSPxは、状態S1のための電力パラメータ設定点がパルス信号102の状態S1中に適用され、状態S2のための電力パラメータ設定点がパルス信号102の状態S2中に適用され、状態S1およびS2のための周波数設定点がパルス信号102の状態S1およびS2中に適用されることを、命令から決定する。さらに、RF発生器RFGx1のDSPxは、RF発生器RFGx1によって生成されるRF信号がパルス信号102の遷移時間tst1に状態S1から状態S2へ遷移すること、および、RF信号がパルス信号102の遷移時間tst2に状態S2から状態S1へ遷移することを、命令およびパルス信号102から決定する。
パルス信号102のサイクルの遷移時間tst2に、RF発生器RFGx1のDSPxは、状態S1のための電力パラメータ設定点を電力パラメータコントローラPWRS1xに送信する。同様に、パルス信号102のサイクルの遷移時間tst1に、DSPxは、状態S2のための電力パラメータ設定点を電力パラメータコントローラPWRS2xに送信する。さらに、パルス信号102のサイクルの遷移時間tst2またはtst1に、DSPxは、状態S1およびS2のための周波数設定点を自動周波数調整器AFTx1に送信する。
状態S1のための電力パラメータ設定点を受信すると、RF発生器RFGx1の電力パラメータコントローラPWRS1xは、状態S1のための電力パラメータ設定点に対応する電流量を決定する。状態S1中にRF発生器RFGx1のドライバシステム118によって生成される電流量に基づいて、RF発生器RFGx1の電力パラメータコントローラPWRS1xは、コマンド信号を生成し、そのコマンド信号をドライバシステム118に送信する。状態S1中に、コマンド信号の受信に応答して、RF発生器RFGx1のドライバシステム118は、その電流量を有する電流信号を生成して、RF電源Psxに送信する。RF発生器RFGx1のRF電源Psxは、電流信号の受信後に、状態S1のための電力パラメータ設定点を有するRF信号を生成し、RF発生器RFGx1の出力およびRFケーブル124を介してIMN104の入力にそのRF信号を供給する。状態S1のための電力パラメータ設定点は、状態S1中にRF発生器RFGx1のRF電源Psxによって維持される。
同様に、状態S2のための電力パラメータ設定点を受信すると、RF発生器RFGx1の電力パラメータコントローラPWRS2xは、状態S2のための電力パラメータ設定点に対応する電流量を決定する。状態S2中にRF発生器RFGx1のドライバシステム118によって生成される電流量に基づいて、RF発生器RFGx1の電力パラメータコントローラPWRS2xは、コマンド信号を生成し、そのコマンド信号をドライバシステム118に送信する。状態S2中に、コマンド信号の受信に応答して、RF発生器RFGx1のドライバシステム118は、その電流量を有する電流信号を生成して、RF電源Psxに送信する。RF発生器RFGx1のRF電源Psxは、電流信号の受信後に、状態S2のための電力パラメータ設定点を有するRF信号を生成し、RF発生器RFGx1の出力およびRFケーブル124を介してIMN104の入力にそのRF信号を供給する。状態S2のための電力パラメータ設定点は、状態S2中にRF発生器RFGx1のRF電源Psxによって維持される。
さらに、状態S1およびS2のための周波数設定点を受信すると、RF発生器RFGx1の自動周波数調整器AFTx1は、状態S1のための周波数設定点に対応する電流量を決定する。状態S1およびS2中にドライバシステム118によって生成される電流量に基づいて、自動周波数調整器AFTx1は、コマンド信号を生成し、そのコマンド信号をRF発生器RFGx1のドライバシステム118に送信する。状態S1およびS2中に、コマンド信号の受信に応答して、RF発生器RFGx1のドライバシステム118は、その電流量を有する電流信号を生成して、RF発生器RFGx1のRF電源Psxに送信する。RF発生器RFGx1のRF電源Psxは、電流信号の受信後に、状態S1のための周波数設定点を有するRF信号を生成し、RF発生器RFGx1の出力およびRFケーブル124を介してIMN104の入力にそのRF信号を供給する。状態S1およびS2のための周波数設定点は、状態S1およびS2中にRF発生器RFGx1のRF電源Psxによって維持される。状態S1のための電力パラメータ設定点および状態S1のための周波数設定点を有するRF信号は、状態S1中にRF発生器RFGx1によって生成されるRF信号である。同様に、状態S2のための電力パラメータ設定点および状態S2のための周波数設定点を有するRF信号は、状態S2中にRF発生器RFGx1によって生成されるRF信号である。
IMN104の入力は、RF発生器RFGx1のRF電源Psxによって生成されたRF信号をRF発生器RFGx1の出力からRFケーブル124を介して受信し、IMN104の出力に接続されている負荷のインピーダンスを、IMN104の入力に接続されているソースのインピーダンスと整合して、変調されたRF信号をIMN104の出力で生成する。IMN104の入力に接続されているソースの例は、RFケーブル124およびRF発生器RFGx1を含む。変調されたRF信号は、RF伝送ケーブル126を介して上側電極106に(TCPコイルの端部E1などに)送信される。
1または複数の処理ガスが上側電極106とチャック110との間に供給されると、変調されたRF信号が上側電極106に供給され、出力RF信号がチャック110に供給され、1または複数の処理ガスが点火されて、プラズマチャンバ108内でプラズマを生成または維持する。
様々な実施形態において、電力パラメータコントローラPWRS1xおよびPWRS2x、ならびに、自動周波数調整器AFTx1は、RF発生器RFGx1のDSPxによって実行されるコンピュータプログラムのモジュール(例えば、一部など)である。
いくつかの実施形態において、電力パラメータコントローラPWRS1xおよびPWRS2x、ならびに、自動周波数調整器AFTx1は、RF発生器RFGx1のDSPxの集積回路に接続されている別個の集積回路である。例えば、電力パラメータコントローラPWRS1xは、RF発生器RFGx1の第1集積回路であり、電力パラメータコントローラPWRS2xは、RF発生器RFGx1の第2集積回路であり、自動周波数調整器AFTx1は、RF発生器RFGx1の第3集積回路であり、DSPxは、RF発生器RFGx1の第4集積回路である。RF発生器RFGx1の第1〜第3集積回路の各々は、RF発生器RFGx1の第4集積回路に接続されている。
様々な実施形態において、2つのRF発生器が、IMN104に接続される。例えば、RF発生器RFGyは、IMN104の他の入力へのRFケーブル130を介してIMN104に接続される。IMN104は、RF発生器RFGx1およびRF発生器RFGyから受信したRF信号を組みあわせ、IMN104の出力に接続されている負荷のインピーダンスを、ソース(例えば、RF発生器RFGx1、RF発生器RFGy、RFケーブル124、RFケーブル130など)のインピーダンスと整合して、変調されたRF信号をIMN104の出力で生成する。
図7Bは、図7AのRF発生器RFGx1によって生成されるRF信号の電力パラメータのパルス化を説明するために、グラフ140、グラフ710、および、グラフ712の実施形態を示す図である。グラフ710は、時間tに対して、RF発生器RFGx1によって生成されるRF信号(RF信号714など)の電力パラメータレベルをプロットしている。さらに、グラフ712は、時間tに対して、RF発生器RFGx1によって生成されるRF信号(RF信号716など)の電力パラメータレベルをプロットしている。
グラフ140および710を参照すると、状態S1中、RF信号714は、電力パラメータレベル「Px1」および周波数レベル「fx1」を有する。さらに、遷移時間tst1に、RF信号714は、状態S1から状態S2へ遷移する。状態S2中、RF信号714は、電力パラメータレベル「0」および周波数レベル「0」を有する。遷移時間tst2に、RF信号714は、状態S2から状態S1へ戻るように遷移する。
RF信号714の状態S1のデューティサイクルは、RF信号714の状態S2のデューティサイクルと同じであることに注意されたい。例えば、状態S1のデューティサイクルは50%であり、状態S2のデューティサイクルは50%である。RF信号714の状態S1は、パルス信号102のサイクルの50%を占めており、RF信号714の状態S2は、パルス信号102のサイクルの残り50%を占めている。
様々な実施形態において、RF信号714の状態S1のデューティサイクルは、RF信号714の状態S2のデューティサイクルとは異なる。例えば、状態S1のデューティサイクルは25%であり、状態S2のデューティサイクルは75%である。RF信号714の状態S1は、パルス信号102のサイクルの25%を占めており、RF信号714の状態S2は、パルス信号102のサイクルの残り75%を占めている。別の例として、状態S1のデューティサイクルはa%であり、状態S2のデューティサイクルは(100−a)%である。RF信号714の状態S1は、パルス信号102のサイクルのa%を占めており、RF信号714の状態S2は、パルス信号102のサイクルの残り(100−a)%を占めている。
電力パラメータレベル「Px1」および周波数レベル「fx1」は、グラフ710に示すように、0ではないことに注意されたい。
グラフ712は、RF信号714および716が状態S2中に異なる電力パラメータレベルを有することを除けば、グラフ710と同様である。例えば、RF信号714は、状態S2中に電力パラメータレベル「0」を有し、RF信号716は、状態S2中に電力パラメータレベル「Px2」を有する。さらに、RF信号716は、状態S2中に周波数レベル「fx2」を有し、状態S2中の周波数レベル「fx2」は、状態S1中のRF信号716の周波数レベル「fx1」と同じである。RF信号716は、状態S1中に電力パラメータレベル「Px1」を有する。
グラフ140および712を参照すると、RF信号716の状態S1は、RF信号714の状態S1と同じである。例えば、RF信号716の電力パラメータレベル「Px1」は、状態S1中のRF信号714の電力パラメータレベル「Px1」と同じである。また、RF信号716の周波数レベル「fx1」は、状態S1中のRF信号714の周波数レベル「fx1」と同じである。
さらに、遷移時間tst1に、RF信号716は、状態S1から状態S2へ遷移する。状態S2中、RF信号716の電力パラメータレベル「Px2」は、状態S1中のRF信号714の0である電力パラメータレベルPx1よりも高いが、状態S1中のRF信号716の電力パラメータレベル「Px1」よりは低い。遷移時間tst2に、RF信号716は、状態S2から状態S1へ戻るように遷移する。
電力パラメータレベル「Px1」および「Px2」、ならびに、周波数レベル「fx1」および「fx2」は、グラフ712に示すように、0ではないことに注意されたい。
RF信号716の状態S1のデューティサイクルは、RF信号716の状態S2のデューティサイクルと同じであることに注意されたい。例えば、RF信号716の状態S1のデューティサイクルは50%であり、RF信号716の状態S2のデューティサイクルは50%である。RF信号716の状態S1は、パルス信号102のサイクルの50%を占めており、RF信号716の状態S2は、パルス信号102のサイクルの残り50%を占めている。
様々な実施形態において、RF信号716の状態S1のデューティサイクルは、RF信号716の状態S2のデューティサイクルとは異なる。例えば、RF信号716の状態S1のデューティサイクルは25%であり、RF信号716の状態S2のデューティサイクルは75%である。RF信号716の状態S1は、パルス信号102のサイクルの25%を占めており、RF信号716の状態S2は、パルス信号102のサイクルの残り75%を占めている。別の例として、RF信号716の状態S1のデューティサイクルはa%であり、RF信号716の状態S2のデューティサイクルは(100−a)%である。RF信号716の状態S1は、パルス信号102のサイクルのa%を占めており、RF信号716の状態S2は、パルス信号102のサイクルの残り(100−a)%を占めている。
RF発生器RFGx1は状態S2中に電力パラメータレベル「Px2」で動作するように制御されることに注意されたい。状態S2中にRF発生器RFGx1によって生成されたRF信号の電力パラメータが、状態S1中にRF発生器RFGx1によって生成されたRF信号の電力パラメータに追加される。プラズマチャンバ108内で形成されたプラズマのプラズマシース123は、キャパシタとして機能し、そのキャパシタは、周波数レベル「fx2」に関連する電力パラメータレベル「Px2」から状態S2中に充電し、状態S1中に放電する。電力パラメータの追加およびキャパシタの放電は、状態S1中にプラズマチャンバ108内のプラズマのイオンのイオンエネルギを増大させ、状態S1中にイオンエネルギの角発散を減少させる。例えば、プラズマチャンバ108内のプラズマの垂直方向性が、状態S1中の電力パラメータの追加と共に、状態S1中に増大する。
図8は、バイアス電圧の上昇と共に、プラズマイオンの垂直方向性が増すことを示すために、複数のグラフ800、802、804、および、806の実施形態を示す図である。各グラフ800、802、804、および、806は、基板122内に形成されたチャネルで測定された角度に対して、プラズマイオンのエネルギをプロットしている。図に示すように、バイアスRF発生器システム114によって供給されるバイアス電圧の上昇と共に、プラズマチャンバ108内のプラズマのプラズマのピークイオンエネルギが増大する。ピークイオンエネルギの増大と共に、チャネルにおけるプラズマイオンの角発散が減少し、プラズマイオンの垂直方向性が増大する。
図9は、電力パラメータパルス化RF発生器、例えば、RF発生器RFGxまたはRFGyまたはRFGaまたはRFGbまたはRFGx1、によって生成されたRF信号の電力パラメータレベルのパルス化で、基板122の表面に入射するプラズマイオンのピークエネルギが増大することを説明するために、複数のグラフ902および904の実施形態を示す図である。各グラフ902および904は、イオンエネルギ分布関数(IEDF)をプロットしており、それは、基板122内に形成されたチャネルで測定された角度に対するプラズマイオンのエネルギのプロットである。グラフ902は、RF発生器の電力パラメータレベルがパルス状ではない(例えば、CWモードで動作する)時のエネルギをプロットしている。グラフ904は、電力パラメータパルス化RF発生器を用いて電力パラメータレベルを複数の状態の間でパルス化した時のエネルギをプロットしている。電力パラメータパルス化RF発生器の電力パラメータレベルが複数の状態の間でパルス化されると、プラズマチャンバ108内のプラズマのプラズマイオンのピークイオンエネルギが、CWモードRF発生器を用いた場合のプラズマイオンのピークイオンエネルギと比較して増大することに注意されたい。さらに、電力パラメータパルス化RF発生器の電力パラメータレベルが複数の状態の間でパルス化されると、チャネルにおけるプラズマイオンの角度分布が、CWモードRF発生器を用いた場合のプラズマイオンの角度分布と比較して減少する。さらに、バイアスRF発生器システム114によって供給されるバイアス電圧の量は、電力パラメータパルス化RF発生器またはCWモードRF発生器のどちらを用いるかに関係なく、同じである(300ボルトなど)ことに注意されたい。ピークイオンエネルギの増大および角度分布の減少は、基板122のエッチングのエッチング速度を増大させ、バイアス電圧は、エッチング速度の増大のために上げる必要はない。例えば、バイアスRF発生器システム114によって生成および供給される1または複数のRF信号のバイアス電圧は、電力パラメータパルス化RF発生器の電力パラメータレベルがパルス化される場合に一定である。
図10は、グラフ400の一実施形態を示す図である。
図11は、バイアス電圧の増大によって達成されるのと同等の角発散が、電力パラメータパルス化RF発生器の電力パラメータレベルをパルス化することによって達成されることを説明するために、グラフ1100の一実施形態を示す図である。同じバイアス電圧に対して、上側電極106に接続されているRF発生器の電力パラメータレベルがCWモードで動作される(例えば、パルス化されない)場合、角発散はより大きい。角発散は、電力パラメータパルス化RF発生器を用いて達成されるのと比べて大きい。より小さい角発散を達成することでエッチング速度を増大させるために、電力パラメータパルス化RF発生器の電力パラメータレベルをパルス化する時に、バイアス電圧を上げる必要はない。
図12は、基板122内に形成されたチャネルのクリティカルディメンションの差を説明するために、グラフ1202Aおよびグラフ1202Bの実施形態を示す図である。グラフ1202Aは、ナノメートル単位でのチャネルの高さをナノメートル単位でのチャネルの幅と比較してプロットしている。チャネルのクリティカルディメンションは、グラフ1202Aに21.9nmと示されている。グラフ1202Aのクリティカルディメンションは、電力パラメータパルス化RF発生器の代わりにCWモードRF発生器を用いた時に達成される。グラフ1202Bは、ナノメートル単位での基板122のチャネルの高さをナノメートル単位での基板122のチャネルの幅と比較してプロットしている。クリティカルディメンションは、グラフ1202Bに19.2nmと示されている。グラフ1202Aと比べて低いグラフ1202Bのクリティカルディメンションは、電力パラメータパルス化RF発生器の電力パラメータレベルがパルス化された場合に達成される。低いクリティカルディメンションは、プラズマチャンバ108内のプラズマのプラズマイオンの垂直方向性が、プラズマチャンバ108内のプラズマのプラズマイオンの角発散の減少によって増大した時に達成される。
図13Aは、低い角発散でピークイオンエネルギ増強を達成するためのプラズマツール1300の一実施形態を示すブロック図である。プラズマツール1300は、プラズマツール1300内で、バイアスRF発生器RFGbsがバイアスRF発生器システム114の代わりに用いられることを除けば、図1Aのプラズマツール100と同じである。バイアスRF発生器RFGbsは、連続波モードRF発生器であるバイアスRF発生器システム114と異なり、多状態RF発生器である。プラズマツール1300は、さらに、ホストコンピュータ116と、IMN112と、プラズマチャンバ108と、RF発生器RFGx(図1Aに示す)と、RF発生器RFGy(図1Aに示す)と、IMN104(図1Aに示す)と、を備える。
RF発生器RFGbsは、デジタル信号プロセッサDSPbs、電力パラメータコントローラPWRS1と、別の電力パラメータコントローラPWRS2と、自動周波数調整器AFTSと、RF電源Pbsと、ドライバシステム1302と、を備える。デジタル信号プロセッサDSPbsは、電力パラメータコントローラPWRS1およびPWRS2と、自動周波数調整器AFTSと、に接続されている。さらに、電力パラメータコントローラPWRS1およびPWRS2、ならびに、自動周波数調整器AFTSは、ドライバシステム1302に接続されている。ドライバシステム1302は、RF電源Pbsに接続されている。RF電源Pbsは、RF発生器RFGbsの出力を介してRFケーブルシステム137へ(RFケーブルシステム137のRFケーブルなどへ)接続されている。
プロセッサ132は、メモリデバイス134からのレシピにアクセスする。レシピの例は、状態S1中にRF発生器RFGbsに適用される電力パラメータ設定点、状態S2中にRF発生器RFGbsに適用される電力パラメータ設定点、状態S1およびS2中にRF発生器RFGxに適用される周波数設定点、もしくは、それらの組み合わせ、を含む。
プロセッサ132は、ケーブル117を介してDSPbsへパルス信号102と共に命令を送信する。ケーブル117を介してDSPbsに送信される命令は、パルス信号102に関する情報、状態S1中にRF発生器RFGbsに適用される電力パラメータ設定点、状態S2中にRF発生器RFGbsに適用される電力パラメータ設定点、状態S1およびS2中にRF発生器RFGbsに適用される周波数設定点、を有する。パルス信号102に関する情報は、RF発生器RFGbsによって生成されるRF信号がパルス信号102の遷移時間tst1に状態S1から状態S2へ遷移すること、および、RF信号がパルス信号102の遷移時間tst2に状態S2から状態S1へ遷移することを、DSPbsに示す。DSPbsは、状態S1のための電力パラメータ設定点がパルス信号102の状態S1中に適用され、状態S2のための電力パラメータ設定点がパルス信号102の状態S2中に適用され、状態S1およびS2のための周波数設定点がパルス信号102の状態S1およびS2中に適用されることを、命令から決定する。さらに、DSPbsは、RF発生器RFGbsによって生成されるRF信号がパルス信号102の遷移時間tst1に状態S1から状態S2へ遷移すること、および、RF信号がパルス信号102の遷移時間tst2に状態S2から状態S1へ遷移することを、命令およびパルス信号102から決定する。遷移時間tst1およびtst2は、パルス信号102の各サイクルで繰り返す。
パルス信号102のサイクルの遷移時間tst2に、DSPbsは、状態S1のための電力パラメータ設定点を電力パラメータコントローラPWRS1に送信する。同様に、パルス信号102のサイクルの遷移時間tst1に、DSPbsは、状態S2のための電力パラメータ設定点を電力パラメータコントローラPWRS2に送信する。さらに、パルス信号102のサイクルの遷移時間tst2またはtst1に、DSPbsは、状態S1およびS2のための周波数設定点を自動周波数調整器AFTSに送信する。
状態S1のための電力パラメータ設定点を受信すると、電力パラメータコントローラPWRS1は、状態S1のための電力パラメータ設定点に対応する電流量を決定する。状態S1中にドライバシステム1302によって生成される電流量に基づいて、電力パラメータコントローラPWRS1は、コマンド信号を生成し、そのコマンド信号をドライバシステム1302に送信する。状態S1中に、コマンド信号の受信に応答して、ドライバシステム1302は、その電流量を有する電流信号を生成して、RF電源Pbsに送信する。RF電源Pbsは、電流信号の受信後に、状態S1のための電力パラメータ設定点を有するRF信号を生成し、RF発生器RFGbsの出力およびRFケーブルシステム137のRFケーブルを介してIMN112の入力にそのRF信号を供給する。状態S1のための電力パラメータ設定点は、状態S1中にRF発生器RFGbsのRF電源Pbsによって維持される。
同様に、状態S2のための電力パラメータ設定点を受信すると、電力パラメータコントローラPWRS2は、状態S2のための電力パラメータ設定点に対応する電流量を決定する。状態S2中にドライバシステム1302によって生成される電流量に基づいて、電力パラメータコントローラPWRS2は、コマンド信号を生成し、そのコマンド信号をドライバシステム1302に送信する。状態S2中に、コマンド信号の受信に応答して、ドライバシステム1302は、その電流量を有する電流信号を生成して、RF電源Psbsに送信する。RF電源Pbsは、電流信号の受信後に、状態S2のための電力パラメータ設定点を有するRF信号を生成し、RF発生器RFGbsの出力およびRFケーブルシステム137のRFケーブルを介してIMN112の入力にそのRF信号を供給する。状態S2のための電力パラメータ設定点は、状態S2中にRF発生器RFGbsのRF電源Pbsによって維持される。
さらに、状態S1およびS2のための周波数設定点を受信すると、自動周波数調整器AFTSは、状態S1およびS2のための周波数設定点に対応する電流量を決定する。状態S1およびS2中にドライバシステム1302によって生成される電流量に基づいて、自動周波数調整器AFTSは、コマンド信号を生成し、そのコマンド信号をドライバシステム1302に送信する。状態S1およびS2中に、コマンド信号の受信に応答して、ドライバシステム1302は、その電流量を有する電流信号を生成して、RF電源Pbsに送信する。RF電源Pbsは、電流信号の受信後に、状態S1およびS2のための周波数設定点を有するRF信号を生成し、RF発生器RFGbsの出力およびRFケーブルシステム137のRFケーブルを介してIMN112の入力にそのRF信号を供給する。状態S1およびS2のための周波数設定点は、状態S1およびS2中にRF電源Pbsによって維持される。状態S1のための電力パラメータ設定点ならびに状態S1およびS2のための周波数設定点を有するRF信号は、状態S1中にRF発生器RFGbsによって生成されるRF信号である。同様に、状態S2のための電力パラメータ設定点ならびに状態S1およびS2のための周波数設定点を有するRF信号は、状態Ss中にRF発生器RFGbsによって生成されるRF信号である。
IMN112の入力は、RF電源Pbsによって生成されたRF信号をRF発生器RFGbsの出力からRFケーブルシステム137のRFケーブルを介して受信し、IMN112の出力に接続されている負荷のインピーダンスを、IMN112の入力に接続されているソースのインピーダンスと整合して、出力RF信号をIMN112の出力で生成する。IMN112の入力に接続されているソースの例は、RFケーブルシステム137およびRF発生器RFGbsを含む。出力RF信号は、チャック110(チャック110の下側電極など)へRF伝送線路139を介して送信される。
1または複数の処理ガスが上側電極106とチャック110との間に供給されると、変調されたRF信号が上側電極106に供給され、出力RF信号がチャック110に供給され、1または複数の処理ガスが点火されて、プラズマチャンバ108内でプラズマを生成または維持する。
様々な実施形態において、電力パラメータコントローラPWRS1およびPWRS2、ならびに、自動周波数調整器AFTSは、DSPbsによって実行されるコンピュータプログラムのモジュール(例えば、一部など)である。
いくつかの実施形態において、電力パラメータコントローラPWRS1およびPWRS2、ならびに、自動周波数調整器AFTSは、DSPbsの集積回路に接続されている別個の集積回路である。例えば、電力パラメータコントローラPWRS1は、RF発生器RFGbsの第1集積回路であり、電力パラメータコントローラPWRS2は、RF発生器RFGbsの第2集積回路であり、自動周波数調整器AFTSは、RF発生器RFGbsの第3集積回路であり、DSPbsは、RF発生器RFGbsの第4集積回路である。RF発生器RFGbsの第1〜第3集積回路の各々は、RF発生器RFGbsの第4集積回路に接続されている。
図13Bは、図13AのRF発生器RFGbsによって生成されるRF信号の電力パラメータのパルス化を説明するために、グラフ140、グラフ1310、および、グラフ1312の実施形態を示す図である。グラフ1310は、時間tに対して、RF発生器RFGbsによって生成されるRF信号(RF信号1314など)の電力パラメータレベルをプロットしている。さらに、グラフ1312は、時間tに対して、RF発生器RFGbsによって生成されるRF信号(RF信号1316など)の電力パラメータレベルをプロットしている。
グラフ140および1310を参照すると、状態S1中、RF信号1314は、電力パラメータレベル「0」および周波数レベル「0」を有する。さらに、遷移時間tst1に、RF信号1314は、状態S1から状態S2へ遷移する。状態S2中、RF信号1314は、電力パラメータレベル「Pb2」および周波数レベル「fb2」を有する。遷移時間tst2に、RF信号1314は、状態S2から状態S1へ戻るように遷移する。RF信号1314のゼロ電力パラメータレベルは、状態S1中に生成されたプラズマイオンがチャック110に向けられるのを回避する。したがって、プラズマイオンは、プラズマイオンの垂直方向性を高めてエッチング速度をさらに速めるために状態S2中に利用するのに向けて保存される。
RF信号1314の状態S1のデューティサイクルは、RF信号1314の状態S2のデューティサイクルと同じであることに注意されたい。例えば、状態S1のデューティサイクルは50%であり、状態S2のデューティサイクルは50%である。RF信号1314の状態S1は、パルス信号102のサイクルの50%を占めており、RF信号1314の状態S2は、パルス信号102のサイクルの残り50%を占めている。
様々な実施形態において、RF信号1314の状態S1のデューティサイクルは、RF信号1314の状態S2のデューティサイクルとは異なる。例えば、状態S1のデューティサイクルは25%であり、状態S2のデューティサイクルは75%である。RF信号1314の状態S1は、パルス信号102のサイクルの25%を占めており、RF信号1314の状態S2は、パルス信号102のサイクルの残り75%を占めている。別の例として、状態S1のデューティサイクルはa%であり、状態S2のデューティサイクルは(100−a)%である。RF信号1314の状態S1は、パルス信号102のサイクルのa%を占めており、RF信号1314の状態S2は、パルス信号102のサイクルの残り(100−a)%を占めている。
電力パラメータレベル「Pb2」および周波数レベル「fb2」は、グラフ1310に示すように、0ではないことに注意されたい。
グラフ1312は、RF信号1314および1316が状態S1中に異なる電力パラメータレベルを有することを除けば、グラフ1310と同様である。例えば、RF信号1314は、状態S1中に電力パラメータレベル「0」を有し、RF信号1316は、状態S1中に電力パラメータレベル「Pb1」を有する。さらに、RF信号1316は、状態S1中に周波数レベル「fb1」を有し、状態S1中の周波数レベル「fb1」は、状態S2中のRF信号1316の周波数レベル「fb2」と同じである。RF信号1316は、状態S2中に電力パラメータレベル「Pb2」を有する。状態S2中と比べて低い状態S1中のRF信号1316の電力パラメータレベルは、状態S1中に生成されるプラズマイオンが状態S1中にチャック110に向けられることを回避する。したがって、プラズマイオンは、プラズマイオンの垂直方向性を高めてエッチング速度をさらに速めるために状態S2中に利用するのに向けて保存される。
グラフ140および1312を参照すると、RF信号1316の状態S2は、RF信号1314の状態S2と同じである。例えば、状態S2中、RF信号1316は、電力パラメータレベル「Pb2」を有し、これは、状態S2中のRF信号1314の電力パラメータレベル「Pb2」と同じである。また、状態S2中、RF信号1316は、周波数レベル「fb2」を有し、これは、状態S2中のRF信号1314の周波数レベルと同じである。
さらに、遷移時間tst1に、RF信号1316は、状態S1から状態S2へ遷移する。電力パラメータレベル「Pb1」は、状態S1中のRF信号1314の電力パラメータレベル「0」よりも高いが、状態S2中のRF信号1316の電力パラメータレベル「Pb2」よりは低い。遷移時間tst2に、RF信号1316は、状態S2から状態S1へ戻るように遷移する。
電力パラメータレベル「Pb1」および「Pb2」、ならびに、周波数レベル「fb1」および「fb2」は、グラフ1312に示すように、0ではないことに注意されたい。
RF信号1316の状態S1のデューティサイクルは、RF信号1316の状態S2のデューティサイクルと同じであることに注意されたい。例えば、RF信号1316の状態S1のデューティサイクルは50%であり、RF信号1316の状態S2のデューティサイクルは50%である。RF信号1316の状態S1は、パルス信号102のサイクルの50%を占めており、RF信号1316の状態S2は、パルス信号102のサイクルの残り50%を占めている。
様々な実施形態において、RF信号1316の状態S1のデューティサイクルは、RF信号1316の状態S2のデューティサイクルとは異なる。例えば、RF信号1316の状態S1のデューティサイクルは25%であり、RF信号1316の状態S2のデューティサイクルは75%である。RF信号1316の状態S1は、パルス信号102のサイクルの25%を占めており、RF信号1316の状態S2は、パルス信号102のサイクルの残り75%を占めている。別の例として、RF信号1316の状態S1のデューティサイクルはa%であり、RF信号1316の状態S2のデューティサイクルは(100−a)%である。RF信号1316の状態S1は、パルス信号102のサイクルのa%を占めており、RF信号1316の状態S2は、パルス信号102のサイクルの残り(100−a)%を占めている。
いくつかの実施形態において、周波数レベル「fb1」は、周波数レベル「fb2」と異なる(低いまたは高い)。
本明細書に記載の実施形態は、ハンドヘルドハードウェアユニット、マイクロプロセッサシステム、マイクロプロセッサベースまたはプログラム可能な家電、ミニコンピュータ、メインフレームコンピュータなど、様々なコンピュータシステム構成で実施されてもよい。実施形態は、ネットワークを通して接続されている遠隔処理ハードウェアユニットによってタスクが実行される分散コンピューティング環境で実施されてもよい。
一部の実施形態において、コントローラは、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(ウエハペデスタル、ガスフローシステムなど)など、半導体処理装置を備える。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化される。電子機器は、「コントローラ」と呼ばれてもよく、1または複数のシステムの様々な構成要素または副部品を制御しうる。コントローラは、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、RF発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/またはシステムと接続または結合されているロードロックの内外へのウエハ移動など、本明細書に開示の処理のいずれを制御するようプログラムされる。
概して、様々な実施形態において、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義される。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、ASICとして定義されるチップ、PLD、および/または、1または複数のマイクロプロセッサ、もしくは、プログラム命令(例えば、ソフトウェア)を実行するマイクロコントローラを含む。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でコントローラに伝えられて、半導体ウエハに対するまたは半導体ウエハのための特定の処理を実行するためのもしくはシステムへのパラメータ、因子、変数などを定義する命令である。プログラム命令は、一部の実施形態において、ウエハの1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部である。
コントローラは、一部の実施形態において、コンピュータの一部であるか、または、コンピュータに接続されており、かかるコンピュータは、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、または、それらの組み合わせでシステムに結合されている。例えば、コントローラは、「クラウド」内にあるか、もしくは、ウエハ処理のリモートアクセスを可能にするファブホストコンピュータシステムの全部または一部である。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして、製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、もしくは、複数の製造動作からの傾向または性能指標を調べる。
一部の実施形態では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含む)を介してシステムに処理レシピを提供する。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備え、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。いくつかの例において、コントローラは、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータ、因子、および/または、変数を指定する。パラメータ、因子、および/または、変数は、実行される処理のタイプならびにコントローラがインターフェース接続するまたは制御するよう構成されているツールのタイプに固有であることを理解されたい。したがって、上述のように、コントローラは、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散される。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置されている(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路を含む。
限定はしないが、様々な実施形態において、方法が適用されるシステムの例は、プラズマエッチングチャンバまたはモジュール、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層蒸着(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含む。
一部の実施形態において、上述の動作は、いくつかのタイプのプラズマチャンバ、例えば、誘導結合プラズマ(ICP)リアクタ、トランス結合プラズマチャンバ、導体ツール、誘電体ツールを備えるプラズマチャンバ、電子サイクロトロン共鳴(ECR)リアクタを備えるプラズマチャンバなど、に適用されることにも注意されたい。例えば、1または複数のRF発生器が、ICPリアクタ内のインダクタに接続される。インダクタの形状の例は、ソレノイド、ドーム形コイル、平坦形コイルなどを含む。
上述のように、ツールによって実行される1または複数の処理工程に応じて、ホストコンピュータは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信する。
上述の実施形態を念頭に置いて、実施形態の一部は、コンピュータシステムに格納されているデータを含め、コンピュータによって実行される様々な動作を用いることを理解されたい。これらの動作は、物理量を物理的に扱う動作である。本実施形態の一部を形成する本明細書で説明した動作はいずれも、有用な機械動作である。
実施形態の一部は、さらに、これらの動作を実行するためのハードウェアユニットまたは装置に関する。装置は、専用コンピュータ向けに特別に構成される。専用コンピュータとして規定された場合、コンピュータは、特定の目的に含まれない他の処理、プログラム実行、または、ルーチンを実行しつつ、特定の目的のために動作することができる。
一部の実施形態において、動作は、コンピュータメモリ、キャッシュに格納されているまたはコンピュータネットワークを介して取得された1または複数のコンピュータプログラムによって選択的にアクティベートまたは構成されているコンピュータで処理されてもよい。データがコンピュータネットワークを介して取得されると、そのデータは、コンピュータネットワーク(例えば、コンピューティングリソースのクラウド)上の他のコンピュータによって処理されてもよい。
1または複数実施形態は、非一時的なコンピュータ読み取り可能媒体上にコンピュータ読み取り可能なコードとして製造されてもよい。非一時的なコンピュータ読み取り可能媒体は、データを格納する任意のデータ記憶ハードウェアユニット(例えば、メモリデバイスなど)であり、データは、その後、コンピュータシステムによって読み出される。非一時的なコンピュータ読み取り可能媒体の例としては、ハードドライブ、ネットワーク接続ストレージ(NAS)、ROM、RAM、コンパクトディスク−ROM(CD−ROM)、CD−レコーダブル(CD−R)、CD−リライタブル(CD−RW)、磁気テープ、および、その他の光学式および非光学式のデータ記憶ハードウェアユニットが挙げられる。一部の実施形態において、非一時的なコンピュータ読み取り可能媒体は、コンピュータ読み取り可能なコードが分散的に格納および実行されるように、ネットワーク接続されているコンピュータシステム上に分散されているコンピュータ読み取り可能なタンジブル媒体を含む。
上述の方法動作は、特定の順序で提示されているが、様々な実施形態において、その他のハウスキーピング処理が動作の合間に実行される、もしくは、方法動作が、若干異なる時間に実行される、様々な間隔で方法動作が起きることを許容するシステムに方法動作が分散される、または、上述したのと異なる順序で実行されるように調整されることを理解されたい。
さらに、一実施形態において、本開示に記載された様々な実施形態に記載された範囲を逸脱することなしに、本明細書に記載の任意の実施形態の1または複数の特徴が、任意の他の実施形態の1または複数の特徴と組み合わされることに注意されたい。
理解を深めるために、本実施形態について、ある程度詳しく説明したが、添付の特許請求の範囲内でいくらかの変更および変形を行ってもよいことは明らかである。したがって、本実施形態は、例示的なものであって、限定的なものではないとみなされ、実施形態は、本明細書に示した詳細に限定されない。

Claims (39)

  1. エッチング動作中に、イオンエネルギを増大させ、基板の表面に向けられるイオンの角発散を減少させるように、プラズマチャンバを動作させるための方法であって、
    前記プラズマチャンバの動作を駆動するためのパルス信号を受信し、前記パルス信号は第1状態および第2状態を含む2つの状態を有し、
    前記第1状態中に一次周波数レベルで一次高周波(RF)発生器を動作させ、前記第2状態中に前記一次RF発生器をオフ状態に維持し、前記第1状態中に前記一次RF発生器を動作させることは、前記基板の上に形成されるプラズマシースの増大した電荷を生み出し、前記増大した電荷は前記プラズマシースの厚さを増大させ、
    前記第2状態中に二次周波数レベルで二次RF発生器を動作させ、前記第1状態中に前記二次RF発生器を前記オフ状態に維持し、前記第2状態中に前記二次RF発生器を動作させることは、前記第1状態中に生み出された前記プラズマシースの前記増大した電荷の少なくとも一部を、前記第2状態中に生成される前記イオンエネルギを増強するための追加電力として利用し、前記追加電力は前記基板の前記表面に向けられた時に前記イオンの前記角発散を減少させ、前記一次および二次RF発生器はインピーダンス整合回路を介して、前記プラズマチャンバに関連する上部電極に接続され、
    前記第1および第2状態の複数サイクルにわたって前記エッチング動作を強化するために、前記パルス信号に従って、前記第1および第2状態で前記一次および二次RF発生器を動作させ続けること、
    を備える、方法。
  2. 請求項1に記載の方法であって、前記一次RF発生器は、少なくとも、前記プラズマシースの増大のための閾値量の電荷を生み出すために適格な所定の期間にわたって前記第1状態中にオンになるように動作する、方法。
  3. 請求項2に記載の方法であって、前記所定の期間は、前記基板の前記表面の或るタイプの材料をエッチングするためのレシピ較正ルーチンの間に決定される、方法。
  4. 請求項2に記載の方法であって、前記所定の期間は、前記パルス信号のデューティサイクルの10%〜50%の間の範囲である、方法。
  5. 請求項1に記載の方法であって、前記一次RF発生器は低周波RF発生器であり、前記二次RF発生器は高周波RF発生器であり、前記高周波RF発生器は前記低周波RF発生器と比べて高い動作周波数を有する、方法。
  6. 請求項1に記載の方法であって、前記第1状態中、前記プラズマシースに関連する時定数は増大し、前記第1状態中に前記一次RF発生器によって生成されるRF信号の一次電力レベルの少なくとも一部は、前記第2状態中に前記エッチング動作を強化するために、前記第2状態中に前記二次RF発生器によって生成されるRF信号の二次電力レベルに追加される、方法。
  7. 請求項1に記載の方法であって、さらに、前記第1状態中に第1バイアス電力パラメータレベルを有し、前記第2状態中に第2バイアス電力パラメータレベルを有するように、バイアスRF発生器を動作させることを備え、
    前記第1バイアス電力パラメータレベルは前記第2バイアス電力パラメータレベルよりも低く、前記バイアスRF発生器は別のインピーダンス整合回路を介して前記プラズマチャンバのチャックに接続され、前記バイアスRF発生器の前記第1バイアス電力パラメータレベルは前記第1状態中に形成されたイオンエネルギの前記第2状態への遷移を助ける、方法。
  8. 請求項1に記載の方法であって、前記第1状態中に前記一次RF発生器を前記一次周波数レベルで動作させることは、前記プラズマシースのリアクタンスを増大させ、前記プラズマシースの前記リアクタンスの前記増大は、それに応じて、前記プラズマシースを通る電流を減少させ、前記電流の前記減少は前記プラズマシースに関連する平均抵抗量を増大させ、前記平均抵抗量の前記増大は前記プラズマシースならびに前記第1および第2状態に関連する平均時定数を増大させて、前記第1および第2状態中の前記プラズマシースの放電時間を延長し、前記放電時間の前記延長は前記イオンのピークイオンエネルギを増大させると共に前記イオンの前記角発散を減少させる、方法。
  9. 請求項1に記載の方法であって、さらに、
    前記一次RF発生器が前記第1状態中に一次電力パラメータレベルで動作することを決定し、
    前記第1状態中に前記一次電力パラメータレベルで動作するように前記一次RF発生器を制御し、
    前記二次RF発生器が前記第2状態中に二次電力パラメータレベルで動作することを決定し、
    前記第2状態中に前記二次電力パラメータレベルで動作するように前記二次RF発生器を制御すること、
    を備える、方法。
  10. 請求項9に記載の方法であって、前記一次電力パラメータレベルは、前記二次電力パラメータレベルと同じである、方法。
  11. 請求項9に記載の方法であって、前記一次電力パラメータレベルは、前記二次電力パラメータレベルと異なる、方法。
  12. 請求項1に記載の方法であって、前記上部電極は前記プラズマチャンバのチャックと向かい合っており、前記上部電極はトランス結合プラズマコイルであり、前記チャックは別のインピーダンス整合回路を介してバイアスRF発生器に接続されている、方法。
  13. 請求項1に記載の方法であって、前記上部電極は前記プラズマチャンバのチャックと向かい合っており、前記上部電極はトランス結合プラズマコイルであり、前記チャックは接地電位に接続されている、方法。
  14. エッチング動作中に、イオンエネルギを増大させ、基板の表面に向けられるイオンの角発散を減少させるように、プラズマチャンバを動作させるための方法であって、
    前記プラズマチャンバの動作を駆動するためのパルス信号を受信し、前記パルス信号は第1状態および第2状態を含む2つの状態を有し、
    前記第1状態中に第1一次周波数レベルおよび前記第2状態中に第2一次周波数レベルで、一次高周波(RF)発生器を動作させ、前記第1状態中に前記一次RF発生器を動作させることは、前記基板の上に形成されるプラズマシースの増大した電荷を生み出し、前記増大した電荷は前記プラズマシースの厚さを増大させ、
    前記第1状態中に第1二次周波数レベルおよび前記第2状態中に第2二次周波数レベルで、二次RF発生器を動作させ、前記第2状態中に前記二次RF発生器を動作させることは、前記第1状態中に生み出された前記プラズマシースの前記増大した電荷の少なくとも一部を、前記第2状態中に生成される前記イオンエネルギを増強するための追加電力として利用し、前記追加電力は前記基板の前記表面に向けられた時に前記イオンの前記角発散を減少させ、前記一次および二次RF発生器はインピーダンス整合回路を介して、前記プラズマチャンバに関連する上部電極に接続されており、前記第1一次周波数レベル、前記第2一次周波数レベル、前記第1二次周波数レベル、前記第2二次周波数レベルの各々は、0ではなく、
    前記第1および第2状態の複数サイクルにわたって前記エッチング動作を強化するために、前記パルス信号に従って、前記第1および第2状態で前記一次および二次RF発生器を動作させ続けること、
    を備える、方法。
  15. 請求項14に記載の方法であって、前記一次RF発生器は、少なくとも、前記プラズマシースの増大のための閾値量の電荷を生み出すのための適格な所定の期間にわたって前記第1状態中にオンになるように動作する、方法。
  16. 請求項15に記載の方法であって、前記所定の期間は、前記基板の前記表面の或るタイプの材料をエッチングするためのレシピ較正ルーチンの間に決定される、方法。
  17. 請求項15に記載の方法であって、前記所定の期間は、前記パルス信号のデューティサイクルの10%〜50%の間の範囲である、方法。
  18. 請求項14に記載の方法であって、前記一次RF発生器は低周波RF発生器であり、前記二次RF発生器は高周波RF発生器であり、前記高周波RF発生器は前記低周波RF発生器と比べて高い動作周波数を有する、方法。
  19. 請求項14に記載の方法であって、前記第1状態中、前記プラズマシースに関連する時定数は増大し、前記第1状態中に前記一次RF発生器によって生成されるRF信号の一次電力レベルの少なくとも一部は、前記第2状態中に前記エッチング動作を強化するために、前記第2状態中に前記二次RF発生器によって生成されるRF信号の二次電力レベルに追加される、方法。
  20. 請求項14に記載の方法であって、さらに、前記第1状態中に第1バイアス電力パラメータレベルを有し、前記第2状態中に第2バイアス電力パラメータレベルを有するように、バイアスRF発生器を動作させることを備え、
    前記第1バイアス電力パラメータレベルは、前記第2バイアス電力パラメータレベルよりも低く、前記バイアスRF発生器は、別のインピーダンス整合回路を介して前記プラズマチャンバのチャックに接続され、前記バイアスRF発生器の前記第1バイアス電力パラメータレベルは、前記第1状態中に形成されたイオンエネルギの前記第2状態への遷移を助ける、方法。
  21. 請求項14に記載の方法であって、前記第1状態中に前記一次RF発生器を前記第1一次周波数レベルで動作させることは、前記プラズマシースのリアクタンスを増大させ、前記プラズマシースの前記リアクタンスの前記増大は、それに応じて、前記プラズマシースを通る電流を減少させ、前記電流の前記減少は前記プラズマシースに関連する平均抵抗量を増大させ、前記平均抵抗量の前記増大は前記プラズマシースならびに前記第1および第2状態に関連する平均時定数を増大させて、前記第1および第2状態中の前記プラズマシースの放電時間を延長し、前記放電時間の前記延長は前記イオンのピークイオンエネルギを増大させると共に前記イオンの前記角発散を減少させる、方法。
  22. 請求項14に記載の方法であって、さらに、
    前記一次RF発生器が、前記第1状態中に第1一次電力パラメータレベルおよび前記第2状態中に第2一次電力パラメータレベルで動作することを決定し、
    前記第1状態中に前記第1一次電力パラメータレベルおよび前記第2状態中に前記第2一次電力パラメータレベルで動作するように、前記一次RF発生器を制御し、
    前記二次RF発生器が、前記第1状態中に第1二次電力パラメータレベルおよび前記第2状態中に第2二次電力パラメータレベルで動作することを決定し、
    前記第1状態中に前記第1二次電力パラメータレベルおよび前記第2状態中に前記第2二次電力パラメータレベルで動作するように、前記二次RF発生器を制御すること、
    を備える、方法。
  23. 請求項22に記載の方法であって、前記第1一次電力パラメータレベル、前記第2一次電力レベル、前記第1二次電力パラメータレベル、および、前記第2二次電力レベルは、同じである、方法。
  24. 請求項22に記載の方法であって、前記第1一次電力パラメータレベルおよび前記第2一次電力レベルは、前記第1二次電力パラメータレベルおよび前記第2二次電力レベルと異なる、方法。
  25. 請求項14に記載の方法であって、前記上部電極は前記プラズマチャンバのチャックと向かい合っており、前記上部電極はトランス結合プラズマコイルであり、前記チャックは別のインピーダンス整合回路を介してバイアスRF発生器に接続されている、方法。
  26. 請求項14に記載の方法であって、前記上部電極は前記プラズマチャンバのチャックと向かい合っており、前記上部電極はトランス結合プラズマコイルであり、前記チャックは接地電位に接続されている、方法。
  27. エッチング動作中に、イオンエネルギを増大させ、基板の表面に向けられるイオンの角発散を減少させるように、プラズマチャンバを動作させるためのシステムであって、
    一次RF信号を生成するよう構成されている一次電源を有する一次高周波(RF)発生器と、
    二次RF信号を生成するよう構成されている二次電源を有する二次RF発生器と、
    前記一次電源および前記二次電源に接続されているインピーダンス整合回路網であって、前記一次RF信号および前記二次RF信号を受信して、変調されたRF信号を生成するよう構成されているインピーダンス整合回路網と、
    前記インピーダンス整合回路網に接続されている上部電極を有するプラズマチャンバであって、前記変調されたRF信号を受信するよう構成されているプラズマチャンバと、
    を備え、
    前記一次RF発生器は、
    前記プラズマチャンバの動作を駆動するためのパルス信号を受信し、前記パルス信号は第1状態および第2状態を含む2つの状態を有し、
    前記第1状態中に前記一次RF発生器を一次周波数レベルで動作させ、前記第2状態中に前記一次RF発生器をオフ状態に維持し、前記第1状態中の前記一次RF発生器の前記動作は前記基板の上に形成されるプラズマシースの増大した電荷を生み出し、前記増大した電荷は前記プラズマシースの厚さを増大させる、ように構成されている、1または複数のプロセッサを備え、
    前記二次RF発生器は、
    前記パルスを受信し、
    前記第2状態中に前記二次RF発生器を二次周波数レベルで動作させ、前記第1状態中に前記二次RF発生器を前記オフ状態に維持し、前記第2状態中の前記二次RF発生器の前記動作は、前記第1状態中に生み出された前記プラズマシースの前記増大した電荷の少なくとも一部を、前記第2状態中に生成される前記イオンエネルギを増強するための追加電力として利用し、前記追加電力は前記基板の前記表面に向けられた時に前記イオンの前記角発散を減少させる、ように構成されている、1または複数のプロセッサを備え、
    前記一次および二次RF発生器は、前記第1および第2状態の複数サイクルにわたって前記エッチング動作を強化するために、前記パルス信号に従って、前記第1および第2状態での動作を続けるよう構成されている、システム。
  28. 請求項27に記載のシステムであって、前記一次RF発生器は、少なくとも、前記プラズマシースの増大のための閾値量の電荷を生み出すためのに適格な所定の期間にわたって前記第1状態中にオンになるよう構成されている、システム。
  29. 請求項28に記載のシステムであって、前記所定の期間は前記基板の前記表面の或るタイプの材料をエッチングするためのレシピ較正ルーチンの間に決定される、システム。
  30. 請求項28に記載のシステムであって、前記所定の期間は前記パルス信号のデューティサイクルの10%〜50%の間の範囲である、システム。
  31. 請求項27に記載のシステムであって、前記一次RF発生器は低周波RF発生器であり、前記二次RF発生器は高周波RF発生器であり、前記高周波RF発生器は前記低周波RF発生器と比べて高い動作周波数を有する、システム。
  32. 請求項27に記載のシステムであって、前記第1状態中、前記プラズマシースに関連する時定数は増大し、前記一次RF信号は前記第1状態中に一次電力レベルを有し、前記一次電力レベルの少なくとも一部は、前記第2状態中に前記エッチング動作を強化するために、前記二次RF信号の前記第2状態中の二次電力レベルに追加される、システム。
  33. 請求項27に記載のシステムであって、前記プラズマチャンバはチャックを備え、前記システムは、さらに、
    前記第1状態中に第1バイアス電力パラメータレベルを有し、前記第2状態中に第2バイアス電力パラメータレベルを有するよう構成されているバイアスRF発生器と、前記第1バイアス電力パラメータレベルは前記第2バイアス電力パラメータレベルよりも低く、
    前記バイアスRF発生器と前記プラズマチャンバの前記チャックとに接続されているインピーダンス整合回路とを備え、前記バイアスRF発生器の前記第1バイアス電力パラメータレベルは、前記第1状態中に形成されたイオンエネルギの前記第2状態への遷移を助ける、システム。
  34. 請求項27に記載のシステムであって、前記一次RF発生器は、前記第1状態中に前記一次周波数レベルで動作して、前記プラズマシースのリアクタンスを増大させるよう構成されており、前記プラズマシースの前記リアクタンスの前記増大は、それに応じて、前記プラズマシースを通る電流を減少させ、前記電流の前記減少は前記プラズマシースに関連する平均抵抗量を増大させ、前記平均抵抗量の前記増大は前記プラズマシースならびに前記第1および第2状態に関連する平均時定数を増大させて、前記第1および第2状態中の前記プラズマシースの放電時間を延長し、前記放電時間の前記延長は前記イオンのピークイオンエネルギを増大させると共に前記イオンの前記角発散を減少させる、システム。
  35. 請求項27に記載のシステムであって、
    前記一次RF発生器は、前記第1状態中に一次電力パラメータレベルで動作するよう構成され、
    前記二次RF発生器は、前記第2状態中に二次電力パラメータレベルで動作するよう構成されている、システム。
  36. 請求項35に記載のシステムであって、前記一次電力パラメータレベルは、前記二次電力パラメータレベルと同じである、システム。
  37. 請求項35に記載のシステムであって、前記一次電力パラメータレベルは、前記二次電力パラメータレベルと異なる、システム。
  38. 請求項27に記載のシステムであって、前記プラズマチャンバはチャックを備え、前記上部電極は前記チャックと向かい合っており、前記上部電極はトランス結合プラズマコイルであり、前記システムは、さらに、
    バイアスRF発生器と、
    前記バイアスRF発生器と前記チャックとに接続されているインピーダンス整合回路と、
    を備える、システム。
  39. 請求項27に記載のシステムであって、前記プラズマチャンバはチャックを備え、前記上部電極は前記チャックと向かい合っており、前記上部電極はトランス結合プラズマコイルであり、前記チャックは接地電位に接続されている、システム。
JP2020511459A 2017-08-31 2018-08-23 小さい角発散でピークイオンエネルギ増強を達成するためのシステムおよび方法 Active JP7229232B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023020512A JP7471478B2 (ja) 2017-08-31 2023-02-14 小さい角発散でピークイオンエネルギ増強を達成するためのシステムおよび方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/693,134 2017-08-31
US15/693,134 US10395894B2 (en) 2017-08-31 2017-08-31 Systems and methods for achieving peak ion energy enhancement with a low angular spread
PCT/US2018/047710 WO2019046093A1 (en) 2017-08-31 2018-08-23 SYSTEMS AND METHODS FOR ENHANCED ION ENERGY IMPROVEMENT WITH LOW ANGULAR SIZE

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023020512A Division JP7471478B2 (ja) 2017-08-31 2023-02-14 小さい角発散でピークイオンエネルギ増強を達成するためのシステムおよび方法

Publications (3)

Publication Number Publication Date
JP2020532859A true JP2020532859A (ja) 2020-11-12
JP2020532859A5 JP2020532859A5 (ja) 2021-10-28
JP7229232B2 JP7229232B2 (ja) 2023-02-27

Family

ID=65437643

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020511459A Active JP7229232B2 (ja) 2017-08-31 2018-08-23 小さい角発散でピークイオンエネルギ増強を達成するためのシステムおよび方法
JP2023020512A Active JP7471478B2 (ja) 2017-08-31 2023-02-14 小さい角発散でピークイオンエネルギ増強を達成するためのシステムおよび方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023020512A Active JP7471478B2 (ja) 2017-08-31 2023-02-14 小さい角発散でピークイオンエネルギ増強を達成するためのシステムおよび方法

Country Status (7)

Country Link
US (5) US10395894B2 (ja)
JP (2) JP7229232B2 (ja)
KR (1) KR20200038316A (ja)
CN (1) CN111295731B (ja)
SG (1) SG11202001658YA (ja)
TW (2) TWI803513B (ja)
WO (1) WO2019046093A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022163535A1 (ja) * 2021-01-29 2022-08-04 東京エレクトロン株式会社 プラズマ処理装置及びソース高周波電力のソース周波数を制御する方法
WO2023132300A1 (ja) * 2022-01-07 2023-07-13 東京エレクトロン株式会社 プラズマ処理装置、電源システム、制御方法、プログラム、及び記憶媒体

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US10395894B2 (en) 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread
KR102550393B1 (ko) * 2017-10-25 2023-06-30 삼성전자주식회사 플라즈마 처리 장치 및 이를 이용한 반도체 장치의 제조 방법
US10679825B2 (en) * 2017-11-15 2020-06-09 Lam Research Corporation Systems and methods for applying frequency and match tuning in a non-overlapping manner for processing substrate
US10607813B2 (en) 2017-11-17 2020-03-31 Advanced Energy Industries, Inc. Synchronized pulsing of plasma processing source and substrate bias
JP7289313B2 (ja) 2017-11-17 2023-06-09 エーイーエス グローバル ホールディングス, プライベート リミテッド プラズマ処理のためのイオンバイアス電圧の空間的および時間的制御
WO2019099937A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Improved application of modulating supplies in a plasma processing system
US10714319B2 (en) * 2018-02-21 2020-07-14 Applied Materials, Inc. Apparatus and methods for removing contaminant particles in a plasma process
US10224183B1 (en) * 2018-03-21 2019-03-05 Lam Research Corporation Multi-level parameter and frequency pulsing with a low angular spread
WO2020117503A1 (en) * 2018-12-05 2020-06-11 Lam Research Corporation Etching isolation features and dense features within a substrate
US11361947B2 (en) 2019-01-09 2022-06-14 Tokyo Electron Limited Apparatus for plasma processing and method of etching
CN116844934A (zh) * 2019-02-05 2023-10-03 东京毅力科创株式会社 等离子体处理装置
WO2020185353A1 (en) * 2019-03-13 2020-09-17 Applied Materials, Inc. Plasma ignition circuit
WO2021011450A1 (en) 2019-07-12 2021-01-21 Advanced Energy Industries, Inc. Bias supply with a single controlled switch
US11315757B2 (en) * 2019-08-13 2022-04-26 Mks Instruments, Inc. Method and apparatus to enhance sheath formation, evolution and pulse to pulse stability in RF powered plasma applications
US11545341B2 (en) 2019-10-02 2023-01-03 Samsung Electronics Co., Ltd. Plasma etching method and semiconductor device fabrication method including the same
EP4082036A4 (en) * 2019-12-24 2023-06-07 Eagle Harbor Technologies, Inc. NANOSECOND PULSE RF ISOLATION FOR PLASMA SYSTEMS
KR20220010648A (ko) 2020-07-16 2022-01-26 삼성전자주식회사 플라즈마 식각 장치, 플라즈마 식각 방법 및 그를 포함하는 반도체 소자의 제조 방법
US11848176B2 (en) * 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
WO2022079211A1 (en) 2020-10-16 2022-04-21 Adc Therapeutics Sa Glycoconjugates
US11527384B2 (en) * 2020-11-24 2022-12-13 Mks Instruments, Inc. Apparatus and tuning method for mitigating RF load impedance variations due to periodic disturbances
TW202226899A (zh) * 2020-12-22 2022-07-01 荷蘭商Asm Ip私人控股有限公司 具匹配器的電漿處理裝置
WO2022177846A1 (en) * 2021-02-22 2022-08-25 Advanced Energy Industries, Inc. Integrated control of a plasma processing system
US20230170194A1 (en) * 2021-11-29 2023-06-01 Applied Materials, Inc. Ion energy control on electrodes in a plasma reactor
US20230187176A1 (en) * 2021-12-15 2023-06-15 Applied Materials, Inc. Auxiliary plasma source for robust ignition and restrikes in a plasma chamber
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11996274B2 (en) * 2022-04-07 2024-05-28 Mks Instruments, Inc. Real-time, non-invasive IEDF plasma sensor
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply
WO2024091796A1 (en) * 2022-10-25 2024-05-02 Lam Research Corporation Systems and methods for controlling a pulse width of a square pulse waveform

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07235393A (ja) * 1994-02-22 1995-09-05 Tokyo Electron Ltd プラズマ発生装置
JP2008117777A (ja) * 2006-11-04 2008-05-22 Huettinger Elektronik Gmbh & Co Kg 少なくとも2つの高周波電力発生器のドライブ制御方法、高周波電力発生器ドライブ制御装置および高周波プラズマ励起装置
JP2008124028A (ja) * 2006-11-14 2008-05-29 Lg Electronics Inc プラズマ発生装置、プラズマ発生方法、及びそれを用いたプラズマディスプレイ装置の製造方法
JP2010238960A (ja) * 2009-03-31 2010-10-21 Tokyo Electron Ltd 基板処理装置及び基板処理方法
JP2012256905A (ja) * 2007-08-17 2012-12-27 Semiconductor Energy Lab Co Ltd プラズマcvd装置

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US6222718B1 (en) 1998-11-12 2001-04-24 Lam Research Corporation Integrated power modules for plasma processing systems
KR100712124B1 (ko) 2005-01-18 2007-04-27 삼성에스디아이 주식회사 용량결합형 플라즈마 처리 장치
JP5426811B2 (ja) * 2006-11-22 2014-02-26 パール工業株式会社 高周波電源装置
US8404598B2 (en) * 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US9117767B2 (en) * 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US8692467B2 (en) * 2011-07-06 2014-04-08 Lam Research Corporation Synchronized and shortened master-slave RF pulsing in a plasma processing chamber
JP5867701B2 (ja) * 2011-12-15 2016-02-24 東京エレクトロン株式会社 プラズマ処理装置
US9171699B2 (en) * 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US10157729B2 (en) * 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9462672B2 (en) * 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US8952765B2 (en) * 2012-03-23 2015-02-10 Mks Instruments, Inc. System and methods of bimodal automatic power and frequency tuning of RF generators
US9082590B2 (en) 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
TWI599272B (zh) * 2012-09-14 2017-09-11 蘭姆研究公司 根據三個或更多狀態之功率及頻率調整
US9232628B2 (en) * 2013-02-20 2016-01-05 Varian Semiconductor Equipment Associates, Inc. Method and system for plasma-assisted ion beam processing
JP6277055B2 (ja) * 2014-04-25 2018-02-07 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR102421846B1 (ko) * 2014-08-22 2022-07-15 램 리써치 코포레이션 일 상태 동안 서브-펄싱
US9536749B2 (en) * 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
US9595424B2 (en) * 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US10475626B2 (en) 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
TWI559821B (zh) * 2015-09-25 2016-11-21 紫焰科技股份有限公司 獲得穩定電漿源之方法
CN106609362B (zh) * 2015-10-27 2020-12-01 奥塔装置公司 用于半导体化学气相沉积反应器的平铺式喷头
US10395894B2 (en) 2017-08-31 2019-08-27 Lam Research Corporation Systems and methods for achieving peak ion energy enhancement with a low angular spread

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07235393A (ja) * 1994-02-22 1995-09-05 Tokyo Electron Ltd プラズマ発生装置
JP2008117777A (ja) * 2006-11-04 2008-05-22 Huettinger Elektronik Gmbh & Co Kg 少なくとも2つの高周波電力発生器のドライブ制御方法、高周波電力発生器ドライブ制御装置および高周波プラズマ励起装置
JP2008124028A (ja) * 2006-11-14 2008-05-29 Lg Electronics Inc プラズマ発生装置、プラズマ発生方法、及びそれを用いたプラズマディスプレイ装置の製造方法
JP2012256905A (ja) * 2007-08-17 2012-12-27 Semiconductor Energy Lab Co Ltd プラズマcvd装置
JP2010238960A (ja) * 2009-03-31 2010-10-21 Tokyo Electron Ltd 基板処理装置及び基板処理方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022163535A1 (ja) * 2021-01-29 2022-08-04 東京エレクトロン株式会社 プラズマ処理装置及びソース高周波電力のソース周波数を制御する方法
JP7466712B2 (ja) 2021-01-29 2024-04-12 東京エレクトロン株式会社 プラズマ処理装置及びソース高周波電力のソース周波数を制御する方法
WO2023132300A1 (ja) * 2022-01-07 2023-07-13 東京エレクトロン株式会社 プラズマ処理装置、電源システム、制御方法、プログラム、及び記憶媒体

Also Published As

Publication number Publication date
CN111295731B (zh) 2024-04-09
US11569067B2 (en) 2023-01-31
US11049693B2 (en) 2021-06-29
WO2019046093A1 (en) 2019-03-07
US10395894B2 (en) 2019-08-27
US20240162005A1 (en) 2024-05-16
JP7229232B2 (ja) 2023-02-27
US20210313149A1 (en) 2021-10-07
SG11202001658YA (en) 2020-03-30
JP7471478B2 (ja) 2024-04-19
TW201923816A (zh) 2019-06-16
TWI803513B (zh) 2023-06-01
US20190362942A1 (en) 2019-11-28
US11915912B2 (en) 2024-02-27
US20230124201A1 (en) 2023-04-20
US20190066979A1 (en) 2019-02-28
JP2023062052A (ja) 2023-05-02
KR20200038316A (ko) 2020-04-10
TW202331785A (zh) 2023-08-01
CN111295731A (zh) 2020-06-16

Similar Documents

Publication Publication Date Title
JP7471478B2 (ja) 小さい角発散でピークイオンエネルギ増強を達成するためのシステムおよび方法
KR102575053B1 (ko) Rf 펄스 형상에 의한 이온 에너지 제어
JP2021530866A (ja) 制御されたエッチングのための単一エネルギイオン生成
US9812294B2 (en) Sub-pulsing during a state
JP2023505782A (ja) 反り制御とマスク選択比とのバランスを達成するための多状態パルス化
JP7195810B2 (ja) イオンの方向性を増大させるためのマルチレジームプラズマウエハ処理
US20220319856A1 (en) Etching isolation features and dense features within a substrate
TWI677263B (zh) 軟脈動
KR20230133757A (ko) 균일성을 달성하기 위한 듀티 사이클 제어
TW202013428A (zh) 徑向蝕刻均勻度的主動控制

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210811

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210913

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220927

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220930

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221221

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230117

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230214

R150 Certificate of patent or registration of utility model

Ref document number: 7229232

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150