TW201916125A - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TW201916125A
TW201916125A TW107107899A TW107107899A TW201916125A TW 201916125 A TW201916125 A TW 201916125A TW 107107899 A TW107107899 A TW 107107899A TW 107107899 A TW107107899 A TW 107107899A TW 201916125 A TW201916125 A TW 201916125A
Authority
TW
Taiwan
Prior art keywords
layer
dielectric layer
gate
forming
gate dielectric
Prior art date
Application number
TW107107899A
Other languages
English (en)
Other versions
TWI742253B (zh
Inventor
魏孝寬
許馨云
葉品萱
許經佑
李顯銘
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201916125A publication Critical patent/TW201916125A/zh
Application granted granted Critical
Publication of TWI742253B publication Critical patent/TWI742253B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/512Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being parallel to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28097Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a metallic silicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)

Abstract

本發明實施例提供關於裝置的閘極結構之形成的示範實施例,例如在置換閘極製程中,以及藉此示範實施例形成的裝置。在一示範方法中,形成閘極介電層於基底上的主動區上,形成含有鈍化物種(例如氟)的虛置層在閘極介電層上,進行熱製程將鈍化物種從虛置層驅使進入閘極介電層,移除虛置層,形成金屬閘極電極於閘極介電層上,在金屬閘極電極形成之前,閘極介電層含有鈍化物種。

Description

半導體裝置及其形成方法
本發明實施例係有關於半導體裝置及其形成方法,特別有關於半導體裝置的閘極結構及其形成方法。
半導體積體電路(integrated circuit,IC)工業已經歷指數型成長,在積體電路之材料和設計上的技術進展已經產生了數個積體電路世代,每一個世代比先前的世代具有更小且更複雜的電路。在積體電路發展的過程中,隨著幾何尺寸(例如使用製造過程可以產生的最小元件或線)縮減的同時,功能密度(例如每一個晶片面積內互相連接的裝置數量)通常也在增加。尺寸縮減製程通常藉由增加生產效率和降低伴隨的成本而提供好處。然而,尺寸縮減也導致了在較大幾何尺寸的先前世代未存在的挑戰。
根據本發明的一些實施例,提供半導體裝置的形成方法,此方法包含形成閘極介電層於基底上的主動區上;形成虛置含氟層於閘極介電層上;進行熱製程將氟從虛置含氟層驅使進入閘極介電層;移除虛置含氟層;以及形成金屬閘極電極於閘極介電層上,其中閘極介電層在形成金屬閘極電極之前含有氟。
根據本發明的另一些實施例,提供半導體裝置的形成方法,此方法包含順形地形成閘極介電層於基底上的鰭狀物上的複數個閘極間隔物之間,閘極介電層沿著鰭狀物的側壁和頂面順形地形成,並且沿著這些閘極間隔物的個別側壁順形地形成;順形地形成虛置層於閘極介電層上,虛置層含有鈍化物種;將鈍化物種從虛置層驅使進入閘極介電層;移除虛置層;以及在虛置層移除之後,形成金屬閘極電極於閘極介電層上。
根據本發明的一些實施例,提供半導體裝置,此裝置包含閘極結構位於基底上的鰭狀物上,閘極結構包含:閘極介電層沿著鰭狀物的側壁且位於鰭狀物的頂面上,閘極介電層含有氟;功函數調整層位於閘極介電層上;以及金屬閘極電極位於功函數調整層上,在閘極介電層中氟的濃度大於在功函數調整層和金屬閘極電極的至少一者中氟的濃度。
40‧‧‧鰭式場效電晶體
42‧‧‧基底
44‧‧‧隔離區
46‧‧‧鰭狀物
48‧‧‧閘極介電層
50‧‧‧閘極電極
52a、52b‧‧‧源極/汲極區
60‧‧‧半導體基底
62‧‧‧界面介電層
64‧‧‧閘極層
66‧‧‧遮罩層
68‧‧‧閘極間隔物
70‧‧‧源極/汲極區
72‧‧‧第一層間介電層
74‧‧‧凹陷
80‧‧‧界面介電層
82‧‧‧閘極介電層
84‧‧‧覆蓋層
86‧‧‧阻障層
88‧‧‧虛置層(虛置含氟層)
90‧‧‧虛置覆蓋層
100‧‧‧第一功函數調整層
102‧‧‧第二功函數調整層
104‧‧‧阻障/黏著層
106‧‧‧金屬閘極電極
108‧‧‧閘極蓋層
110‧‧‧第二層間介電層
112‧‧‧襯層
114‧‧‧矽化物區
116‧‧‧導電材料
200‧‧‧第一曲線
202‧‧‧第二曲線
204‧‧‧第三曲線
350‧‧‧氟的示範曲線
352‧‧‧殘餘的鎢之示範曲線
為了讓本發明實施例的各個觀點能更容易理解,以下配合所附圖式作詳細說明。應該注意,根據工業上的標準範例,各個部件(feature)未必按照比例繪製。實際上,為了讓討論清晰易懂,各個部件的尺寸可以被任意擴大或縮小。
第1圖是根據一些實施例之簡化的鰭式場效電晶體(Fin Field Effect transistor,FinFET)之範例的立體(three-dimensional)圖。
第2至10圖是根據一些實施例在形成半導體裝置的示範方法期間,各個中間結構的剖面示意圖。
第11圖是根據一些實施例之不同熱製程的氟之示範曲線圖。
第12圖是根據一些實施例之橫跨多個層的氟和鎢之示範曲線圖。
以下內容提供了許多不同實施例或範例,以實現所提供的標的之不同部件(feature)。以下描述組件和配置方式的具體範例,以簡化本發明實施例。當然,這些僅僅是範例,而非意圖限制本發明實施例。舉例而言,在以下描述中提及於第二部件上方或其上形成第一部件,其可以包含第一部件和第二部件以直接接觸的方式形成的實施例,並且也可以包含在第一部件和第二部件之間形成附加的部件,使得第一部件和第二部件可以不直接接觸的實施例。此外,本發明實施例可在各個範例中重複參考標號及/或字母。此重複是為了簡化和清楚之目的,其本身並非用於指定所討論的各個實施例及/或配置之間的關係。
再者,為了容易描述,在此可以使用例如“在...底下”、“在...下方”、“較低”、“在...上方”、“較高”等類似的空間相關用語,以描述如圖所示的一個元件或部件與另一個(或另一些)元件或部件之間的關係。除了圖中所示的方位外,空間相關用語可涵蓋裝置在使用或操作中的不同方位。設備可以採用其他方位(旋轉90度或在其他方位),並且在此使用的空間相關描述可以同樣地作出相應的解釋。
本發明提供的示範實施例通常是有關於裝置的閘 極結構之形成,例如在置換閘極製程中之閘極結構的形成,以及藉此形成的裝置。更具體而言,在一些範例中,在沉積閘極介電層之後,在閘極介電層上方形成含有鈍化物種(passivating species)例如氟的虛置層(dummy layer),並且進行熱製程使得鈍化物種從虛置層擴散進入閘極介電層,藉此將閘極介電層鈍化(例如氟化)。然後移除虛置層,並形成閘極結構的後續各層,例如一或多層的功函數調整層和金屬閘極電極。如此可以改善裝置的效能,且其他好處之一為改善裝置的衰退,例如時間相依介電崩潰(time-dependent dielectric breakdown,TDDB)。
在此描述的範例使用氟作為鈍化物種,其他例子可使用其他鈍化物種,例如能夠鈍化閘極介電層的鈍化物種。以下描述示範方法和結構的一些變化,本發明所屬技術領域中具有通常知識者當可理解,其他可以做到的修改也在其他實施例考慮的範圍內,雖然方法實施例以特定順序描述,也可以採用任何合乎邏輯的順序執行各種其他方法實施例,並且可包含比在此描述的方法實施例更少或更多的步驟。
第1圖說明根據一些實施例之簡化的鰭式場效電晶體(FinFET)40之範例的立體圖,第1圖中未繪示或描述的其他方面可以從後續的圖示和描述得知。鰭式場效電晶體40包括鰭狀物(Fin)46在基底42上。基底42包含隔離區44,且鰭狀物46從相鄰的隔離區44之間突出於隔離區44上方。閘極介電層48沿著鰭狀物46的側壁,且在鰭狀物46的頂面上,並且閘極電極50在閘極介電層48上。源極/汲極區52a和52b相對於閘極介電層48和閘極電極50,設置在鰭狀物46的兩側區域中。第1圖還繪 示用於之後圖示的參考剖面A-A,剖面A-A是在沿著例如位於鰭狀物46中介於源極/汲極區52a與52b之間的通道之平面中。
舉例而言,可在各種電晶體之間共享源極/汲極區52a和52b。在一些範例中,源極/汲極區52a和52b可以連接或耦接至其他鰭式場效電晶體,使得鰭式場效電晶體做為一個功能電晶體而執行。舉例而言,如果相鄰的(例如互相相對的)源極/汲極區電性連接,例如經由磊晶成長而合併源極/汲極區,可以執行一個功能電晶體。在其他例子中的其他配置可以執行其他數目的功能電晶體。
第2至10圖是根據一些實施例說明在形成半導體裝置的示範方法期間,各個中間結構的剖面示意圖(例如沿著剖面A-A)。半導體裝置可以是場效電晶體(Field Effect Transistor,FET),其可以是如第1圖所示的鰭式場效電晶體、平面式場效電晶體、水平閘極環繞(Horizontal Gate All Around,HGAA)場效電晶體、或其他裝置。第2圖說明具有至少一部份的半導體裝置形成於其上的半導體基底60。半導體基底60可以是或者包含下列半導體基底:整體(bulk)半導體、絕緣體上的半導體(semiconductor-on-insulator,SOI)基底、或類似的半導體基底,半導體基底可以被摻雜(例如以p型或n型摻雜物進行摻雜),或者未摻雜。一般而言,絕緣體上的半導體(SOI)基底包含半導體材料層形成於絕緣層上,絕緣層可以是例如埋置氧化(buried oxide,BOX)層、氧化矽層、或類似的絕緣層。提供絕緣層於基底上,或者絕緣層是基底,此基底通常是矽或玻璃基底。也可使用其他基底,例如多層的或梯度漸變 的(gradient)基底。在一些實施例中,半導體基底的半導體材料可包含元素半導體,例如矽(Si)及/或鍺(Ge);化合物半導體,包含碳化矽(silicon carbide)、砷化鎵(gallium arsenic)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)、及/或銻化銦(indium antimonide);合金半導體,包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或者前述之組合。
如前所述,裝置可以是平面式場效電晶體、如第1圖所示的鰭式場效電晶體、水平閘極環繞(HGAA)場效電晶體、或其他裝置。根據場效電晶體,虛置閘極堆疊且通常是閘極結構係形成於半導體基底60的主動區上。在平面式場效電晶體中,主動區可以是或者包含在半導體基底60之頂面被隔離區界定的一部分。在鰭式場效電晶體中,如第1圖所示,主動區可以是或者包含從在半導體基底60上的隔離區之間突出的鰭狀物。本發明所屬技術領域中具有通常知識者當可理解,如第1圖中繪示說明,閘極堆疊可以沿著鰭狀物的頂面和側壁形成。此外,本發明所屬技術領域中具有通常知識者當可理解,閘極堆疊可以用任何方式形成在其他種類的場效電晶體的主動區上。
虛置閘極堆疊包括界面介電層62在主動區上、閘極層64在界面介電層62上、以及遮罩層66在閘極層64上。虛置閘極堆疊的界面介電層62、閘極層64和遮罩層66可以藉由依序地形成或沉積各層,然後將這些層圖案化成為虛置閘極堆疊而形成。舉例而言,界面介電層62可包含或者可以是氧化矽、氮 化矽、類似的材料、或者多層的這些材料;閘極層64可包含或者可以是矽(例如多晶矽)或其他材料;並且遮罩層66可包含或者可以是氮化矽、氮氧化矽、碳氮化矽、類似的材料、或者前述之組合。用於形成或沉積界面介電層62、閘極層64和遮罩層66的製程包含熱成長及/或化學成長、化學氣相沉積(Chemical Vapor Deposition,CVD)、電漿增強化學氣相沉積(Plasma-Enhanced CVD,PECVD)、分子束沉積(Molecular-Beam Deposition,MBD)、原子層沉積(Atomic Layer Deposition,ALD)、物理氣相沉積(Physical Vapor Deposition,PVD)、及其他沉積技術。
然後可將界面介電層62、閘極層64和遮罩層66這些層圖案化為虛置閘極堆疊,例如使用微影及一或更多道的蝕刻製程。舉例而言,可在遮罩層66上,例如藉由使用旋轉塗布(spin-on coating)形成光阻,並且可使用適當的光罩藉由將光阻曝光而將光阻圖案化。然後光阻曝光或未曝光的部分可被移除,取決於所使用的光阻為正型或負型光阻。然後例如藉由使用一或更多適當的蝕刻製程,光阻的圖案可以轉移至界面介電層62、閘極層64和遮罩層66這些層。上述一或更多的蝕刻製程可包含反應性離子蝕刻(reactive ion etch,RIE)、中子束蝕刻(neutral beam etch,NBE)、類似的蝕刻、或者前述之組合,蝕刻製程可以是異向性的(anisotropic)。接著,例如在灰化(ashing)或濕式剝離(wet strip)製程中移除光阻。
在一些實施例中,於形成虛置閘極堆疊之後,可在主動區中形成輕摻雜汲極(lightly doped drain,LDD)區(未特 別繪示說明)。舉例而言,可以使用虛置閘極堆疊做為遮罩,將摻雜物植入主動區。示範的摻雜物可包含或者可以是,例如用於p型裝置的硼,以及用於n型裝置的磷或砷,然而也可以使用其他摻雜物。輕摻雜汲極(LDD)區的摻雜物濃度可在從約1015cm-3到約1017cm-3的範圍內。
閘極間隔物68沿著虛置閘極堆疊的側壁(例如界面介電層62、閘極層64和遮罩層66的側壁)形成,並且形成在半導體基底60的主動區上方。舉例而言,可以藉由順形地(conformally)沉積用於閘極間隔物68的一或更多層,並且將上述一或更多層異向性地蝕刻,以形成閘極間隔物68。用於閘極間隔物68的一或更多層可包含或者可以是氮化矽、氮氧化矽、碳氮化矽、類似的材料、多層的這些材料、或者前述之組合,可藉由化學氣相沉積(CVD)、原子層沉積(ALD)或其他沉積技術沉積,蝕刻製程可包含反應性離子蝕刻(RIE)、中子束蝕刻(NBE)或其他蝕刻製程。
源極/汲極區70形成在虛置閘極堆疊兩側的主動區中,在一些例子中,使用虛置閘極堆疊和閘極間隔物68作為遮罩,藉由將摻雜物植入至主動區中形成源極/汲極區70。因此,可以藉由在虛置閘極堆疊兩側進行佈植形成源極/汲極區70。
在其他例子中,例如圖示說明,使用虛置閘極堆疊和閘極間隔物68作為遮罩,可將主動區凹陷,並且在凹陷中磊晶成長磊晶的源極/汲極區70。可藉由蝕刻製程進行凹陷,蝕刻製程可以是等向性或異向性,或者進一步地可以對於半導體基底60的一或更多晶面具有選擇性。因此,凹陷可具有各種 剖面輪廓,取決於執行的蝕刻製程而定。蝕刻製程可以是乾式蝕刻,例如反應性離子蝕刻(RIE)、中子束蝕刻(NBE)或類似蝕刻,或者是濕式蝕刻,濕式蝕刻例如使用氫氧化四甲基銨(tetramethyalammonium hydroxide,TMAH)、氫氧化銨(ammonium hydroxide,NH4OH)、或其他蝕刻劑。磊晶的源極/汲極區70可包含或者可以是矽鍺(SixGe1-x,其中x可以介於0和1之間)、碳化矽、磷化矽、純的或大致上純的鍺、三五族(III-V)化合物半導體、二六族(II-VI)化合物半導體、或類似的材料。舉例而言,用於形成三五族(III-V)化合物半導體的材料包含InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP、及類似的材料。可藉由在凹陷中磊晶成長材料,而在凹陷中形成磊晶的源極/汲極區70,例如藉由金屬有機化學氣相沉積(metal-organic CVD,MOCVD)、分子束磊晶(molecular beam epitaxy,MBE)、液相磊晶(liquid phase epitaxy,LPE)、氣相磊晶(vapor phase epitaxy,VPE)、選擇性磊晶成長(selective epitaxial growth,SEG)、類似的製程、或前述之組合。如圖示說明,磊晶的源極/汲極區70相較於主動區可以是升高的。磊晶的源極/汲極區70可以藉由在磊晶成長期間進行原處(in situ)摻雜而被摻雜,及/或在磊晶成長之後藉由佈植而被摻雜。因此,源極/汲極區70可以藉由磊晶成長且可能有佈植,在虛置閘極堆疊的兩側形成。
源極/汲極區70的示範摻雜物(例如藉由原處摻雜或佈植)可包含或者可以是例如用於p型裝置的硼,以及用於n型裝置的磷或砷,然而也可以使用其他摻雜物。源極/汲極區 70的摻雜物濃度可在從約1019cm-3到約1021cm-3的範圍內。
第3圖說明形成第一層間介電層(interlayer dielectric,ILD)於半導體基底60的主動區上,且沿著閘極間隔物68。舉例而言,第一層間介電層(ILD)72可包含蝕刻停止層(etch stop layer,ESL)和主要介電層,例如低介電常數介電層。當形成例如接點(contact)或通孔(via)時,通常蝕刻停止層可提供停止蝕刻製程的機制。蝕刻停止層可由與相鄰的層,例如第一層間介電層(ILD)72的主要介電層具有不同蝕刻選擇比的介電材料形成。
第一層間介電層(ILD)72沉積於主動區、虛置閘極堆疊和閘極間隔物68上,舉例而言,蝕刻停止層可順形地沉積於主動區、虛置閘極堆疊和閘極間隔物68上。蝕刻停止層可包含或者可以是氮化矽、碳氮化矽(silicon carbon nitride)、碳氧化矽(silicon carbon oxide)、碳氮化物(carbon nitride)、類似的材料、或前述之組合,並且可藉由化學氣相沉積(CVD)、電漿增強化學氣相沉積(PECVD)、原子層沉積(ALD)或其他沉積技術沉積。接著,舉例而言,主要介電層沉積於蝕刻停止層上。主要介電層可包含或者可以是二氧化矽、低介電常數介電材料(例如介電常數低於二氧化矽的材料),例如氮氧化矽(silicon oxynitride)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass,USG)、氟化矽酸鹽玻璃(fluorinated silicate glass,FSG)、有機矽酸鹽玻璃(organosilicate glasses, OSG)、SiOxCy、旋塗玻璃(Spin-On-Glass)、旋塗高分子(Spin-On-Polymers)、碳化矽材料、前述材料之化合物、前述前料之複合物、類似的材料、或者前述之組合。主要介電層可藉由旋轉塗佈、化學氣相沉積(CVD)、可流動式化學氣相沉積(Flowable CVD,FCVD)、電漿增強化學氣相沉積(PECVD)、物理氣相沉積(PVD)、或其他沉積技術沉積。
第一層間介電(ILD)72沉積之後可平坦化,可進行平坦化製程例如化學機械研磨(Chemical Mechanical Polish,CMP)將第一層間介電(ILD)72平坦化。將第一層間介電(ILD)72的頂面平坦化為與虛置閘極堆疊的頂面共平面,藉此經由第一層間介電(ILD)72露出虛置閘極堆疊。平坦化可移除虛置閘極堆疊的遮罩層66(以及在一些例子中移除閘極間隔物68的上部),並且因此經由第一層間介電(ILD)72露出虛置閘極堆疊的閘極層64的頂面。
第4圖說明移除虛置閘極堆疊,其形成凹陷74在閘極間隔物68之間。一旦經由第一層間介電(ILD)72露出虛置閘極堆疊的閘極層64和界面介電層62,就例如藉由一或更多的蝕刻製程移除虛置閘極堆疊的閘極層64和界面介電層62。閘極層64可藉由對閘極層64具選擇性的蝕刻製程移除,其中界面介電層62可作為蝕刻停止層,並且後續界面介電層62可藉由對界面介電層62具選擇性的不同蝕刻製程移除。上述蝕刻製程可以是例如RIE、NBE、濕式蝕刻、或其他蝕刻製程。
第5圖說明在其他元件之中形成閘極介電層82和虛置含氟層(dummy fluorine-containing layer)(或稱虛置 層)88。在此描述的範例使用氟作為鈍化物種,且因此虛置層88含有氟。在其他示範的實施例中,可使用其他鈍化物種取代氟,或者除了氟以外還使用其他鈍化物種。在此有關於氟的描述可以被擴大使用,並且通常可應用於任何合適的鈍化物種。
在一些例子中,例如圖示說明,界面介電層80形成在經由凹陷74露出的半導體基底60的主動區上,並且位於閘極間隔物68之間。界面介電層80可以是例如由熱氧化或化學氧化形成的氧化物。在一些例子中,虛置閘極堆疊的界面介電層62可以保留,並且在界面介電層80的位置。在更多例子中,界面介電層80可由各種製程步驟產生,例如作為清潔製程的結果所形成的原生氧化層(native oxide)。在其他例子中,可省略界面介電層80。
閘極介電層82順形地沉積在凹陷74中,舉例而言,閘極介電層82沉積在界面介電層80上,沿著閘極間隔物68的側壁,並且在閘極間隔物68和第一層間介電(ILD)72的頂面上。閘極介電層82可以是或者可包含氧化矽、氮化矽、高介電常數介電材料、多層的前述材料、或其他介電材料。高介電常數介電材料可具有k值大於約7.0,且可包含Hf、Al、Zr、La、Mg、Ba、Ti、Pb的金屬氧化物或金屬矽化物,或前述之組合。閘極介電層82可藉由原子層沉積(ALD)、電漿增強化學氣相沉積(PECVD)、分子束沉積(MBD)、或其他沉積技術沉積。閘極介電層82的厚度可以在從約5Å至約25Å的範圍內。
覆蓋層84順形地沉積在閘極介電層82上。覆蓋層84可包含或者可以是氮化鈦(titanium nitride)、鈦矽氮化物 (titanium-silicon nitride)、鈦碳氮化物(titanium-carbon nitride)、鈦鋁氮化物(titanium-aluminum nitride)、氮化鉭(tantalum nitride)、鉭矽氮化物(tantalum-silicon nitride)、鉭碳氮化物(tantalum-carbon nitride)、氮化鋁(aluminum nitride)、類似的材料、或前述之組合,並且可藉由ALD、PECVD、MBD、或其他沉積技術沉積。覆蓋層84的厚度可以在從約5Å至約30Å的範圍內。阻障層86順形地沉積在覆蓋層84上。阻障層86可包含或者可以是氮化鉭、鉭矽氮化物、鉭碳氮化物、鉭鋁氮化物(tantalum-aluminum nitride)、氮化鈦、鈦矽氮化物、鈦碳氮化物、鈦鋁氮化物、氮化鋁、類似的材料、或前述之組合,並且可藉由ALD、PECVD、MBD、或其他沉積技術沉積。阻障層86的厚度可以在從約5Å至約30Å的範圍內。
虛置含氟層88順形地沉積在阻障層86上。虛置含氟層88含有的氟的濃度例如在從大於虛置含氟層88的0百分比到虛置含氟層88的約1百分比的範圍內。虛置含氟層88可包含或者可以是摻雜氟的鎢(fluorine-doped tungsten)、摻雜氟的矽化鎢(fluorine-doped tungsten silicide)、摻雜氟的氮化鎢(fluorine-doped tungsten nitride)、摻雜氟的碳化鎢(fluorine-doped tungsten carbide)、摻雜氟的氮化鈦(fluorine-doped titanium nitride)、摻雜氟的氮化鉭(fluorine-doped tantalum nitride)、摻雜氟的矽、摻雜氟的氧化矽、類似的材料、或前述之組合,並且可藉由ALD、PECVD、MBD、或其他沉積技術沉積。虛置含氟層88的厚度可以在從約5Å至約50Å的範圍內。在一特定例子中,虛置含氟層88是藉由 原子層沉積(ALD)沉積的摻雜氟的鎢。在此例子中,原子層沉積(ALD)製程可使用氟化鎢(例如WF6)前驅物和另一合適的前驅物,例如二硼烷(diborane,B2H6)、乙烷(ethane,C2H6)、或矽烷(silane,SiH4)。原子層沉積(ALD)製程可包含氟化鎢流入(flow)、接著排淨(purge)、接著其他前驅物流入、以及接著排淨的循環(cycles)。
虛置覆蓋層90順形地沉積在虛置含氟層88上。虛置覆蓋層90可包含或者可以是氮化鈦、鈦矽氮化物、鈦碳氮化物、鈦鋁氮化物、氮化鉭、鉭矽氮化物、鉭碳氮化物、氮化鎢、碳化鎢、鎢碳氮化物、氮化鋁、類似的材料、或前述之組合,並且可藉由ALD、PECVD、MBD、或其他沉積技術沉積。虛置覆蓋層90的厚度可以在從約5Å至約30Å的範圍內。
從虛置含氟層88擴散至閘極介電層82的有效氟的量可被虛置含氟層88的厚度影響,其轉而可決定虛置含氟層88的體積,以及在虛置含氟層88中氟的濃度。對擴散有效的較高氟的量可以增加氟擴散至閘極介電層82的量。
進行一或更多熱製程以促進氟的擴散,或者驅使氟從虛置含氟層88進入閘極介電層82。示範的熱製程的溫度可以在從約300℃至約600℃的範圍內,並且執行時間(duration)在從約15秒至約180秒的範圍內。舉例而言,虛置含氟層88的沉積可以在升高的溫度,例如在約300℃的溫度進行97秒。此外,虛置覆蓋層90的沉積可以在升高的溫度,例如在約450℃的溫度進行175秒。在升高的溫度進行虛置含氟層88和虛置覆蓋層90的每一個的沉積可以促進氟從虛置含氟層88擴散進入 閘極介電層82。此外,可進行附加的熱製程,例如退火。退火可以是快速熱退火(Rapid Thermal Anneal,RTA)、爐管退火(furnace anneal)、或其他熱製程。在一例子中,快速熱退火(RTA)在575℃執行15秒。一般而言,在中間結構中虛置含氟層88的溫度和時間(duration)可影響氟擴散進入閘極介電層82的量。
第6圖說明在熱製程之後移除虛置覆蓋層90和虛置含氟層88。例如藉由一或更多蝕刻製程及清潔製程移除虛置覆蓋層90和虛置含氟層88。可藉由分別對於虛置覆蓋層90和虛置含氟層88的材料具有選擇性的蝕刻製程來移除虛置覆蓋層90和虛置含氟層88。上述一或更多蝕刻製程可以是例如等向性蝕刻製程、使用磷酸(phosphoric acid,H3PO4)的濕蝕刻、或其他蝕刻製程。在一些例子中,在蝕刻製程之後可能會殘留蝕刻製程的殘餘物。舉例而言,假設虛置含氟層88是摻雜氟的鎢,殘餘的鎢可能會留在阻障層86的表面上,其可能會殘留在完成的閘極結構中並且可被偵測到。
第7圖說明形成第一功函數調整層(work-function tuning layer)100、第二功函數調整層102、阻障/黏著層104、以及金屬閘極電極106。第一功函數調整層100順形地沉積在阻障層86上。第一功函數調整層100可包含或者可以是氮化鈦(titanium nitride,TiN)、鈦矽氮化物(titanium-silicon nitride)、鈦碳氮化物(titanium-carbon nitride)、鈦鋁氮化物(titanium-aluminum nitride)、氮化鉭(tantalum nitride)、鉭矽氮化物(tantalum-silicon nitride,TaSixNy)、鉭碳氮化物(tantalum-carbon nitride)、氮化鎢(tungsten nitride)、碳化鎢 (tungsten carbide)、鎢碳氮化物(tungsten-carbon nitride)、鈷(cobalt)、鉑(platinum)、類似的材料、或前述之組合,並且可藉由ALD、PECVD、MBD、或其他沉積技術沉積。第一功函數調整層100的厚度可以在從約5Å至約60Å的範圍內。第二功函數調整層102順形地沉積在第一功函數調整層100上。第二功函數調整層102可包含或者可以是鈦鋁碳化物(titanium aluminum carbide,TiAlC)、鈦鋁合金(titanium aluminum alloy)、鉭鋁碳化物(tantalum-aluminum carbide)、類似的材料、或前述之組合,並且可藉由ALD、PECVD、MBD、或其他沉積技術沉積。第二功函數調整層102的厚度可以在從約10Å至約60Å的範圍內。其他例子可以有各種其他配置的功函數調整層,以達到所形成的裝置想得到的效能。舉例而言,可以使用具有各種材料及/或厚度之任何不同數目的功函數層。在一些實例中,舉例而言,p型場效電晶體和n型場效電晶體可具有不同的功函數調整層。
阻障/黏著層104順形地沉積在第二功函數調整層102上。阻障/黏著層104可包含或者是氮化鈦、鈦矽氮化物、鈦碳氮化物、鈦鋁氮化物、氮化鉭、鉭矽氮化物、鉭碳氮化物、氮化鎢、碳化鎢、鎢碳氮化物、類似的材料、或前述之組合,並且可藉由ALD、PECVD、MBD、或其他沉積技術沉積。阻障/黏著層104的厚度可以在從約10Å至約50Å的範圍內。金屬閘極電極106沉積在阻障/黏著層104上。金屬閘極電極106可填充剩餘的凹陷74,凹陷74為虛置閘極堆疊被移除之處。金屬閘極電極106可以是或者包括含有金屬的材料,例如鎢、鈷、釕 (ruthenium)、鋁、銅、多層的前述材料、或前述之組合。可藉由ALD、PECVD、MBD、PVD、或其他沉積技術沉積金屬閘極電極106。
第8圖說明移除在第一層間介電層(ILD)72和閘極間隔物68的頂面上方的金屬閘極電極106、阻障/黏著層104、第二功函數調整層102、第一功函數調整層100、阻障層86、覆蓋層84、以及閘極介電層82之多餘部分。舉例而言,平坦化製程例如化學機械研磨(CMP)可移除金屬閘極電極106、阻障/黏著層104、第二功函數調整層102、第一功函數調整層100、阻障層86、覆蓋層84、以及閘極介電層82在第一層間介電層(ILD)72和閘極間隔物68的頂面上方的部分。
此外,將金屬閘極電極106、阻障/黏著層104、第二功函數調整層102、第一功函數調整層100、阻障層86、覆蓋層84、以及閘極介電層82凹陷至低於第一層間介電層(ILD)72和閘極間隔物68的頂面,並且形成閘極蓋層108在凹陷中。回蝕刻(etch-back)可將金屬閘極電極106、阻障/黏著層104、第二功函數調整層102、第一功函數調整層100、阻障層86、覆蓋層84、以及閘極介電層82的頂面凹陷至低於第一層間介電層(ILD)72和閘極間隔物68的頂面之水平高度。回蝕刻可以是例如反應性離子蝕刻(RIE)、濕蝕刻、或其他蝕刻製程。
用於閘極蓋層108的層形成在金屬閘極電極106、阻障/黏著層104、第二功函數調整層102、第一功函數調整層100、阻障層86、覆蓋層84、以及閘極介電層82上(例如金屬閘極電極106、阻障/黏著層104、第二功函數調整層102、第一功 函數調整層100、阻障層86、覆蓋層84、以及閘極介電層82已經被回蝕刻之處),以及在第一層間介電層(ILD)72和閘極間隔物68上。用於閘極蓋層108的層可包含或者可以是氮氧化矽、氮化矽、碳化矽、氮碳化矽、類似的材料、或前述之組合,並且可藉由CVD、PVD、ALD、或其他沉積技術沉積。將用於閘極蓋層108的層在第一層間介電層(ILD)72和閘極間隔物68的頂面上的部分移除,舉例而言,平坦化製程例如化學機械研磨(CMP)可移除用於閘極蓋層108的層在第一層間介電層(ILD)72和閘極間隔物68的頂面上的部分,並且可形成與第一層間介電(ILD)72和閘極間隔物68的頂面共平面之閘極蓋層108的頂面。因此可形成的置換閘極結構包括金屬閘極電極106、阻障/黏著層104、第二功函數調整層102、第一功函數調整層100、阻障層86、覆蓋層84、以及閘極介電層82。
第9圖說明形成第二層間介電層(ILD)110。第二層間介電層110沉積於第一層間介電層(ILD)72、閘極間隔物68、和閘極蓋層108上。第二層間介電層110可包含蝕刻停止層(etch stop layer,ESL)和主要介電層,例如低介電常數介電層。舉例而言,蝕刻停止層可沉積於第一層間介電層72、閘極間隔物68和閘極蓋層108上。然後,舉例而言,主要介電層沉積於蝕刻停止層上。第二層間介電層110的蝕刻停止層和主要介電層可以是或者包含相同或相似的材料,並且可以使用相同或相似的技術沉積,例如上述關於第一層間介電層72的蝕刻停止層和主要介電層的分別描述。在沉積第二層間介電層110之後,可以將其平坦化,例如藉由化學機械研磨(CMP)。
第10圖說明形成導電部件(conductive features)穿過第二層間介電層110和第一層間介電層72至源極/汲極區70。形成開口穿過第二層間介電層110和第一層間介電層72,每一個開口露出個別的源極/汲極區70,可以使用例如合適的微影和蝕刻製程形成開口。在開口內形成襯層112,襯層112可以沿著開口的側壁和源極/汲極區70的頂面順形地沉積。襯層112可以是擴散阻障層、黏著層、或類似的襯層。襯層112可包含或者可以是鈦、氮化鈦、鉭、氮化鉭、或類似的材料,並且可藉由ALD、PECVD、MBD、PVD、或其他沉積技術沉積。可進行退火製程,以促進襯層112的至少個別部分與源極/汲極區70之間的反應,在個別的源極/汲極區70形成矽化物(silicide)區114。在開口內的襯層112上形成導電材料116。導電材料116可以是或者包含金屬,例如鈷、鎢、銅、鋁、金、銀、前述之合金、類似的材料、或前述之組合,並且可藉由CVD、ALD、PVD、或其他沉積技術沉積。可進行平坦化製程,例如CMP,以從第二層間介電層110的頂面移除多餘的導電材料116和襯層112。剩餘的襯層112、矽化物區114和導電材料116形成導電部件(conductive features)至個別的源極/汲極區70。
在形成例如第8圖的置換閘極結構和後續製程之後,閘極介電層82含有氟且被氟化。氟將閘極介電層82鈍化。在其他例子中,閘極介電層82被其他鈍化物種鈍化。在閘極介電層82中的氟的濃度可以大於閘極介電層82的0.5百分比,例如在從約0.5百分比至約9百分比的範圍內。氟的濃度可以是梯度的,舉例而言,閘極介電層82向內至置換閘極結構的部分(例 如從閘極介電層82的垂直部分設置於其上的個別閘極間隔物68的末端,以及從閘極介電層82的水平部分設置於其上的半導體基底60的末端)在閘極介電層82中可具有較大的濃度,並且氟的濃度隨著閘極介電層82從具有最大濃度的部分遠離而降低(例如橫越在置換閘極結構的向外方向上)。這樣的氟濃度的梯度可能是由前述關於第5圖的熱製程所造成的擴散的結果。
在閘極介電層82中的氟的濃度和梯度可由用於沉積虛置含氟層88的前驅物控制(且因此由在虛置含氟層88中的氟濃度所控制);由虛置含氟層88、覆蓋層84、及/或阻障層86的厚度控制;由覆蓋層84和阻障層86的擴散係數控制;由在結構中含有虛置含氟層88的時間(duration)控制;以及/或由用於驅使氟進入閘極介電層82的熱製程條件控制。使用各種前驅物沉積虛置含氟層88可以增加或減少擴散進入閘極介電層82之有效的氟濃度,且因此可以增加或減少擴散進入閘極介電層82之氟的量。類似地,改變虛置含氟層88的厚度可以增加或減少擴散進入閘極介電層82之有效的氟濃度,且因此可以增加或減少擴散進入閘極介電層82之氟的量。舉例而言,假設摻雜氟的鎢材料作為虛置含氟層88,發明人發現使用原子層沉積(ALD)沉積虛置含氟層數個循環,擴散進入閘極介電層82的氟的量明顯地增加,但是在數個循環之後,擴散的氟的量通常會停滯(plateaued)。增加或減少覆蓋層84和阻障層86其中之一或兩者的厚度可以增加或減少氟擴散穿過覆蓋層84和阻障層86的能力,並且因此可以增加或減少氟擴散進入閘極介電層82的量。
此外,熱製程條件,例如溫度、時間(duration)、 以及製程或設置種類,可以影響氟的擴散進入閘極介電層。舉例而言,較高溫度的製程及/或較長時間可以增加氟擴散進入閘極介電層82的量。第11圖說明根據一些實施例,在不同製程階段之後,氟的範例曲線。曲線顯示橫跨阻障層86、覆蓋層84、閘極介電層82和界面介電層80。第一曲線200是例如關於第5圖,在溫度300℃、時間97秒沉積虛置含氟層88之後的描述。第二曲線202是例如關於第5圖,在溫度450℃、時間175秒沉積虛置覆蓋層90之後的描述。第三曲線204是例如關於第5圖,在溫度575℃、時間15秒的快速熱退火(RTA)之後的描述。這些曲線200、202和204進一步說明如前所述在閘極介電層82中可以發生的個別梯度。
此外,金屬閘極電極106、阻障/黏著層104、第二功函數調整層102和第一功函數調整層100可大致上不含氟。舉例而言,在一些例子中,金屬閘極電極106、阻障/黏著層104、第二功函數調整層102和第一功函數調整層100可以不含可追蹤到的氟含量,以及/或可具有非常稀薄(insubstantial)的氟含量,其例如由與任何製程無關的氟的自然擴散或氟的發生所造成,例如可能發生在有意地形成氟在這些層中的製程缺乏的情況下。舉例而言,可以使用含氟的前驅物或其他可使用氟的製程,例如蝕刻製程來形成金屬閘極電極106、阻障/黏著層104、第二功函數調整層102和第一功函數調整層100,這造成很稀薄、殘餘的氟留在這些層內。第12圖說明氟的示範曲線350,以說明非常稀薄(insubstantial)的氟含量可能發生在阻障/黏著層104、第二功函數調整層102和第一功函數調整層100的例 子。此外,第12圖說明殘餘的鎢之示範曲線352,如前所述在移除作為虛置含氟層88之摻雜氟的鎢層之後殘留鎢。
一些實施例可以達到好處,在閘極介電層中缺乏氟或其他鈍化物種,會在閘極介電層中及/或在半導體基底中的通道之表面發生氧空缺(oxygen vacancies)及/或懸掛鍵(dangling bonds)。如前所述,氟化閘極介電層可以藉由填充氧空缺和接至懸掛鍵而鈍化閘極介電層。藉由氟化閘極介電層,可以減少電荷捕捉(charge trapping)和界面電荷散射(interfacial charge scattering)。如前所述,藉由從虛置含氟層擴散氟進入閘極介電層,閘極介電層可以更順形地摻雜氟,並且具有更好的覆蓋率(coverage),這對於更小的技術節點,例如7nm和更小技術節點特別有利,並且在三維(three-dimensional,3D)技術,例如鰭式場效電晶體更特別有利。氟化的且改善的順形可讓時間相依介電崩潰(TDDB)劣化降低,並且有較高的可靠度。此外,在一些實施例中,不需要電漿且不需要佈植將閘極介電層氟化,這可以避免晶格受損和效能退化。再者,由於一些功函數調整層可以在氟化閘極介電層之後形成,電晶體的功函數可以更容易調整,因為在這些層中不存在明顯的氟含量,且不會明顯地影響功函數調整層,因此可以增加電晶體的效能,例如改善臨界電壓(threshold voltage)。
一實施例為方法,在基底上的主動區上形成閘極介電層,在閘極介電層上形成虛置含氟層,進行熱製程將氟從虛置含氟層驅使進入閘極介電層,移除虛置含氟層,在閘極介 電層上形成金屬閘極電極,在形成金屬閘極電極之前閘極介電層含有氟。
另一實施例為方法,在閘極間隔物之間順形地形成閘極介電層,閘極間隔物在基底上的鰭狀物上,閘極介電層沿著鰭狀物的側壁和頂面順形地形成,且沿著閘極間隔物個別的側壁順形地形成,虛置層順形地形成於閘極介電層上,虛置層含有鈍化物種,鈍化物種從虛置層驅使進入閘極介電層,移除虛置層,在移除虛置層之後,形成金屬閘極電極於閘極介電層上方。
又另一實施例為結構,此結構包含閘極結構位於基底上的鰭狀物上,閘極結構包含閘極介電層、功函數調整層和金屬閘極電極,閘極介電層沿著鰭狀物的側壁且位於鰭狀物的頂面上,閘極介電層含有氟,功函數調整層在閘極介電層上,金屬閘極電極在功函數調整層上,功函數調整層和金屬閘極電極中的至少一者大致上不含氟。
以上概述了數個實施例的部件,使得在本發明所屬技術領域中具有通常知識者可以更理解本發明實施例的概念。在本發明所屬技術領域中具有通常知識者應該理解,可以使用本發明實施例作為基礎,來設計或修改其他製程和結構,以實現與在此所介紹的實施例相同的目的及/或達到相同的好處。在本發明所屬技術領域中具有通常知識者也應該理解,這些等效的結構並不背離本發明的精神和範圍,並且在不背離本發明的精神和範圍的情況下,在此可以做出各種改變、取代和其他選擇。因此,本發明之保護範圍當視後附之申請專利範圍 所界定為準。

Claims (20)

  1. 一種半導體裝置的形成方法,包括:形成一閘極介電層於一基底上的一主動區上;形成一虛置含氟層於該閘極介電層上;進行一熱製程將氟從該虛置含氟層驅使進入該閘極介電層;移除該虛置含氟層;以及形成一金屬閘極電極於該閘極介電層上,其中該閘極介電層在形成該金屬閘極電極之前含有氟。
  2. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中形成該閘極介電層包含沿著閘極間隔物的側壁順形地形成該閘極介電層。
  3. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中:該主動區是在該基底上的一鰭狀物;且形成該閘極介電層包含沿著該鰭狀物的側壁和在該鰭狀物的頂面上順形地形成該閘極介電層。
  4. 如申請專利範圍第1項所述之半導體裝置的形成方法,更包括:形成一覆蓋層在該閘極介電層上;以及形成一阻障層在該覆蓋層上,該虛置含氟層形成在該阻障層上。
  5. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該閘極介電層是高介電常數材料。
  6. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中在該金屬閘極電極形成之後,該虛置含氟層的一殘餘物留在該閘極介電層與該金屬閘極電極之間。
  7. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中形成該虛置含氟層包含使用原子層沉積製程。
  8. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中該熱製程為快速熱退火。
  9. 如申請專利範圍第1項所述之半導體裝置的形成方法,更包括:在移除該虛置含氟層之後,形成一功函數調整層在該閘極介電層上;以及形成一阻障/黏著層在該功函數調整層上,該金屬閘極電極形成在該阻障/黏著層上。
  10. 一種半導體裝置的形成方法,包括:順形地形成一閘極介電層於複數個閘極間隔物之間,該些複數個閘極間隔物之間位於一基底上的一鰭狀物上,該閘極介電層沿著該鰭狀物的側壁和頂面順形地形成,且沿著該些閘極間隔物的個別側壁順形地形成;順形地形成一虛置層於該閘極介電層上,該虛置層含有一鈍化物種;將該鈍化物種從該虛置層驅使進入該閘極介電層;移除該虛置層;以及在該虛置層移除之後,形成一金屬閘極電極於該閘極介電層上。
  11. 如申請專利範圍第10項所述之半導體裝置的形成方法,更包括:形成一覆蓋層在該閘極介電層上;以及形成一阻障層在該覆蓋層上,該虛置層形成在該阻障層上。
  12. 如申請專利範圍第10項所述之半導體裝置的形成方法,其中順形地形成該虛置層包含使用原子層沉積製程。
  13. 如申請專利範圍第10項所述之半導體裝置的形成方法,其中將該鈍化物種從該虛置層驅使進入該閘極介電層包含使用熱製程。
  14. 如申請專利範圍第10項所述之半導體裝置的形成方法,其中該鈍化物種為氟。
  15. 如申請專利範圍第10項所述之半導體裝置的形成方法,更包括:在移除該虛置層之後,形成一功函數調整層在該閘極介電層上;以及形成一阻障/黏著層在該功函數調整層上,該金屬閘極電極形成在該阻障/黏著層上。
  16. 一種半導體裝置,包括:一閘極結構,位於一基底上的一鰭狀物上,該閘極結構包括:一閘極介電層,沿著該鰭狀物的側壁且位於該鰭狀物的頂面上,該閘極介電層含有氟;一功函數調整層,位於該閘極介電層上;以及一金屬閘極電極,位於該功函數調整層上,在該閘極介電 層中氟的濃度大於在該功函數調整層和該金屬閘極電極的至少一者中氟的濃度。
  17. 如申請專利範圍第16項所述之半導體裝置,其中該閘極介電層具有該氟的一梯度濃度,該梯度濃度在從遠離該鰭狀物的一區朝向該鰭狀物的方向上遞減。
  18. 如申請專利範圍第16項所述之半導體裝置,其中該功函數調整層和該金屬閘極電極的至少一者大抵上不含氟。
  19. 如申請專利範圍第16項所述之半導體裝置,更包括一金屬殘餘物在該閘極介電層與該功函數調整層之間。
  20. 如申請專利範圍第16項所述之半導體裝置,其中該閘極結構設置於一第一閘極間隔物與一第二閘極間隔物之間,該第一閘極間隔物和該第二閘極間隔物位於該鰭狀物上,該閘極介電層更沿著該第一閘極間隔物和該第二閘極間隔物的個別側壁,該閘極結構更包括:一覆蓋層,位於該閘極介電層上;一阻障層,位於該覆蓋層上,該功函數調整層在該阻障層上;以及一阻障/黏著層,位於該覆蓋層上,該金屬閘極電極在該阻障/黏著層上。
TW107107899A 2017-09-28 2018-03-08 半導體裝置及其形成方法 TWI742253B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762564827P 2017-09-28 2017-09-28
US62/564,827 2017-09-28
US15/824,474 2017-11-28
US15/824,474 US10854459B2 (en) 2017-09-28 2017-11-28 Gate structure passivating species drive-in method and structure formed thereby

Publications (2)

Publication Number Publication Date
TW201916125A true TW201916125A (zh) 2019-04-16
TWI742253B TWI742253B (zh) 2021-10-11

Family

ID=65807981

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107107899A TWI742253B (zh) 2017-09-28 2018-03-08 半導體裝置及其形成方法

Country Status (5)

Country Link
US (4) US10854459B2 (zh)
KR (1) KR102123338B1 (zh)
CN (2) CN109585283B (zh)
DE (1) DE102018100062B4 (zh)
TW (1) TWI742253B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI821740B (zh) * 2021-04-29 2023-11-11 台灣積體電路製造股份有限公司 奈米片的氟摻入方法

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109244090B (zh) 2017-07-11 2022-04-19 联华电子股份有限公司 半导体存储装置的制作方法
US10707100B2 (en) 2018-06-07 2020-07-07 Tokyo Electron Limited Processing method and plasma processing apparatus
US10916420B2 (en) * 2018-06-07 2021-02-09 Tokyo Electron Limited Processing method and plasma processing apparatus
US10468258B1 (en) 2018-06-12 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Passivator for gate dielectric
US10535523B1 (en) 2018-08-30 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Formation and in-situ etching processes for metal layers
US11069534B2 (en) * 2018-10-31 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices
US11257921B2 (en) * 2019-04-18 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
KR20210011558A (ko) 2019-07-22 2021-02-02 삼성전자주식회사 반도체 소자
US11532550B2 (en) * 2019-07-31 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure having a multi-layer conductive feature and method making the same
CN112563127B (zh) * 2019-09-26 2023-10-31 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
DE102020100099A1 (de) 2019-09-30 2021-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gatestrukturen in halbleitervorrichtungen
US11756832B2 (en) * 2019-09-30 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structures in semiconductor devices
US11462626B2 (en) 2019-10-29 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11588031B2 (en) * 2019-12-30 2023-02-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure for memory device and method for forming the same
US11387344B2 (en) * 2020-02-27 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device having a doped work-function layer
US11430698B2 (en) 2020-05-19 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. In-situ formation of metal gate modulators
US11784052B2 (en) * 2020-05-28 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Dipole-engineered high-k gate dielectric and method forming same
KR20220011092A (ko) * 2020-07-20 2022-01-27 에이에스엠 아이피 홀딩 비.브이. 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템
US11437240B2 (en) 2020-08-05 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structure and method of forming
US11527621B2 (en) * 2020-08-05 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate electrode deposition and structure formed thereby
US11437474B2 (en) 2020-08-17 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in transistors and method of forming same
US11610982B2 (en) 2020-09-15 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Void elimination for gap-filling in high-aspect ratio trenches
CN115394850A (zh) * 2021-05-25 2022-11-25 联华电子股份有限公司 半导体装置以及其制作方法
US20230008315A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive Features of Semiconductor Devices and Methods of Forming the Same
US11996453B2 (en) * 2021-08-27 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Introducing fluorine to gate after work function metal deposition
US20230066477A1 (en) * 2021-08-31 2023-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in transistors and method of forming same

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5464792A (en) 1993-06-07 1995-11-07 Motorola, Inc. Process to incorporate nitrogen at an interface of a dielectric layer in a semiconductor device
US5567638A (en) * 1995-06-14 1996-10-22 National Science Council Method for suppressing boron penetration in PMOS with nitridized polysilicon gate
US6429126B1 (en) * 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
US6451646B1 (en) * 2000-08-30 2002-09-17 Micron Technology, Inc. High-k dielectric materials and processes for manufacturing them
US20030054628A1 (en) * 2001-09-17 2003-03-20 Chartered Semiconductor Manufacturing Ltd. Method of forming a low resistance multi-layered TiN film with superior barrier property using poison mode cycling
KR100788361B1 (ko) * 2006-12-12 2008-01-02 동부일렉트로닉스 주식회사 모스펫 소자의 형성 방법
US8319295B2 (en) * 2007-01-10 2012-11-27 Imec Use of F-based gate etch to passivate the high-k/metal gate stack for deep submicron transistor technologies
US7667247B2 (en) * 2007-03-30 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method for passivating gate dielectric films
KR101225642B1 (ko) * 2007-11-15 2013-01-24 삼성전자주식회사 H2 원격 플라즈마 처리를 이용한 반도체 소자의 콘택플러그 형성방법
US9054025B2 (en) * 2008-11-03 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Process for controlling shallow trench isolation step height
JP5465958B2 (ja) 2009-09-01 2014-04-09 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
CN102087979A (zh) * 2009-12-04 2011-06-08 中国科学院微电子研究所 高性能半导体器件及其形成方法
JP2014518944A (ja) 2011-05-13 2014-08-07 グリーンセンター カナダ 11族モノ金属前駆化合物およびその金属堆積における使用
CN102800595B (zh) * 2011-05-26 2015-03-11 中芯国际集成电路制造(上海)有限公司 Nmos晶体管形成方法及对应cmos结构形成方法
US9006092B2 (en) 2011-11-03 2015-04-14 United Microelectronics Corp. Semiconductor structure having fluoride metal layer and process thereof
US8552505B1 (en) * 2012-04-12 2013-10-08 GlobalFoundries, Inc. Integrated circuits having improved metal gate structures and methods for fabricating same
CN103681276B (zh) * 2012-09-18 2016-08-31 中芯国际集成电路制造(上海)有限公司 金属栅极、mos晶体管及cmos结构分别的形成方法
US8921181B2 (en) * 2012-12-27 2014-12-30 Intermolecular, Inc. Flourine-stabilized interface
KR102018101B1 (ko) * 2013-02-04 2019-11-14 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
TWI612666B (zh) 2013-06-24 2018-01-21 聯華電子股份有限公司 一種製作鰭狀場效電晶體的方法
US20150132938A1 (en) * 2013-11-13 2015-05-14 Intermolecular, Inc. Methods and Systems for Forming Reliable Gate Stack on Semiconductors
KR102162733B1 (ko) * 2014-05-29 2020-10-07 에스케이하이닉스 주식회사 듀얼일함수 매립게이트형 트랜지스터 및 그 제조 방법, 그를 구비한 전자장치
US9548372B2 (en) * 2015-01-29 2017-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with tunable work function
TW201631667A (zh) * 2015-02-17 2016-09-01 聯華電子股份有限公司 半導體元件及其製作方法
TWI650833B (zh) * 2015-04-01 2019-02-11 聯華電子股份有限公司 具有金屬閘極之半導體元件及其製作方法
KR102427596B1 (ko) 2015-09-03 2022-07-29 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9502307B1 (en) * 2015-11-20 2016-11-22 International Business Machines Corporation Forming a semiconductor structure for reduced negative bias temperature instability
US9960053B2 (en) * 2015-12-15 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET doping methods and structures thereof
US10079302B2 (en) 2015-12-28 2018-09-18 International Business Machines Corporation Silicon germanium fin immune to epitaxy defect
US9508556B1 (en) 2016-01-29 2016-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating fin field effect transistor and semiconductor device
US10134873B2 (en) * 2016-11-18 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device gate structure and method of fabricating thereof
US10163657B1 (en) * 2017-08-25 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10468258B1 (en) 2018-06-12 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Passivator for gate dielectric

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI821740B (zh) * 2021-04-29 2023-11-11 台灣積體電路製造股份有限公司 奈米片的氟摻入方法

Also Published As

Publication number Publication date
CN109585283B (zh) 2021-08-06
US10854459B2 (en) 2020-12-01
DE102018100062A1 (de) 2019-03-28
US20190096681A1 (en) 2019-03-28
CN113658867A (zh) 2021-11-16
US20190096680A1 (en) 2019-03-28
TWI742253B (zh) 2021-10-11
KR102123338B1 (ko) 2020-06-17
US20230317457A1 (en) 2023-10-05
US11024505B2 (en) 2021-06-01
US20210287905A1 (en) 2021-09-16
KR20190037057A (ko) 2019-04-05
CN109585283A (zh) 2019-04-05
US11710638B2 (en) 2023-07-25
DE102018100062B4 (de) 2023-08-10

Similar Documents

Publication Publication Date Title
TWI742253B (zh) 半導體裝置及其形成方法
KR102093297B1 (ko) 반도체 디바이스 및 방법
KR20180110656A (ko) 접촉 구조물 및 그 형성방법
US11742386B2 (en) Doping for semiconductor device with conductive feature
US11424364B2 (en) FinFET device and method of forming
TWI643252B (zh) 半導體裝置的形成方法
KR102216895B1 (ko) 반도체 디바이스 및 제조 방법
TW201914010A (zh) 鰭式場效電晶體裝置的形成方法
TWI739147B (zh) 半導體裝置及其形成方法
KR102108984B1 (ko) 로우-k 게이트 스페이서 및 그 형성
TW202123463A (zh) 半導體元件及其製造方法
CN112420517A (zh) 半导体装置的形成方法
TWI758149B (zh) 鰭式場效電晶體裝置及其形成方法
CN109786460B (zh) 低k栅极间隔件及其形成
TWI795774B (zh) 填充結構及其製造方法
TW202331934A (zh) 半導體裝置結構之形成方法
TW202145300A (zh) 半導體裝置及其製造方法