TW201915598A - 積體電路製造方法及其製造系統 - Google Patents

積體電路製造方法及其製造系統 Download PDF

Info

Publication number
TW201915598A
TW201915598A TW107115932A TW107115932A TW201915598A TW 201915598 A TW201915598 A TW 201915598A TW 107115932 A TW107115932 A TW 107115932A TW 107115932 A TW107115932 A TW 107115932A TW 201915598 A TW201915598 A TW 201915598A
Authority
TW
Taiwan
Prior art keywords
integrated circuit
mask
pattern
model
wafer
Prior art date
Application number
TW107115932A
Other languages
English (en)
Other versions
TWI679487B (zh
Inventor
黃旭霆
周自翔
劉如淦
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201915598A publication Critical patent/TW201915598A/zh
Application granted granted Critical
Publication of TWI679487B publication Critical patent/TWI679487B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • G03F1/78Patterning of masks by imaging by charged particle beam [CPB], e.g. electron beam patterning of masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0277Electrolithographic processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Abstract

本揭露提供一種積體電路製造方法,包括建立一光罩模型以及一複合微影計算(compound lithography computational,CLC)模型,上述光罩模型用以模擬一光罩圖像,上述複合微影計算模型用以模擬一晶圓圖案;使用一測量的光罩圖像校正上述光罩模型;使用一測量的晶圓資料及校正後的上述光罩模型校正上述複合微影計算模型;以及使用校正後的上述複合微影計算模型對一積體電路圖案執行一光學鄰近校正(OPC)程序,從而產生用於光罩製程的一光罩圖案。

Description

積體電路製造方法及其製造系統
本揭露係有關於一種積體電路製造方法及其製造系統,特別是有關使用光學鄰近校正的積體電路製造方法及製造系統。
當積體電路技術不斷進行至更小的特徵尺寸(例如32奈米、28奈米、20奈米或更小)時,積體電路設計更加面臨挑戰。設計電路的效能受到各種電路圖案(例如摻雜井、源極及汲極、閘極、介層窗(vias)/接觸窗(contacts)以及其他電路特徵)之成像的嚴重影響。當先進電路設計具有鰭式主動區之三維結構時,形成具有適合形狀及尺寸的電路特徵更加困難。為了提高轉移一設計圖案至一晶圓時的成像效應,光學鄰近校正(OPC)為必需的。設計圖案被調整以在晶圓上產生具有改進的可印刷性之一圖像。然而,最終的晶圓結果與各種製程及因素有關。微影印刷能力受限於光阻模糊、光罩繞射、投影成像解析度、光罩直寫(mask writing)的電子束模糊、光阻、蝕刻及/或其他因素。現有的方法在電路效能及製程成本上不能有效提供優化的晶圓結果。具體來說,在模擬的某些步驟(例如校正)中,光罩繞射與其他因素是沒有隔離的(isolated)。
因此,需要一種光罩模擬方法及光罩製造方法以 有效減少圖案化誤差及前面所述問題。
本揭露提供一種積體電路製造方法,上述方法包括建立一光罩模型以及一複合微影計算模型,上述光罩模型用以模擬一光罩圖像,上述複合微影計算模型用以模擬一晶圓圖案;使用一測量的光罩圖像校正上述光罩模型;使用一測量的晶圓資料及校正後的上述光罩模型校正上述複合微影計算模型;以及使用校正後的上述複合微影計算模型對一積體電路圖案執行一光學鄰近校正(OPC)程序,從而產生用於一光罩製程的一光罩圖案。
本揭露提供一種積體電路製造方法,上述方法包括使用一測量的光罩圖像校正一光罩模型;使用一測量的晶圓資料及校正後的上述光罩模型校正一複合微影計算模型;以及使用校正後的上述複合微影計算模型對一積體電路圖案執行一光學鄰近校正程序,從而產生用於一光罩製程的一光罩圖案。
本揭露提供一種積體電路製造系統,上述系統包括一光罩資料模組,被設計以收集光罩成像資料;一晶圓資料模組,被設計以從一光罩製造程序中收集晶圓製造資料;一第一校正模組,被設計以基於上述光罩成像資料校正一光罩模型;一第二校正模組,被設計以基於上述晶圓製造資料校正一複合微影計算模型;以及一光學鄰近校正模組,被設計以使用上述光罩模型及上述複合微影計算模型執行一光學鄰近校正程序。
100‧‧‧方法
102-110‧‧‧操作
200‧‧‧方法
202-212‧‧‧操作
300‧‧‧積體電路設計佈局
302-308‧‧‧電路特徵
400‧‧‧最終晶圓圖案
402‧‧‧冗餘特徵
500‧‧‧主要特徵
600‧‧‧晶圓目標圖案
800‧‧‧光罩圖案
900‧‧‧半導體晶圓
910‧‧‧半導體基板
920‧‧‧材料層
930‧‧‧光阻層
1300‧‧‧光學鄰近校正系統
1302‧‧‧積體電路設計佈局
1304‧‧‧光學鄰近校正模組
1306‧‧‧複合微影計算模型模組
1308‧‧‧光罩模型模組
1310‧‧‧資料晶圓資料
1312‧‧‧光罩資料
1314‧‧‧最終光罩圖案
1316‧‧‧光罩製造模組
1318‧‧‧晶圓製造模組
A‧‧‧複合微影計算建立器
B‧‧‧校正器
C‧‧‧收集器
D‧‧‧光罩模型建立器
E‧‧‧校正器
F‧‧‧收集器
1400‧‧‧圖像測量系統
1402‧‧‧輻射源
1404‧‧‧照射器
1406‧‧‧光罩載台
1408‧‧‧光罩
1410‧‧‧投影光學裝置
1412‧‧‧圖像台
1414‧‧‧圖像偵測器
本揭露之觀點從後續實施例以及附圖可以更佳理解。須知示意圖係為範例,並且不同特徵並無示意於此。不同特徵之尺寸可能任意增加或減少以清楚論述。
第1圖係為根據本發明實施例之製造積體電路之流程圖。
第2圖係為根據本發明實施例之製造積體電路之流程圖。
第3圖及第4圖係為根據本發明實施例之在複數設計站點之積體電路設計佈局的示意圖。
第5圖至第8圖係為根據本發明實施例之在複數設計站點之積體電路設計佈局的主要特徵的示意圖。
第9圖至第12圖係為根據本發明實施例之在複數製程站點之半導體晶圓的示意圖。
第13圖係為根據本發明實施例之用於第1圖及第2圖的方法的光學鄰近校正系統的示意圖。
第14圖係為根據本發明實施例之圖像測量系統的示意圖。
應了解以下的揭露內容提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。揭露書不同範例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
第1圖係為根據本發明實施例之製造一積體電路 的方法100的流程圖,特別是對積體電路設計、光罩製造及晶圓圖案化作光學鄰近校正(OPC)程序的製造一積體電路的方法。
方法100在操作102中藉由建立一光罩模型及一複合微影計算(compound lithography computational,CLC)模型作為開始。這些模型使用來自光罩製造程序及晶圓製造程序的歷史資料來建立。具體來說,光罩模型被建立以模擬在圖案化一半導體基板(例如一晶圓、矽晶圓或其他合適半導體晶圓)之一微影製程中,半導體基板上之光罩成像。具體來說,光罩模型考慮了兩個因素:對應微影系統的光罩繞射及成像投影。在一微影製程中,定義在光罩上之一電路圖案被投影在塗佈在半導體基板上的一光阻(或簡單光阻(simply resist))層。光罩圖案將會引起來自微影系統光源的光的繞射,並且這個光進一步透過微影系統的投影透鏡被投影,以在晶圓表面(或塗佈的光阻層)上形成光罩圖案的圖像。光罩圖案的圖像,或僅為光罩圖像係由光罩繞射及成像投影所決定。光罩模型的建立係基於理論分析(例如光學成像分析及公式化)、工程輸入及歷史製造資料,例如來自空間影像(aerial image)(可從圖像測量系統(IMS)收集)的資料。舉例來說,使用歷史製造資料(例如使用最小平方擬合)決定在數學模型中的係數或其他參數。在一些實施例中,光罩模型由部分同調照明源(partial coherent illumination source)的總強度被定義,公式如下: 其中x為圖像位置,mp為一組光罩模型參數,αβγ為極性狀 態,(f i )為在波向量上的照明光的強度,f' f" 為 在光瞳面(pupil plane)上的波向量,為透鏡光瞳(pupil)函數,為來自光罩輪廓之電磁場(EMF)模擬的光罩繞射,以及I tot 為在圖像位置x的光強度並定義為光罩的圖像。
在一些實施例中,光罩模型取用一核心摺積(kernel convolution)方法被定義成如下的公式: 其中I α (x,y, mp)為繞射後的光照圖像;m γ (x,y)為從實際光罩佈局修改後的函數;V αγ,i (x,y, mp)為核心(kernel);以及mp為一組光罩模型參數。
在操作102中,以數學公式建構複合微影計算模型,以模擬在透過一微影製程及額外包括之蝕刻製程形成積體電路圖案之一晶圓輪廓在半導體晶圓上時積體電路圖案之該晶圓輪廓。總體來看,當定義在光罩上的一積體電路圖案被轉移到塗佈在半導體基板上的一光阻層時,所圖案化的光阻層之輪廓與複數因素有關,這些因素包括光罩繞射、成像投影、與光子的光阻反應以及光阻顯影。如果晶圓輪廓是指透過蝕刻轉移到半導體基板的材料層之圖案的輪廓,則進一步與對應的蝕刻製程有關。複合微影計算模型的建立是基於理論分析(例如除了光學成像分析及公式化,另有與光的光阻反應、光阻顯影及蝕刻)、工程輸入及歷史製造資料,例如來自微影製程及蝕刻製程的資料。舉例來說,在數學模型中的係數或其他參數係使 用歷史製造資料(例如使用最小平方擬合)所決定。合適的程序可被實施以有效率地建立複合微影計算模型。在一些實施例中,建立複合微影計算模型之程序包括以下步驟:建立可以共同地模擬微影曝光製程、光阻顯影及蝕刻製程的一個單一數學模型;並且使用歷史資料(例如使用最小平方擬合)決定在數學模型中的係數或其他參數。單一數學模型可以根據各種輸入被建立,例如上述製程之理論分析、與光子的光阻反應及光阻顯影、實驗式及工程輸入。複合微影計算模型可由如下公式被定義:W(x)=Φ21(I(x,mp)))其中W(x)定義為一模擬晶圓輪廓,或是藉由一微影製程及一蝕刻製程轉移到半導體基板的一圖案。x代表在一個二維笛卡兒座標的一位置;Φ1定義為一光阻圖案函數,用以模擬塗佈在半導體晶圓上的一光阻的特性;以及Φ2定義為一晶圓圖案函數,用以模擬施加至半導體基板之一對應蝕刻製程的特性。
方法100亦包括一操作104以收集或測量用在校正光罩模型的一或多個光罩圖像。光罩圖像的測量可被實施在一微影曝光系統或一圖像測量系統(IMS)中,兩者將在後續討論。圖像測量系統可以在考量光罩圖案的圖像的情況中,與微影曝光系統相似。在操作104中,可以從一或多個測試光罩、一或多個產品光罩或上述之組合中收集光罩圖像。
在一些實施例中,圖像測量系統係為與微影系統相似的系統,具有降低成本以及不同配置及設計。第14圖係為根據本發明實施例之一圖像測量系統1400的示意圖。圖像測量系統1400可操作以產生光罩圖像,該光罩圖像與一微影系統產 生的光罩圖像相似或相同。舉例來說,圖像測量系統1400可以使用具有不同波長的輻射源以放大光罩圖像來進行更佳的測量及分析。在至少一些實施例中,圖像測量系統1400包括一輻射源1402、一照射器1404、被配置以固定一光罩1408之一光罩載台1406、投影光學裝置1410以及被設計以接收光罩之圖像的一圖像台(image stage)1412。舉例來說,圖像測量系統1400可以包括圖像偵測器1414被配置以測量光罩圖像以及從光罩圖像收集資料。圖像測量系統1400的操作的一般描述可以如下:將來自輻射源1402的一輻射(例如紫外光)引導至照射器1404並且投影在光罩1408上。將一光罩圖像引導至投影光學裝置1410,投影光學裝置1410將光聚焦並且投影在圖像台1412上,使得光罩圖像藉由圖像偵測器1414被測量或收集,以藉由一微影系統模仿在一晶圓之一光阻層上的一光罩圖像。另外,在各種實施例中,圖像測量系統1400的每個子系統可被容納在一腔室中以減少環境干擾。
在這裡描述的實施例中,輻射源1402可被用以產生極紫外光。在一些實施例中,輻射源1402可以是一紫外光(UV)、深紫外光、極紫外光或其他適合光,例如具有長波長之光。如上面所述,來自輻射源1402的光被引導至照射器1404。在一些實施例中,照射器1404可包括光學圖像元件(optical image component),例如透鏡以將來自輻射源1402的光引導到光罩載台1406上,並且更具體的是引導到固定在光罩載台1406上的光罩1408。在一些實施例中,照射器1404可被配置以根據特定光瞳形狀來對通過的光塑形(包括例如一偶極形狀、一四 極形狀、一環狀形狀、一單光束形狀、多光束形狀及/或上述之組合)。在一些實施例中,照射器1404可操作以配置光學成像元件來對光罩1408提供需要的照明。在一些實施例中,照射器1404被配置以對光罩1408提供一軸上照明(on-axis illumination,ONI)。在一些實施例中,照射器1404被配置以對光罩1408提供一離軸照明(off-axis illumination,OAI)。
如上面所述,圖像測量系統1400亦包括光罩載台1406,光罩載台1406被配置以固持光罩1408。光從光罩1408被引導,並且更被引導至投影光學裝置1410,投影光學裝置1410收集來自光罩1408的光。舉例來說,藉由投影光學裝置1410收集的光帶有藉由光罩1408所定義的圖案的一圖像。在複數實施例中,投影光學裝置1410用以在圖像台1412上成像光罩1408的圖案。具體來說,在複數實施例中,投影光學裝置1410聚焦所收集的光並且將光投影在圖像偵測器1414上。
在某些實施例中,照射器1404模仿在一微影系統中的照射配置,並且數值孔徑NA1與在實際投影微影系統中的入射光瞳的數值孔徑相同。在某些實施例中,數值孔徑NA2非常小,使光罩圖像被放大並且可以藉由圖像偵測器1414(例如感光耦合元件(CCD)或其他合適圖像偵測器)被測量。
圖像測量系統1400可包括其他元件並且可以具有其他替代方案。在一些實施例中,圖像測量系統1400可包括一光瞳相位調製器以調製從光罩1408引導的極紫外光的一光學相位,使得光沿著一投影光瞳平面具有一相位分佈。在一些實施例中,光瞳相位調製器使用配置在投影光瞳平面上的一光瞳 濾波器。舉例來說,光瞳濾波器被使用以濾除來自光罩1408的光中之特定空間頻率成分(spatial frequency component)。在一些實施例中,光瞳濾波器可作為一光瞳相位濾波器,用以調製透過投影光學裝置1410引導的光的相位分佈。
方法100亦包括一操作106以使用來自操作104所測量的光罩圖像以校正光罩模型。如上面所述,光罩模型被設計以模擬光罩圖像。最初,在光罩模型中的參數將由歷史製造資料所決定。另外,隨著時間推移,微影系統及光罩圖案可能有飄移或其他變異,造成光罩模型有較低的效能及準確,所以光罩模型需要被校正以捕捉隨著時間推移的漂移及其他變異。在所揭露之方法中,該校正係基於所測量的光罩圖像而非模擬資料。在現有的方法中,光罩模型的校正係基於模擬資料,其需要嚴謹的電磁波模擬資料,包括折射率、薄膜厚度以及圖案側壁角度。然而,光罩繞射模型的準確度及其靈活性是具有挑戰性的。來自測量的光罩圖像的資料集體並適當地包括上述內容,但與其他不相關因素(例如光阻顯影)隔離(isolated)。光罩圖像的測量可被實現在一微影系統或一圖像測量系統(IMS),兩者將在後續討論。圖像測量系統可以在考量光罩圖案的圖像的情況中,與微影曝光系統相似。在操作104中,可以從一或多個測試光罩、一或多個產品光罩或上述之組合中收集光罩圖像。
在操作106中,校正可透過包括數學計算的一程序被實現。在此實施例中,藉由比較測量的光罩圖像及模擬的光罩圖像之間的差異以校正光罩模型,並且藉由調整在光罩模型 中的參數以最小化該差異。用在校正中的最小化公式係定義如下: 其中I m,α (x , mp)為測量的光罩圖像,並且I s,α (x,mp)為模擬的光罩圖像。替代之實施例可以使用其他方法作優化程序,例如測量的晶圓輪廓。
方法100亦包括一操作108以收集或測量晶圓資料,例如透過微影曝光製程、顯影曝光後的光阻及使用圖案化的光阻層作為蝕刻光罩進行蝕刻製程以蝕刻半導體基板的材料層後,從光罩轉移到半導體基板的圖案的晶圓輪廓。
在一些實施例中,操作108可從晶圓製造程序收集歷史資料,例如來自微影圖案化製程及蝕刻製程的資料,微影圖案化製程及蝕刻製程被應用於圖案化半導體晶圓。在更進一步的實施例中,資料可以從對應的微影機台及蝕刻機台中收集。資料可以進一步從圖案化後的晶圓的光阻特性、關鍵尺寸(CD)測量值來收集。
方法100更包括一操作110使用來自操作108所測量的晶圓資料以校正複合微影計算模型。需注意的是,複合微影計算模型包括光罩模型,因此複合微影計算模型的校正亦包括上述操作的輸入。藉由比較測量的關鍵尺寸及模擬的關鍵尺寸之間的差異以校正複合微影計算模型,並接著藉由調整在複合微影計算模型中的參數以最小化該差異。具體來說,最小化公式被定義如下: 其中為CD m,j 測量的關鍵尺寸,為CD s,j 模擬的關鍵尺寸,並且參數p代表在複合微影計算模型中的各種參數,包括晶圓焦距、掃描機(scanner)透鏡參數、光阻參數及蝕刻製程參數。替代之實施例可以使用其他方法作優化程序,例如測量的晶圓輪廓。
在光罩模型的校正及複合微影計算模型的校正之後,複合微影計算模型可被使用在光罩圖案優化及光罩製造中的一光學鄰近校正程序,後續將進一步描述。
第2圖係為根據本發明實施例之用於積體電路製程的一方法流程圖。方法200在操作202中藉由接收來自一設計者的一積體電路設計佈局(或一積體電路設計圖案)作為開始。在一實施例中,設計者可以為一積體電路設計公司。在另一實施例中,設計者是從一半導體製造廠分離的積體電路設計團隊,其中半導體製造廠可以根據積體電路設計佈局製造積體電路產品。在複數實施例中,半導體製造廠可以製造光罩、半導體晶圓或上述兩者。積體電路設計佈局包括為了一積體電路產品並基於積體電路產品規格所設計的一或多個電路圖案層。光罩係為一圖案化的基板用於一微影製程以圖案化一半導體晶圓。在後續的討論中,光罩及倍縮光罩可交替使用。
積體電路設計佈局呈現在具有電路圖案之資訊的一或多個資料檔案中。在一實施例中,積體電路設計佈局以習知之一圖形資料系統格式(GDS或GDSII)表示。在其他實施例中,積體電路設計佈局可以在其他合適格式中表示,例如開放式藝 術系統交換標準(open artwork system interchange standard,OASIS or OAS)。設計者根據將被製造的產品規格,實施適當設計程序以產生積體電路設計佈局。設計程序可包括邏輯設計、物理設計及/或佈置和繞線。舉例來說,積體電路設計佈局之一部分包括複數積體電路特徵(亦稱為主要特徵),例如主動區、摻雜井、源極及汲極、閘極、介層窗(vias)/接觸窗(contacts)及層間相互連接之金屬線以及用於焊接墊之開口,這些將被形成在半導體基板及設置在半導體基板上的複數材料層中。積體電路設計佈局可包括某些輔助特徵,例如那些用於成像效應、處理提升及/或光罩識別資訊的特徵。
第3圖係為根據本發明實施例之一積體電路設計佈局300示意圖。積體電路設計佈局300包括複數電路特徵,例如範例之電路特徵302、304、306及308。這些電路特徵亦稱為主要特徵。在積體電路設計佈局300中的主要特徵構成積體電路產品中的積體電路的一部份,並且將被形成或定義在半導體晶圓的一材料層中。因此,積體電路設計佈局300定義積體電路產品的一圖案層。在複數實施例中,積體電路產品的一圖案層包括用於定義主動區、源極及汲極、閘極或接觸窗特徵的圖案。在一實施例中,積體電路設計佈局300係用於定義接觸孔之圖案,其將被形成在半導體晶圓之一介電材料層中。
如第2圖所示,方法200可包括一操作204,根據積體電路設計佈局300形成一晶圓目標圖案(或最終晶圓圖案或最終晶圓目標圖案)。在一些實施例中,操作204包括加入冗餘特徵(dummy features)至積體電路設計佈局300以優化半導體 製程。舉例來說,積體電路設計佈局300包括用於定義複數主動區的圖案,這些主動區將被形成在半導體晶圓上。在更進一步的實施例中,主動區藉由一程序被形成在半導體晶圓上,該程序包括微影圖案化以形成一蝕刻光罩;蝕刻以在半導體晶圓中形成溝槽;在溝槽中填充介電材料;以及執行一化學機械研磨(CMP)製程以在半導體晶圓上形成淺溝槽隔離(STI)特徵,由此定義出被淺溝槽隔離圍繞之主動區。化學機械研磨製程移除多餘的介電材料並且平坦化半導體晶圓之頂層表面。然而,化學機械研磨製程可能產生凹陷及侵蝕效應。冗餘特徵被加入至積體電路設計佈局以調整圖案密度,進而減少化學機械研磨製程之副作用及改善化學機械研磨製程之結果。在另一實施例中,積體電路設計佈局包括用於定義主動區之圖案。冗餘特徵被插入到積體電路設計佈局,使得應用在半導體晶圓的一熱退火製程(例如熱退火製程以激活離子佈植摻雜物)被改善並且從一個位置到另一位置的熱退火變異被減少或消除。在另一實施例中,積體電路設計佈局係用於在相互連接結構中定義金屬線的圖案。冗餘特徵被加入至積體電路設計佈局的晶片角落非電路(die-corner-circuit-forbidden)區以消除晶片角落應力。在其他一些實施例中,操作204可以在適合位置中(例如用於各種製程用途及考慮之框架區域)額外地或可交替地包括加入其他特徵到積體電路設計佈局,例如光罩識別號碼(例如條碼)、對準記號及/或測試圖案。操作204之輸出係為預期晶圓圖案(最終晶圓圖案)或對預期晶圓圖案的限制(例如目標點)。
在一實施例中,冗餘特徵402被插入到積體電路設 計佈局300中,從而形成一最終晶圓圖案400,如第4圖所示。在此實施例中,冗餘特徵402被加入以改變局部圖案密度,使得圖案密度從一個位置到另一個位置有比較少的變異,從而減少或消除製程變異以及其他無法預期性效應。
方法200包括一操作206,藉由使用來自操作110的複合微影計算模型,對積體電路設計佈局300執行一光學鄰近校正程序,從而產生一最終光罩圖案。最終光罩圖案係為將被形成在光罩上的圖案,其更進一步用以藉由一微影製程使用圖案化後的光罩以圖案化一半導體晶圓。如果操作204存在並且形成一最終晶圓目標圖案(最終晶圓圖案),光學鄰近校正被應用到最終晶圓目標圖案,從而產生最終光罩圖案。如果在操作204中沒有形成最終晶圓目標圖案,則可以在執行光學鄰近校正時在操作206中形成最終晶圓目標圖案。
光學鄰近校正被執行以藉由修改積體電路設計佈局來校正圖像誤差。光學鄰近校正程序產生一光罩圖案,使得如此產生的光罩圖案可以在半導體晶圓上形成一晶圓圖案,其與最終晶圓目標圖案具有一可容忍差異。在此實施例中,光學鄰近校正程序係使用複合微影計算模型之基於模型(model-based)的光學鄰近校正。在替代的實施例中,光學鄰近校正程序可額外包括基於規則(rule-based)的光學鄰近校正以及基於表格(table-based)的光學鄰近校正與基於模型的光學鄰近校正結合形成之一混合方法。
光學鄰近校正程序包括移動一主要特徵的邊緣並且對光罩資料加入輔助特徵。在複數實施例中,主要特徵被調 整大小、重新定位及/或重新塑造。在另一實施例中,複數輔助特徵(例如散射條)被加入到光罩資料中。在又一個實施例中,襯線(serifs)或槌頭(hammerheads)被加入到光罩資料中。輔助特徵可被配置在距離主要特徵(例如散射條)一定距離的位置,或配置在主要特徵(例如襯線及槌頭)的附近。
在另一實施例中,可以考量環境影響(例如那些與主要特徵接近的特徵)實施光學鄰近校正程序。環境影響包括蝕刻負載效應、微影圖案化之負載效應或化學機械研磨製程之圖案密度。這些環境影響可能被包括在複合微影計算模型中。在一實施例中,環境引發尖角圓化關鍵階級(environment-induced-corner-rounding critical level)可藉由模型捲積被定義並併入至複合微影計算模型中。如上面所述,光學鄰近校正程序會模擬晶圓製造程序,其包括微影曝光製程的成像效應、光阻層在微影曝光製程中對光輻射的反應和在顯影製程中的顯影解析度,以及從光阻層轉移圖案到下面半導體基板的材料層之蝕刻製程。
在使用複合微影計算模型的光學鄰近校正程序期間,主要特徵如前所述地被調整(加入輔助特徵、調整大小、重新定位及/或重新塑造),接著修改後的光罩資料藉由光學鄰近校正模型被模擬,從而產生一模擬晶圓輪廓。模擬晶圓輪廓進一步與晶圓目標圖案比較,以評估修改後的光罩資料是否可接受。此評估係藉由合適程序被實施,例如邊緣置入錯誤或區域差異。在一特定實施例中,複數目標點被分配至晶圓目標圖案。當模擬晶圓輪廓距離目標點在可容忍距離內時,修改後的 光罩資料為可接受的。此修改後的光罩資料成為所需的光罩圖案(亦稱為光學鄰近校正後光罩圖案)。當所需的光罩圖案被形成在光罩上時,對應的晶圓圖案基本上與最終晶圓目標圖案相似或具有小於一可接受範圍的差異。
第5圖至第8圖進一步以範例之主要特徵500示意光學鄰近校正程序。值得注意的是,第5圖所示之主要特徵僅作為簡化之範例特徵。在一特定實施例中,主要特徵500係為一矩形,其定義將形成在一半導體基板上之接觸孔。在其他實施例中,主要特徵500包括一多邊形(或梯形)或其他合適形狀。
在後續的模擬中,模擬的輪廓與一晶圓目標圖案比較以確認其差異。在一些實施例中,主要特徵500的原始光罩佈局被用作晶圓目標圖案。然而,晶圓目標圖案可以被不同地選擇,例如示意於第6圖之晶圓目標圖案600,以便更好地進行迭代模擬收斂(iterative simulation convergence)而不使晶圓圖案劣化。在進行迭代模擬時,模擬的輪廓與晶圓目標比較以確認其差異,如第7圖所示。第8圖係為根據本發明實施例之藉由操作206產生主要特徵500的所需光罩圖案800的示意圖。
回到方法200,在操作206中藉由使用複合微影計算模型實施光學鄰近校正程序,產生光罩圖案。根據複數實施例,此方法的優點包括減少誤差。此誤差係實際晶圓圖案與預期晶圓圖案之間的差異。在所揭露的方法中,使用測量的光罩圖像校正光罩模型,而不是使用模擬光罩圖像,此校正會更準確及有效。
回頭參照第2圖,方法200可更包括操作208準備光 罩資料,例如分割光罩圖案及產生對應的電子束射圖(electron-beam shot map)。在一些實施例中,操作208包括光罩資料準備以產生電子束射圖。光罩資料準備包括將光罩圖案分割成多邊形或其他合適形狀,並且在一些實施例中更包括為每一個多邊形定義劑量(dose)。在一些實施例中,從操作206產生的最終光罩資料已經被定義在電子束射圖,操作208可被跳過。
再次參照第2圖,方法200亦包括操作110以製造光罩。在此實施例中,一電子束或一多重電子束機制基於電子束射圖被用以在光罩上形成圖案。光罩可以在複數合適技術中被設計。在一實施例中,光罩被設計以具有一個二元圖案。在此情況下,光罩圖案包括不透明區及透明區。輻射束(例如:紫外光或紫外光束)被用以曝光塗佈在晶圓上的圖像感光材料層(例如光阻),並且被不透明區阻擋以及穿透過透明區。在一實施例中,二元光罩包括一透明基板(例如:熔融石英)以及徒步在光罩的不透明區的不透明材料(例如:鉻)。在另一實施例中,光罩被設計具有相位移。在相位移光罩(PSM)中,被形成在光罩上的圖案的複數特徵被配置以具有合適的相位差以提升解析度及成像品質。在複數實施例中,相位移光罩在習知中可以係為一衰減相位移光罩(attenuated PSM)或一交替相位移光罩(alternating PSM)。在一些實施例中,光罩係具有反射圖案的極紫外光(EUV)光罩。在一實施例中,極紫外光光罩包括具有合適材料的基板,例如低熱膨脹材料(LTEM)。在複數實施例中,低熱膨脹材料包括熔融石英、摻雜二氧化鈦(TiO2)之二氧 化矽(SiO2)或其他具有低熱膨脹的合適材料。極紫外光光罩包括沉積在基板上的一反射多重層(ML)。多重層包括複數薄膜對,例如鉬-矽(Mo/Si)薄膜對(例如:在每個薄膜對中的矽層上方或下方的鉬層)。或者,多重層可包括鉬-鈹(Mo/Be)薄膜對或其他可被配置以高度反射極紫外光的合適材料。極紫外光光罩可更包括設置在多重層上用於保護的一覆蓋層(例如釕(Ru))。極紫外光光罩更包括設置在多重層上的一吸收層(例如氮化硼鉭(TaBN)層)。吸收層被圖案化以定義積體電路(IC)的一層。或者,另一反射層可被沉積在多重層上,並且被圖案化以定義積體電路的一層,從而形成一極紫外光相位移光罩。
在光罩製造程序期間,對電子束敏感的一光阻層被塗佈在光罩上,在一電子束微影系統中根據電子束射圖使用電子束對光阻層曝光。光阻層進一步被顯影以形成圖案化的光阻層。透過圖案化的光阻層的開口,對材料層進一步施加一蝕刻製程,從而轉移定義在圖案化的光阻層的圖案到材料層。然後藉由濕式去除(wet stripping)、電漿灰化(plasma ashing)或上述兩者移除光阻層。
在一些實施例中,方法200可包括操作212製造晶圓。第9圖係根據本發明實施例之半導體晶圓900之示意圖。半導體晶圓900藉由使用上述方法形成的一光罩或一組光罩被製造。半導體晶圓900包括半導體基板910,例如一基板或額外地或可交替地具有一些其他半導體材料(例如鍺、矽鍺、碳化矽砷化鎵)的一基板。半導體晶圓900可更包括複數摻雜區、介電特徵及多重階層的內部連接(或者在後續的製造步驟中形成)。 半導體晶圓900可更包括將被圖案化之一材料層920。在一些實施例中,材料層920包括一介電層或一導電層。在一些實施例中,材料層920可包括一半導體材料層或者是半導體基板之一頂部。半導體晶圓900包括一矽基板或其他合適基板,並且有一材料層形成在其上。
晶圓製造程序包括一微影圖案化製程。在一些實施例中,微影圖案化製程包括光阻塗佈、微影曝光製程、曝光後烘烤(PEB)以及顯影。微影圖案化製程在半導體晶圓900上形成一圖案化的光阻層930,如第10圖所示。具體來說,在微影系統中使用藉由操作210所形成的光罩來實施此微影曝光製程。晶圓製造程序更包括其他步驟以將在圖案化的光阻層930中所定義的圖案轉移至下面的材料層920。在一實施例中,晶圓製造程序包括一離子佈植製程,以使用圖案化的光阻層作為一佈植光罩在半導體晶圓中形成複數摻雜區。在另一實施例中,晶圓製造程序包括一蝕刻製程,以使用圖案化的光阻層930作為一蝕刻光罩蝕刻下面的材料層920,如第11圖所示。在蝕刻製程(或離子佈植製程)後,圖案化的光阻層930可藉由濕式去除或電漿灰化被移除,如第12圖所示。
在不違背本揭露之精神的情況下,可以實施其他實施例以及修改。本揭露提供了一種根據積體電路佈局產生一光罩圖案的方法。具體來說,此方法包括一光學鄰近校正程序以產生最終光罩圖案(或最終光罩資料),其中光學鄰近校正程序使用藉由測量的晶圓資料所校正的一複合微影計算模型。複合微影計算模型結合了使用測量的光罩圖像所校正的一光罩 模型。在複數實施例中,藉由實施本揭露之方法(例如方法100或方法200),可以呈現下面所述之一些優點。然而,應了解此處所揭露的不同實施例提供不同優點,並且在全部實施例中沒有需要特定優點。舉一例來說,根據複數實施例,藉由使用複合微影計算模型執行所揭露之光學鄰近校正程序,所產生的光罩圖案包括減少的誤差。此方法將提供準確的光罩模型,並且無關於光罩堆疊測量誤差。光罩模型將獨立於在複合微影計算模型中的其他效應,例如光罩擴散/溶解。在現有方法中,光罩模型之誤差藉由最終光學鄰近校正模型被吸收。藉由在本揭露中具有更準確之光罩模型,複合微影計算模型的過度擬合的機會較少。
第13圖係為根據本發明實施例之光學鄰近校正系統1300之示意圖。光學鄰近校正系統1300使用了複合微影計算模型。複合微影計算模型藉由使用測量的資料晶圓資料及光罩模型被校正。光罩模型藉由使用測量的光罩圖像或測量的光罩資料被校正。光學鄰近校正系統1300,包括硬體及軟體兩者之整合,以執行複數動作,以產生用電子束直寫的一下線(tape-out)。在一實施例中,光學鄰近校正系統1300被設計以執行在第1圖的方法100中的複數操作以及在第2圖的方法200中進一步的一些操作。
光學鄰近校正系統1300接收來自一積體電路設計者的一積體電路設計佈局1302(例如在第3圖的積體電路佈局300)作為一輸入。光學鄰近校正系統1300發送積體電路設計佈局1302至光學鄰近校正模組1304。光學鄰近校正模組1304用於 使用複合微影計算模型在一光學鄰近校正程序。複合微影計算模型來自複合微影計算模型模組1306。複合微影計算模型結合來自光罩模型模組1308之光罩模型。複合微影計算模型模組1306被設計以建立複合微影計算模型;收集晶圓資料1310(測量的晶圓輪廓或關鍵尺寸);以及使用晶圓資料校正複合微影計算模型。在其他實施例中,複合微影計算模型模組1306更包括三個子模組:複合微影計算建立器A;校正器B;以及收集器C,分別被設計以執行上述三個功能。光罩模型模組1308被設計以建立光罩模型;收集光罩資料1312(測量的光罩圖像);以及使用光罩資料校正光罩模型。在其他實施例中,光罩模型模組1308更包括三個子模組:光罩模型建立器D;校正器E;以及收集器F,分別被設計以執行上述三個功能。光學鄰近校正模組1304可操作以對積體電路設計佈局執行一光學鄰近校正程序,並且可操作以執行其他功能,例如形成一晶圓目標,如操作204所述。光學鄰近校正模組1304產生藉由光學鄰近校正程序所修改的一最終光罩圖案1314。最終光罩圖案1314被進一步發送到一光罩製造模組1316以形成具有最終光罩圖案定義在上面的光罩。
在一些實施例中,光罩製造模組1316包括一電子束直寫器,並且可更包括其他光罩製造設備,例如一蝕刻機台。光罩製造模組1316根據最終光罩圖案或電子束射圖形成一圖案化層在光罩上。接著所形成的光罩被進一步發送至晶圓製造模組1318。晶圓製造模組1318使用光罩圖案化一或多個半導體晶圓。晶圓製造模組1318包括一微影曝光機台,例如一極紫外 光步進機。在一些實施例中,晶圓製造模組1318可更包括一蝕刻機台,用以在半導體晶圓上轉移光阻圖案至下面的材料層。
儘管本揭露之複數實施例在上述被提供。在不違背本揭露之精神的情況下,可以有其他實施例。舉例來說,用於微影圖案化之輻射能量可以替代為離子束。在此情況中,複數校正劑量(corrected doses)係為離子束曝光劑量。在另一實施例中,光學鄰近校正系統1300可以是一分離實體(separate entity)或分佈在現有實體中,例如一設計/實驗設備或一在線系統。在此實施例中,光學鄰近校正系統1300被連接至一網路,例如網際網路或一內部網路。
本揭露提供一種使用複合微影計算模型之光學鄰近校正程序的方法。此複合微影計算模型藉由測量的晶圓資料及光罩模型被校正,而光罩模型藉由使用測量的光罩資料被校正。在複數實施例中,藉由實施本揭露之方法(例如方法100或方法200),可以呈現下面所述之一些優點。然而,應了解此處所揭露的不同實施例提供不同優點,並且在全部實施例中沒有需要特定優點。舉一例來說,根據複數實施例,藉由使用複合微影計算模型執行所揭露之光學鄰近校正程序,所產生的光罩圖案包括減少的誤差。此方法將提供準確的光罩模型,並且無關於光罩堆疊測量誤差。光罩模型將獨立於在複合微影計算模型中的其他效應,例如光罩擴散/溶解。在現有方法中,光罩模型之誤差藉由最終光學鄰近校正模型被吸收。藉由在本揭露中具有更準確之光罩模型,複合微影計算模型的過度擬合的機會較少。
因此,本揭露根據一些實施例提供一種積體電路製造方法。此方法包括建立一光罩模型以及一複合微影計算模型,光罩模型用以模擬一光罩圖像,複合微影計算模型用以模擬一晶圓圖案;使用一測量的光罩圖像校正光罩模型;使用一測量的晶圓資料及校正後的光罩模型校正複合微影計算模型;以及使用校正後的複合微影計算模型對一積體電路圖案執行一光學鄰近校正(OPC)程序,從而產生用於一光罩製程的一光罩圖案。
本揭露根據一些實施例提供一種積體電路製造方法。此方法包括使用一測量的光罩圖像校正一光罩模型;使用一測量的晶圓資料及校正後的光罩模型校正一複合微影計算模型;以及使用校正後的複合微影計算模型對一積體電路圖案執行一光學鄰近校正程序,從而產生用於一光罩製程的一光罩圖案。
本揭露根據一些實施例提供一種積體電路製造系統。此積體電路製造系統包括一光罩資料模組,被設計以收集光罩成像資料;一晶圓資料模組,被設計以從一光罩製造程序中收集晶圓製造資料;一第一校正模組,被設計以基於光罩成像資料校正一光罩模型;一第二校正模組,被設計以基於晶圓製造資料校正一複合微影計算模型;以及一光學鄰近校正模組,被設計以使用光罩模型及複合微影計算模型執行一光學鄰近校正程序。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技 術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。

Claims (20)

  1. 一種積體電路製造方法,包括:建立一光罩模型以及一複合微影計算模型,上述光罩模型用以模擬一光罩圖像,上述複合微影計算模型用以模擬一晶圓圖案;使用一測量的光罩圖像校正上述光罩模型;使用一測量的晶圓資料及校正後的上述光罩模型校正上述複合微影計算模型;以及使用校正後的上述複合微影計算模型對一積體電路圖案執行一光學鄰近校正(OPC)程序,從而產生用於一光罩製程的一光罩圖案。
  2. 如申請專利範圍第1項所述之積體電路製造方法,更包括:基於上述光罩圖案製造一光罩。
  3. 如申請專利範圍第2項所述之積體電路製造方法,更包括使用上述光罩對一晶圓執行一微影製程。
  4. 如申請專利範圍第3項所述之積體電路製造方法,其中:製造上述光罩的步驟包括對上述光罩塗佈一第一光阻層,根據上述光罩圖案對上述第一光阻層執行一電子束直寫(electron-beam writing)製程,並且透過被圖案化的上述第一光阻層蝕刻上述光罩;以及對上述晶圓執行上述微影製程的步驟包括對上述晶圓塗佈一第二光阻層,並且使用上述光罩曝光上述第二光阻層以在上述晶圓上形成一圖案化的第二光阻層。
  5. 如申請專利範圍第1項所述之積體電路製造方法,更包括: 在校正上述光罩模型之前,測量一光罩圖像;以及校正上述複合微影計算模型之前,測量一晶圓圖案。
  6. 如申請專利範圍第1項所述之積體電路製造方法,更包括:接收一積體電路設計佈局,上述積體電路設計佈局包括上述積體電路圖案;以及在對上述積體電路圖案執行上述光學鄰近校正程序之前,基於上述積體電路圖案形成一晶圓目標圖案。
  7. 如申請專利範圍第6項所述之積體電路製造方法,其中執行上述光學鄰近校正程序的步驟包括:修改上述積體電路圖案;使用上述複合微影計算模型模擬上述積體電路圖案以產生上述積體電路圖案之一晶圓輪廓;以及確認上述晶圓輪廓及上述晶圓目標圖案之間的一差異。
  8. 如申請專利範圍第7項所述之積體積體電路製造方法,更包括當上述差異超過一可容忍範圍,重複修改上述積體電路圖案以及模擬上述積體電路圖案。
  9. 如申請專利範圍第8項所述之積體電路製造方法,其中:上述光罩模型由一第一公式所定義,用以根據上述積體電路圖案產生上述光罩圖像;以及上述複合微影計算模型由一第二公式所定義,以根據上述光罩模型及一光阻模型產生上述晶圓輪廓。
  10. 一種積體電路製造方法,包括:使用一測量的光罩圖像校正一光罩模型;使用一測量的晶圓資料及校正後的上述光罩模型校正一複 合微影計算模型;以及使用校正後的上述複合微影計算模型對一積體電路圖案執行一光學鄰近校正程序,從而產生用於一光罩製程的一光罩圖案。
  11. 如申請專利範圍第10項所述之積體電路製造方法,更包括:接收一積體電路設計佈局,上述積體電路設計佈局包括上述積體電路圖案;以及在對上述積體電路圖案執行上述光學鄰近校正程序之前,基於上述積體電路圖案形成一晶圓目標圖案。
  12. 如申請專利範圍第11項所述之積體電路製造方法,其中執行上述光學鄰近校正程序的步驟包括:修改上述積體電路圖案;使用上述複合微影計算模型模擬上述積體電路圖案以產生上述積體電路圖案之一晶圓輪廓;確認上述晶圓輪廓及上述晶圓目標圖案之間的一差異;以及當上述差異超過一可容忍範圍,重複上述三個步驟。
  13. 如申請專利範圍第12項所述之積體電路製造方法,其中:上述光罩模型在一公式I( x, mp)中被定義,其中 mp係一組光罩模型參數,並且I( x, mp)係一光罩圖像函數,用以模擬在一微影曝光製程中上述光罩圖案在半導體晶圓上的一圖像;以及上述複合微影計算模型在一公式W( x)=Φ 21(I( x, mp)))被 定義,其中:W( x)定義為一模擬晶圓輪廓;Φ 1定義為一光阻圖案函數,用以模擬塗佈在上述半導體晶圓上的一光阻的特性;以及Φ 2定義為一晶圓圖案函數,用以模擬應用在上述半導體晶圓的一蝕刻製程的特性。
  14. 如申請專利範圍第10項所述之積體電路製造方法,更包括基於上述光罩圖案製造一光罩。
  15. 如申請專利範圍第14項所述之積體電路製造方法,更包括使用上述光罩對一晶圓執行一微影製程。
  16. 如申請專利範圍第15項所述之積體電路製造方法,更包括:建立上述光罩模型以及上述複合微影計算模型,上述光罩模型用以模擬一光罩圖像,上述複合微影計算模型用以模擬一晶圓輪廓;在校正上述光罩模型之前,測量一光罩圖像;以及校正上述複合微影計算模型之前,測量一晶圓圖案。
  17. 如申請專利範圍第14項所述之積體電路製造方法,更包括對上述光罩圖案執行一分割製程(fracturing process),從而產生一電子束射圖(electron-beam shot map),上述電子束射圖被用以在一電子束微影製程中圖案化上述光罩。
  18. 如申請專利範圍第17項所述之積體電路製造方法,更包括使用上述電子束射圖對上述光罩執行一光罩製造程序,上述光罩製造程序包括上述電子束微影製程。
  19. 一種積體電路製造系統,包括:一光罩資料模組,被設計以收集光罩成像資料;一晶圓資料模組,被設計以從一光罩製造程序中收集晶圓製造資料;一第一校正模組,被設計以基於上述光罩成像資料校正一光罩模型;一第二校正模組,被設計以基於上述晶圓製造資料校正一複合微影計算模型;以及一光學鄰近校正模組,被設計以使用上述光罩模型及上述複合微影計算模型執行一光學鄰近校正程序。
  20. 如申請專利範圍第19項所述之積體電路製造系統,更包括一模型建立器,被設計以建立上述光罩模型及上述複合微影計算模型。
TW107115932A 2017-09-28 2018-05-10 積體電路製造方法及其製造系統 TWI679487B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762564812P 2017-09-28 2017-09-28
US62/564,812 2017-09-28
US15/813,774 2017-11-15
US15/813,774 US10495967B2 (en) 2017-09-28 2017-11-15 Method of mask simulation model for OPC and mask making

Publications (2)

Publication Number Publication Date
TW201915598A true TW201915598A (zh) 2019-04-16
TWI679487B TWI679487B (zh) 2019-12-11

Family

ID=65807471

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107115932A TWI679487B (zh) 2017-09-28 2018-05-10 積體電路製造方法及其製造系統

Country Status (3)

Country Link
US (2) US10495967B2 (zh)
CN (1) CN109582995B (zh)
TW (1) TWI679487B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI806002B (zh) * 2020-02-12 2023-06-21 荷蘭商Asml荷蘭公司 用於判定遮罩圖案及訓練機器學習模型之非暫時性電腦可讀媒體

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10495967B2 (en) * 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of mask simulation model for OPC and mask making
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
CN112005347A (zh) * 2018-04-10 2020-11-27 朗姆研究公司 抗蚀剂和蚀刻建模
CN111971551A (zh) 2018-04-10 2020-11-20 朗姆研究公司 机器学习中的光学计量以表征特征
US10725454B2 (en) * 2018-11-12 2020-07-28 International Business Machines Corporation Mask process aware calibration using mask pattern fidelity inspections
WO2020212107A1 (en) 2019-04-15 2020-10-22 Asml Netherlands B.V. Method for determining corrections to features of a mask
CN112445059A (zh) * 2019-09-05 2021-03-05 中芯国际集成电路制造(上海)有限公司 光学邻近修正、光掩膜版制作及图形化方法
US11481922B2 (en) * 2020-04-07 2022-10-25 Kla Corporation Online navigational drift correction for metrology measurements
CN111443569B (zh) * 2020-05-18 2023-11-17 中国科学院微电子研究所 一种修正模型的建立方法及装置、掩模优化方法及装置
EP3926403A1 (en) * 2020-06-17 2021-12-22 Mycronic Ab Maskless second layer alignment method and apparatus
US20230314953A1 (en) * 2020-09-18 2023-10-05 Applied Materials, Inc. Methods to improve process window and resolution for digital lithography with auxiliary features
KR20220040308A (ko) 2020-09-23 2022-03-30 삼성전자주식회사 반도체 공정을 모델링하기 위한 시스템 및 방법
CN112541205B (zh) * 2020-12-01 2022-12-30 泉芯集成电路制造(济南)有限公司 一种建模方法
TWI762216B (zh) * 2021-02-25 2022-04-21 力晶積成電子製造股份有限公司 測試半導體圖案的方法
DE102021130149A1 (de) * 2021-11-18 2023-05-25 Carl Zeiss Smt Gmbh Verfahren zur Vermessung von Photomasken für die Halbleiterlithografie
CN117710270B (zh) * 2024-02-04 2024-05-03 全智芯(上海)技术有限公司 用于自由尺度光学邻近校正的方法、电子设备及存储介质

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7297453B2 (en) * 2005-04-13 2007-11-20 Kla-Tencor Technologies Corporation Systems and methods for mitigating variances on a patterned wafer using a prediction model
JP4954211B2 (ja) * 2005-09-09 2012-06-13 エーエスエムエル ネザーランズ ビー.ブイ. 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法
JP5340534B2 (ja) * 2006-11-28 2013-11-13 インターナショナル・ビジネス・マシーンズ・コーポレーション 集積回路のためのマスク・レイアウト設計方法およびプログラムならびに集積回路のマスク・レイアウトの最適化方法
CN101191996B (zh) * 2006-11-29 2010-05-19 联华电子股份有限公司 光掩模的制造方法以及光学接近度校正的修补方法
JP4538021B2 (ja) * 2007-05-31 2010-09-08 株式会社東芝 光近接効果の補正方法
US7703069B1 (en) * 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
JP5913979B2 (ja) * 2008-06-03 2016-05-11 エーエスエムエル ネザーランズ ビー.ブイ. モデルベースのプロセスシミュレーション方法
CN102224459B (zh) * 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
US8266556B2 (en) * 2010-08-03 2012-09-11 International Business Machines Corporation Fracturing continuous photolithography masks
US9400857B2 (en) * 2011-09-19 2016-07-26 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
US9367655B2 (en) 2012-04-10 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Topography-aware lithography pattern check
US8631360B2 (en) 2012-04-17 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology of optical proximity correction optimization
US8762900B2 (en) 2012-06-27 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for proximity correction
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US8954899B2 (en) 2012-10-04 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contour alignment system
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8812999B2 (en) 2013-01-02 2014-08-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system of mask data preparation for curvilinear mask patterns for a device
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9465906B2 (en) * 2014-04-01 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integrated circuit manufacturing
CN106462086B (zh) 2014-06-25 2019-10-15 Asml荷兰有限公司 蚀刻变化容差优化
US9672320B2 (en) 2015-06-30 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit manufacturing
US9747408B2 (en) * 2015-08-21 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Generating final mask pattern by performing inverse beam technology process
US9886543B2 (en) 2016-02-10 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method providing for asymmetric pupil configuration for an extreme ultraviolet lithography process
US10495967B2 (en) * 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of mask simulation model for OPC and mask making

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI806002B (zh) * 2020-02-12 2023-06-21 荷蘭商Asml荷蘭公司 用於判定遮罩圖案及訓練機器學習模型之非暫時性電腦可讀媒體

Also Published As

Publication number Publication date
US20190094680A1 (en) 2019-03-28
US10962875B2 (en) 2021-03-30
TWI679487B (zh) 2019-12-11
CN109582995A (zh) 2019-04-05
US10495967B2 (en) 2019-12-03
US20200103747A1 (en) 2020-04-02
CN109582995B (zh) 2024-02-02

Similar Documents

Publication Publication Date Title
TWI679487B (zh) 積體電路製造方法及其製造系統
US9869939B2 (en) Lithography process
US11747786B2 (en) Synchronized parallel tile computation for large area lithography simulation
US9747408B2 (en) Generating final mask pattern by performing inverse beam technology process
TWI474104B (zh) 設計規則及微影程序共同最佳化
TWI519901B (zh) 用於三維抗蝕分佈模擬之微影模型
TWI728126B (zh) 增進微影可印性的方法及光源電子束最佳化系統
US10276375B2 (en) Assistant pattern for measuring critical dimension of main pattern in semiconductor manufacturing
TWI464530B (zh) 基板構形感知微影模型化
TWI495961B (zh) 用於三維拓樸晶圓之微影模型