TW201914701A - 用於清潔晶圓的方法 - Google Patents

用於清潔晶圓的方法 Download PDF

Info

Publication number
TW201914701A
TW201914701A TW107117731A TW107117731A TW201914701A TW 201914701 A TW201914701 A TW 201914701A TW 107117731 A TW107117731 A TW 107117731A TW 107117731 A TW107117731 A TW 107117731A TW 201914701 A TW201914701 A TW 201914701A
Authority
TW
Taiwan
Prior art keywords
cleaning
wafer
brush
cleaning fluid
fluid
Prior art date
Application number
TW107117731A
Other languages
English (en)
Inventor
黃瀚友
范純祥
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201914701A publication Critical patent/TW201914701A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02096Cleaning only mechanical cleaning
    • AHUMAN NECESSITIES
    • A46BRUSHWARE
    • A46BBRUSHES
    • A46B17/00Accessories for brushes
    • A46B17/06Devices for cleaning brushes after use
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B1/00Cleaning by methods involving the use of tools
    • B08B1/30Cleaning by methods involving the use of tools by movement of cleaning members over a surface
    • B08B1/32Cleaning by methods involving the use of tools by movement of cleaning members over a surface using rotary cleaning members
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B1/00Cleaning by methods involving the use of tools
    • B08B1/50Cleaning by methods involving the use of tools involving cleaning of the cleaning members
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B1/00Cleaning by methods involving the use of tools
    • B08B1/50Cleaning by methods involving the use of tools involving cleaning of the cleaning members
    • B08B1/52Cleaning by methods involving the use of tools involving cleaning of the cleaning members using fluids
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/02Cleaning by the force of jets or sprays
    • B08B3/022Cleaning travelling work
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/044Cleaning involving contact with liquid using agitated containers in which the liquid and articles or material are placed
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/10Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/10Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration
    • B08B3/12Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration by sonic or ultrasonic vibrations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67046Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly scrubbing means, e.g. brushes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B1/00Cleaning by methods involving the use of tools
    • B08B1/10Cleaning by methods involving the use of tools characterised by the type of cleaning tool
    • B08B1/12Brushes

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

本揭露提供一種用於清潔晶圓的方法,包括用一刷子元件清潔一晶圓,刷子元件在清潔過程中從晶圓收集微粒。將刷子元件浸入一第一清潔液中。對第一清潔液施加一超聲波或兆聲波振動。

Description

用於清潔晶圓的方法
本發明實施例關於一種半導體製造技術,特別係有關於一種用於清潔晶圓的系統及方法。
半導體製造包括會製造有機和無機微粒狀(particulate)副產物的過程(processes),這些有機和無機微粒狀副產物可能汙染或交叉汙染進一步的晶圓加工(wafer processing)。清潔這種微粒物質對於提供更高的良率(yield)及減少與這種微粒狀物質相關的缺陷(defects)是重要的。由於加工環境中溫度普遍較高,這些微粒(particles)可牢牢地黏附在晶圓的背側。晶圓的背側上的微粒會干擾進一步的製造過程,例如光微影(造成對焦缺陷)、晶粒膠帶安裝(die tape mounting)及接合(bonding)等。
前側和背側晶圓加工可能產生多種類型的微粒狀(particulate)物質,這些微粒狀物質可能黏附在晶圓的背側。微粒可以在例如閘極替換(gate replacement)、乾式蝕刻(dry etching)、載體分離(carrier detachment)、介電沉積(dielectric deposition)、金屬濺鍍(metal sputtering)、植入過程(implant processes)或灰化(ashing)等許多過程中生成。利用靜電或真空吸座(chuck)處理晶圓時,可能發生微粒的交叉汙染,從而導致缺陷圖樣(defect maps)。微粒可以包括微顆粒和離子汙染物。
清潔過程各不相同,通常包括某種液體清潔劑。也可以使用機械力,例如使用清潔刷子或海綿(cleaning brush or sponge)。
本揭露一些實施例提供一種用於清潔晶圓的方法,包括用一刷子元件清潔一晶圓,刷子元件在清潔過程中從晶圓收集微粒。所述方法還包括將刷子元件浸入(immerging)一第一清潔液中。此外,所述方法包括對第一清潔液施加一聲波振動(sonic vibration)。
本揭露一些實施例提供一種用於清潔晶圓的方法,包括提供與一晶圓清潔站(station)相鄰的一清潔容器,清潔容器包括一換能器(transducer)元件。所述方法還包括提供一供應管線(line)至清潔容器。所述方法也包括提供來自清潔容器的一排水管線。所述方法還包括用一第一清潔液填充清潔容器的一部份。所述方法還包括將一刷子清潔頭浸沒(submerging)在第一清潔液中。此外,所述方法包括以一第一頻率激活(activating)換能器元件,造成刷子清潔頭的清潔。
本揭露一些實施例提供一種用於清潔晶圓的系統,包括一晶圓清潔站及一清潔容器。晶圓清潔站包括一噴灑頭、一晶圓支撐平台及一清潔刷子,噴灑頭配置以(configured to)在晶圓上噴灑一第一清潔液。清潔容器包括一槽、一入口管道(pipe)、一出口管道及一換能器,入口管道配置以將一第二清潔液供應至槽,出口管道配置以從槽中排出汙染的(contaminated)第二清潔液,換能器配置以在通電(energized) 時以一第一頻率振動。其中,晶圓清潔站配置以將清潔刷子移動至槽,清潔容器配置以當清潔刷子在槽中時使換能器通電。
10‧‧‧基座構件
20‧‧‧晶圓平台
22‧‧‧箭頭
24‧‧‧固持機構
30、30’‧‧‧晶圓
32‧‧‧微粒
34‧‧‧晶圓表面
35‧‧‧箭頭
36‧‧‧晶圓邊緣
37‧‧‧箭頭
38‧‧‧晶圓表面
40‧‧‧噴灑頭
42‧‧‧噴灑出口噴嘴
44‧‧‧清潔液/清潔溶液
46‧‧‧臂
50‧‧‧清潔刷子/刷子
51‧‧‧刷子臂
52‧‧‧刷子固持器
54‧‧‧刷子元件
55‧‧‧箭頭
56‧‧‧可移動構件
57‧‧‧驅動部件
58‧‧‧箭頭
59‧‧‧箭頭
60‧‧‧清潔容器
61‧‧‧槽
62‧‧‧清潔液
63‧‧‧新清潔液
64‧‧‧入口管道
66‧‧‧出口管道
80‧‧‧換能器裝置
81‧‧‧箭頭
82‧‧‧換能器
84‧‧‧基板
85‧‧‧引線
86‧‧‧引線
100‧‧‧晶圓清潔系統
110、120、130、140、150‧‧‧步驟
d1‧‧‧深度
d2‧‧‧距離
d3‧‧‧直徑
h1‧‧‧高度
l1‧‧‧長度
w1‧‧‧寬度
第1a-1b、2-4圖顯示根據一些實施例,聲波清潔刷子的過程中的中間步驟。
第5圖顯示根據一些實施例,聲波清潔刷子的過程中使用的清潔容器。
第6a圖至第6c圖顯示根據一些實施例,聲波換能器的不同樣態(aspects)。
第7圖至第10圖顯示根據一些實施例,聲波清潔刷子的過程中使用的清潔容器的各種佈置。
第11圖顯示根據一些實施例,聲波清潔刷子的過程的流程圖。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。例如,若是本揭露書敘述了一第一特徵形成於一第二特徵之上或上方,即表示其可能包含第一特徵與第二特徵是直接接觸的實施例,亦可能包含了有附加特徵形成於第一特徵與第二特徵之間,而使第一特徵與第二特徵可能未直接接觸的實施例。另外,以下揭露書不同範例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以 限定所討論的不同實施例及/或結構之間有特定的關係。為了簡單和清楚起見,各種特徵可能以不同比例任意繪製。
此外,空間相關用詞,例如“在...下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用詞,係為了便於描述圖示中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含使用中或操作中的裝置之不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
在背側晶圓加工中,清潔刷子或海綿(cleaning brush or sponge)可與液體清潔溶液(cleaning solution)配合使用,以移除晶圓的背側的汙染物。背側汙染物會干擾進一步的晶圓加工,包括前側加工。舉例來說,背側汙染物可能通過晶圓處理轉移到晶圓的前側。另外,背側汙染物會干擾真空或真空吸座(chuck)上晶圓的位置,造成光微影過程中的對焦缺陷。因此,希望能夠清潔晶圓的背側以移除這些背側汙染物。由於晶圓加工和裝置製造中使用的溫度通常較高,汙染物可牢牢地黏附在晶圓的背側表面上,因此可能需要使用機械力來強制除去(dislodge)和移除汙染物。清潔刷子或海綿可用於機械地清潔晶圓的背側。液體清潔溶液可施加到晶圓的背側表面,同時旋轉晶圓,並可將清潔刷子或海綿拖過(dragged across)晶圓的背側表面。汙染物可能從晶圓上被掃落且進入位於晶圓下方的收集杯(collection cup)中。在清潔過程中,清潔刷子或海綿可能吸附汙染物,使其黏附在清潔刷子或海綿上。汙染物會降低清 潔刷子或海綿的有效性和壽命。
實施例可清潔清潔刷子或海綿以移除或減少黏附在刷子或海綿上的汙染物。清潔可包括將刷子或海綿浸入液體清潔溶液浴(bath)中。在一些實施例中,清潔可包括一聲波清潔過程(sonic cleaning process),利用振動液體以移除刷子或海綿上收集的微粒。聲波清潔刷子增加了刷子的壽命,至少使得刷子的壽命增加一倍,並且減少交叉汙染的機會。
第1a圖至第4圖顯示根據一些實施例,清潔晶圓的背側和清潔刷子的中間步驟。儘管下面參照附圖所討論的是晶圓的背側的清潔,與在此討論的實施例一致的其他實施例也可用於在清潔晶圓的前側之後清潔清潔刷子或海綿,舉例來說,在一化學機械研磨(chemical mechanical polishing,CMP)過程之後。
第1a圖示出了一晶圓30的表面的清潔過程。晶圓清潔系統100包括用於固持晶圓30的一晶圓平台20。一基座構件10可支撐晶圓平台20並耦合至用於旋轉基座構件10、晶圓平台20和晶圓30的一旋轉機構(圖未示)。一杯(圖未示)可位於晶圓平台20及/或晶圓30的下方和周圍,以補捉(catch)來自晶圓清潔過程的清潔液(cleaning liquid)和汙染物。箭頭22表示由於晶圓平台20的旋轉而引起的晶圓30的旋轉能力。在一些實施例中,晶圓平台20可通過基座構件10以外的手段進行旋轉。在一些實施例中,晶圓30可以在一個或兩個旋轉方向上旋轉。
晶圓30包括晶圓表面34、晶圓邊緣36及晶圓表面38。晶圓表面34可以是晶圓30的背側,而晶圓表面38可以是晶 圓30的前側。在一些實施例中,晶圓表面34可以是晶圓30的前側,而晶圓表面38可以是晶圓30的背側。在一些其他實施例中,晶圓30可以是懸吊的(suspended)而不是由晶圓平台20支撐,使得露出的晶圓表面34朝下。
半導體製造包括會製造有機和無機副產物的過程,這些有機和無機副產物可能汙染或交叉汙染進一步的晶圓加工。前側和背側晶圓加工可能產生多種類型的污染物,例如可能會黏附在晶圓上的微粒(particles)32。這種汙染物可以在例如閘極替換(gate replacement)、乾式蝕刻(dry etching)、載體分離(carrier detachment)、介電沉積(dielectric deposition)、金屬濺鍍(metal sputtering)、植入過程(implant processes)或灰化(ashing)等許多過程中生成。
微粒32停留在要被清潔的晶圓表面34上。微粒32包括可能由晶圓加工產生的任何汙染物,例如有機、無機或金屬微顆粒或離子汙染物。微粒32可鬆散地(loosely)停在晶圓表面34上或者可以黏附在(adhere to)晶圓表面34上。
一噴灑頭(spray head)40懸吊在晶圓30上方,以向晶圓表面34提供清潔液44。噴灑頭40可包括一噴灑出口噴嘴42,其以扇形形式噴灑清潔液44,以便覆蓋整個晶圓表面34。在一些實施例中,可提供具有多個噴灑出口噴嘴42的噴灑頭40。在一些實施例中,噴灑頭40可以固定在晶圓表面34上方。在其他實施例中,噴灑頭40可以在晶圓表面34上方移動,以確保清潔液44覆蓋整個晶圓表面34。在一些實施例中,清潔過程可提供約300ml/s至約1000ml/s的一定量的液體,例如約700ml/s。在 一些實施例中,清潔過程可以約0.1N/mm2至約2N/mm2的力來提供加壓液體,例如約1N/mm2
清潔液44包括各種類型的清潔液和溶液,並且也可以被稱為清潔溶液(cleaning solution)44。不同類型的清潔溶液44可用來清潔晶圓上的不同殘留物(即,汙染物)。在一些實施例中,清潔液44包括水,並且沒有添加化學品。清潔液44也可以是去離子水(deionized water)。在一些實施例中,清潔溶液44包括酸性水溶液,其可以包含有機酸例如檸檬酸(citric acid)、無機酸例如HNO3、或其他類似物。在一些實施例中,清潔溶液44包括鹼性水溶液,其可以包含有機鹼例如NR3(其中R為烷基)、無機鹼例如NH4OH、或其他類似物。也可以將表面活性劑(Surfactants)例如十二烷基硫酸鈉(sodium dodecyl sulfate)加入清潔溶液44中,以降低清潔溶液44的表面張力。清潔溶液44可以水作為溶劑。或者,清潔溶液44可使用有機溶劑,例如甲醇。清潔溶液44也可以是包括過氧化物(peroxide)的水溶液。舉例來說,清潔溶液44可以包括在水中的H2O2
清潔液44可以不被加熱,因此在清潔過程中可具有基於約15℃至約25℃的環境溫度的溫度。清潔液44也可以被加熱至約25℃至約80℃之範圍內的溫度。隨著溫度的升高,清潔效率可以提高。也可以使用高於約80℃或低於約15℃的溫度。
請繼續參照第1a圖,在晶圓30上方提供一個或多個清潔刷子50,其也可以被稱為刷子50。代表性的(Representative)刷子50包括可移動構件56,用於將清潔刷子50 定位在晶圓30的部分上方。在一些實施例中,可以使用多個清潔刷子50。可移動構件56可以連接到控制清潔刷子50的橫向和垂直位置的一臂(如第1b圖中所示)。在一些實施例中,所述臂可以是鉸接的(articulated),使得清潔刷子50可被定位在晶圓30上方的任一點,如箭頭55所示。清潔刷子50可具有用於固持刷子元件54的刷子固持器52。在一些實施例中,清潔刷子50可具有一清潔液出口(圖未示),且清潔液(例如清潔液44)可從清潔液出口分配。在一些實施例中,刷子元件54可以由聚乙烯醇(polyvinyl alcohol,PVA)、樹脂、聚丙烯(polypropylene)或其他合適的材料形成。刷子元件54可以具有刷毛(bristles)或海綿的形式。刷子元件54的清潔表面的橫向尺寸(例如直徑或寬度)可以在約10mm和約50mm之間,例如約20mm。刷子元件54的清潔表面的總表面面積可以在約70mm2和約400mm2之間,例如約300mm2
在一些實施例中,一個或多個清潔刷子50可包括多個可獨立控制的刷子,其可以作為一個組單元(group unit),或者作為兩個或多個刷子的多個組。可以將多個刷子排成陣列或以任何合適的圖案排列。多個刷子可以各自具有彼此相同的尺寸或不同的尺寸,取決於它們所需的使用和配置。
刷子50可具有圓形橫截面的圓柱形狀。在一些實施例中,刷子50可配置以旋轉,如箭頭59所示。在一些實施例中,刷子固持器52可配置以旋轉,繼而旋轉刷子元件54。在一些實施例中,可移動構件56可配置以旋轉,進而使刷子固持器52旋轉並進而旋轉刷子元件54。在一些實施例中,刷子50可以 沿著與晶圓30的旋轉方向相同的方向旋轉(箭頭59)。在一些實施例中,刷子50可以沿著與晶圓30的旋轉方向相反的方向旋轉。在一些實施例中,刷子50可以在交替方向上來回旋轉。刷子50可以通過驅動部件57中的一個進行旋轉和移動(箭頭55)。驅動部件57可以包括例如馬達、滑動導軌、機器手臂、齒輪等。驅動部件57配置以旋轉及/或移動/擺動及/或升高/降低可移動構件56和刷子元件54。因此,藉由驅動部件57的操作,刷子元件54可以被旋轉及/或擺動及/或升高/降低,此將在後面的討論中詳細介紹。
一清潔容器60可以設置在晶圓清潔設備附近。清潔容器包括容納清潔液62的一槽(tank)61。清潔液62可以選自上面所討論的清潔液44的清潔液。清潔液62可以與清潔液44相同或不同。槽61可以具有與矩形稜柱(rectangular prism)相似的形狀,其具有尺寸長度l1、寬度w1和高度h1,其中長度l1在約5cm和約10cm之間,例如約8cm,寬度w1在約5cm和約10cm之間,例如約8cm,以及高度在約8cm和約15cm之間,例如約12cm。其他尺寸也可以被使用。另外,在一些實施例中,槽61的形狀可能不同(參見例如第8圖至第10圖)。槽的總體積容量可以在約0.2L和約1.5L之間,例如約0.6L。槽61中的清潔液62的量可以在約0.16L和約1.2L之間,例如約0.4L。清潔液62可以填充槽的深度d1在約6cm和約12cm之間,例如約8cm。也可以根據需要使用其他數值的槽61的容量、清潔液62的量和清潔液62的深度。一換能器裝置(transducer device)80可以設置在槽61中(在清潔液62中)或者設置在槽61外部。當被激活(activated)時, 換能器裝置80可以振動,從而振動清潔液62。後面將配合第5圖至第9圖更詳細地描述清潔容器60和換能器裝置80。換能器裝置80的振動行為可以產生清潔液62的超聲波(ultrasonic)或兆聲波(megasonic)攪動,並且在清潔液62中產生空穴效應(cavitation effect)。
請繼續參照第1a圖,在晶圓30旋轉(箭頭22)的同時,將清潔液44噴灑在晶圓表面34上以開始晶圓30的清潔過程。在噴灑過程中,一些微粒32可能脫離晶圓30。清潔液44和部分微粒32可能溢出晶圓邊緣36並且進入一收集杯(圖未示)中而被廢棄(disposal)或進一步處理。在噴灑過程中,可以使用清潔液44的加壓噴灑。在一些實施例中,噴灑出口噴嘴42可旋轉以將清潔液44引導至晶圓30的特定區域。
在晶圓清潔過程中,刷子50可以定位在晶圓30上並從晶圓30的中間朝向晶圓30的外部擺動(如箭頭55所示)。在一些實施例中,刷子50可以從晶圓30的一邊緣擺動到晶圓30的另一邊緣。在清潔過程中,晶圓30進行旋轉,例如箭頭22所示。刷子50也可以如箭頭59所示相對於其自身的軸線進行旋轉。刷子50的軸線可以在刷子的長度方向上,並且垂直於晶圓30的表面。根據一些實施例,可移動構件56在其中具有空間,以輸送清潔液44至刷子元件54並且分配清潔液44至刷子元件54上和刷子元件54周圍的材料上,例如晶圓30的表面。
當刷子50在晶圓30的表面上方移動時,向下的壓力可以施加在刷子50上以通過刷子元件54將力施加到晶圓表面34。在一些實施例中,可以在晶圓表面34上方進行刷子50的 多次通過(passes)。在刷子50的通過之間,從噴灑出口噴嘴42分配的清潔液44可以繼續從晶圓表面34清洗微粒32。當刷子50在晶圓表面34上方移動時,部分微粒32收集到刷子元件54上。
請參照第1b圖,根據一些實施例,說明晶圓清潔系統100的俯視圖。示出的晶圓30上包含微粒32。噴灑頭40包括一臂46和一噴灑出口噴嘴42,噴灑出口噴嘴42將清潔液(例如上述的清潔液44)噴灑到晶圓30上。晶圓30可以如上所述進行旋轉(箭頭22)。刷子50包括可移動構件56、刷子固持器52及刷子元件54(以虛線表示)。可移動構件56連接至可用於將刷子50定位在晶圓30上方的刷子臂51。刷子臂51可通過使刷子50在晶圓30上方移動來執行一清掃動作(如箭頭55所示)。在一些實施例中,刷子臂51可以被鉸接以在刷子臂51的基部端和刷子臂51的遠端之間彎曲。鉸接的刷子臂51可以將可移動構件56定位在晶圓表面34的更多部份上方,相較於僅單獨提供清掃動作(箭頭55)的一臂。在一些實施例中,刷子臂51可以將可移動構件56定位在晶圓表面34的任何區域上方。刷子臂51可以使用由驅動部件57表示的機器手臂(robotics)和馬達來移動。清潔容器60包括槽61、入口管道64、出口管道66、換能器裝置80及清潔液62。這些元件在上文已經介紹,故不再重複贅述。清潔容器60可以定位在晶圓30附近。在一些實施例中,清潔容器60可以定位在刷子50的清掃動作(箭頭55)的弧線上,使得刷子臂51可以將刷子50定位在槽61的開口上方並且將刷子50降低至清潔容器60的清潔液62中。清潔容器60可以包括入口管道64和出口管道66,下面會參照第5圖詳細描述。
請參照第2圖,在晶圓30的清潔之後,晶圓表面34基本上不含微粒32。然而,一些微粒32已被轉移到刷子元件54。晶圓30在清潔之後被移除,如箭頭35所示。當晶圓30被移除以及一新的髒晶圓被裝載時,將刷子50移動至清潔容器60並將其降低至清潔容器60中(如箭頭58所示)。在一些實施例中,在晶圓30的清潔中,可以在同一晶圓上方使用刷子50進行多次清潔通過。在這樣的實施例中,例如下面所述,刷子50可以在多次清潔通過中的兩個或更多個之間被清潔,而無需卸載晶圓30。
接下來請參照第3圖,當晶圓30被卸載並且下一個要被清潔的晶圓被裝載到晶圓清潔系統100的清潔腔室中時,刷子50的刷子元件54被浸沒(submerged)在清潔容器60的清潔液62中。刷子50可以被浸沒,使得整個刷子元件54被浸入(immersed)清潔液62中。刷子元件54可以位於距換能器裝置80一距離d2的位置。距離d2可以在約10mm和約80mm之間,例如約20mm。也可以使用其他距離。將刷子元件54定位成與換能器裝置80分開,能夠允許在清潔過程中清潔液62的空穴效應以清潔刷子元件54。另一方面,固持刷子元件54靠近換能器裝置80,亦使得清潔液62的空穴效應在空穴(cavitation)到達刷子元件54之前不會過度衰減(此將降低其有效性)。在一些實施例中,距離d2可以基於換能器裝置80的輸出功率來選擇。
換能器裝置80可以被激活(如箭頭81所示)以使清潔液62振動,以產生振動波。在一些實施例中,換能器裝置80可以超聲波頻率範圍振動,舉例來說,約20kHz至約300kHz,例如約100kHz。在其他實施例中,換能器裝置80可以兆聲波 頻率範圍振動,舉例來說,約0.6MHz至約2MHz,例如約1MHz。根據清潔容器60使用的換能器裝置80的設計和選擇,也可以使用其他頻率。一些實施例可以在各種頻率範圍振動。在超聲波頻率範圍內,換能器裝置80可以在清潔液62中產生隨機的(random)空穴。在兆聲波頻率範圍內,換能器裝置80可以在清潔液62中產生受控的(controlled)空穴。
當刷子元件54浸沒在清潔液62中並且經受聲波清潔處理時,位於刷子元件54上的微粒32被聲波清潔過程除去並從刷子元件54脫落。一些微粒32由於聲波清潔過程可能懸浮在清潔液62中,而一些微粒可能沉降到清潔容器60的底部。在沒有聲波清潔過程的情況下,一些微粒也可能從刷子元件54脫離,然而,通過聲波清潔過程,明顯有更多的微粒32可以從刷子元件54脫離。在一些實施例中,相較於沒有聲波清潔過程的情況,通過聲波清潔過程可使得更多的(約40%至約90%,例如約70%)微粒從刷子元件脫離。在一些實施例中,通過聲波清潔過程,能夠從刷子元件54移除約60%至約99%(例如約90%)的微粒32。被移除的微粒的數量取決於刷子元件54上微粒32的尺寸和類型。
第3圖中也示出了晶圓平台20。晶圓平台20可包括用於在清潔過程中將晶圓30固持在平台上的一固持機構24。在一些實施例中,當晶圓平台20是真空吸座的一部分時,固持機構24為一真空入口。在一些實施例中,當晶圓平台20是靜電吸座的一部分時,固持機構24為一電荷感應板(charge plate)。
請參照第4圖,在聲波清潔過程之後,刷子50被升 高,從清潔液62移除刷子元件54。當刷子50被清潔時,另一個要被處理的晶圓30’被裝載到清潔腔室中,如箭頭37所示。晶圓30’上包含有微粒32,其在後續的清潔過程中將被清除掉。在晶圓30’被裝載到清潔腔室中之後,清潔過程再次開始,例如上面關於第1a圖所述,並且從那裡開始。
在一些實施例中,刷子50可以在每個晶圓30、30’等等的清潔之間進行聲波清潔。在一些實施例中,刷子50可以在一定數量的晶圓之間進行聲波清潔。舉例來說,刷子50可以在每1至100個晶圓之間(例如每5個晶圓之間)進行一次聲波清潔。其他數值也可以被使用。在一些實施例中,刷子50可以以特定的時間間隔進行聲波清潔。舉例來說,刷子50可以每隔1至120分鐘進行一次聲波清潔,例如每10分鐘一次。其他數值也可以被使用。基於不同的應用和刷子類型,可以根據需要動態調整聲波清潔刷子50的間隔。
在此描述的聲波清潔過程可以與一個或多個其他的清潔過程結合。舉例來說,刷子50可以先經過沖洗(rinsed),再進行聲波清潔。在另一示例中,刷子50可以在每個晶圓之間被沖洗並且以不同的間隔進行聲波清潔。舉例來說,可以通過激活噴灑出口噴嘴42來執行沖洗,其噴灑可朝向刷子50並且尤其是刷子元件54。
應瞭解的是,在一些實施例中,換能器裝置80可以在刷子元件54浸沒在清潔液62中之前被激活,並在將刷子元件54從清潔液62移除之後保持激活。舉例來說,一旦晶圓30的清潔過程完成,換能器裝置80即可以被激活。在一些實施例中, 換能器裝置80可以在晶圓清潔操作發生的整個期間內保持激活。
在一些實施例中,聲波清潔刷子的時機(timing)可被選擇以與卸載一經清潔的晶圓30並且裝載一污染的(contaminated)晶圓30’作為下一個要被清潔的晶圓的時間一致。舉例來說,一旦晶圓30被清潔完畢,刷子50可以移動至清潔容器60以進行聲波清潔。同時,當刷子50正在被清潔時,晶圓30從晶圓平台20被卸載並且汙染的晶圓30’被裝載到晶圓平台20上。當晶圓清潔系統100準備清潔晶圓30’時,刷子50可從清潔容器60中取出並移動至要清潔晶圓30’的定位。在一些實施例中,卸載/裝載和聲波清潔刷子50的時間可以在約6秒和約20秒之間,例如約10秒。刷子元件54可以在約6秒和約20秒之間被清潔,例如約10秒。
在一些實施例中,可以根據其他標準來選擇聲波清潔刷子的時機,例如刷子所需的清潔時間。舉例來說,刷子清潔時間可能在5秒和100秒之間,例如約60秒。如果晶圓卸載和裝載過程在聲波清潔刷子完成之前完成,晶圓清潔系統100可以在清潔下一個晶圓(例如晶圓30’)之前一直等到刷子50就位。在一些實施例中,晶圓清潔系統100可以激活噴灑出口噴嘴42以將清潔液44分配到晶圓30’上,同時等待刷子50準備好清潔晶圓30’。在一些實施例中,可以結合不同的聲波清潔刷子技術,在卸載並裝載一新晶圓的時間範圍內提供刷子50的聲波清潔,然後在一定的時間間隔以聲波清潔刷子50更長的時間以更徹底地清潔刷子50。
清潔容器60中的清潔液62的溫度可以是環境溫度,或者換句話說,既不冷卻也不加熱,並且因此在聲波清潔過程期間可具有在約15℃和約25℃之間的溫度。在一些其他實施例中,清潔液62也可以被加熱至約25℃和約80℃之間的範圍內的溫度,例如約55℃至約65℃。隨著溫度的升高,聲波清潔過程的效率可提高。也可以使用高於約80℃或低於約15℃的溫度。
刷子50的聲波清潔可以提供比不清潔刷子50或比使用不同的清潔過程清潔刷子50更好的清潔結果。因為較少的微粒從晶圓30黏附到刷子元件54上而隨後用來清潔晶圓30’,所以從一個晶圓到下一個晶圓的交叉汙染的機會可以減少。使用聲波清潔器清潔刷子50亦增加了刷子50的壽命。舉例來說,在沒有使用聲波清洗刷子50的情況下,在每清潔30,000的晶圓之後可能需要更換刷子元件54。然而,使用聲波清潔系統清潔刷子50允許刷子元件54持續至少兩倍的使用時間,即在清潔至少60,000個晶圓之前不需要更換。
第5圖至第10圖示出了用於清潔容器60的各種配置並且描述了伴隨清潔容器60的某些過程,包括處理清潔液62。第5圖示出了具有相當直的側壁的清潔容器60。入口管道64可被定位以將新清潔液63供應至清潔容器60。雖然入口管道64被示出為與清潔容器60的一側壁接口(interfacing),但應理解的是,在一些實施例中,入口管道64可進入清潔容器60的頂部。入口管道64的一端可連接到新清潔液63的來源。清潔容器60的頂部可以完全打開或者可以被部分覆蓋,從而允許刷子50進入。
換能器裝置80可定位在清潔容器60內以對清潔液62提供聲波。換能器裝置80可定位在清潔容器60的底部上或清潔容器60的側壁上。在一些實施例中,可以在清潔容器60上使用多個換能器裝置80。在一些實施例中,換能器裝置80可以刷子元件54為中心配置。在一些實施例中,換能器裝置80可以刷子元件54的任何部分為中心配置,使得換能器裝置80的中心與刷子元件54的表面對齊。
第6a圖示出了根據一些實施例的換能器裝置80。一個或多個換能器82可以安裝到一基板84。基板84的安裝表面可以視為換能器裝置80的主要表面。在一些實施例中,換能器82可以與其他換能器82一起安裝成一陣列配置,例如第6a圖中所示。儘管圖中示出了四個換能器82,但是根據它們的選擇和尺寸,可以以任何合適的配置使用任何數量的換能器82。換能器82可以是壓電式或其他合適形式的換能器。在一些實施例中,換能器82可以是能夠在期望的頻率範圍內產生聲波以適當地清潔刷子50的任何類型的振動馬達。換能器82的直徑d3可以在約10mm和約30mm之間,例如約15mm。在一些實施例中,換能器裝置80中的換能器82的總平方面積與刷子元件54的清潔表面的平方面積之比(ratio)可以是約0.5:1至約4:1。在一些實施例中,換能器裝置80可以具有垂直於換能器裝置80的基板84的振動軸(axis of vibration)。在一些實施例中,換能器裝置80可以不包括一單獨的基板84,並且可以基本上由單一個換能器82組成。
第6b圖示出了換能器裝置80的一個換能器82。當 電力供應到一個引線(lead)85和提供給另一個引線86的返回路徑時,換能器82將振動。振動的頻率和振動的強度可以通過調整提供給換能器82的電壓和提供給換能器82的電流來配置(configured)。在一些實施例中,可以在約100mA至約500mA(例如約200mA)的供給電流下使用約1V至約5V的電壓,例如約4V。根據換能器82的設計和選擇,也可以使用其他電壓和電流。換能器82具有垂直於換能器82的表面的振動軸。
第6c圖示出了作為一些實施例的代表的換能器裝置80。在一些實施例中,從俯視角度觀看,換能器82可以圓形圖案佈置在基板84上。也可以使用其他佈置,包括其他形狀的基板84。
回到第5圖,在一些實施例中,換能器裝置80可以定位在槽61中,使得其振動軸與刷子元件54相交。在這樣的實施例中,換能器裝置80可以定位在槽61中,使得其與刷子元件54成一角度。換句話說,換能器裝置80可被定位成使得其振動軸既不平行也不垂直於刷子元件54的清潔表面。
請繼續參照第5圖,由於刷子50的聲波清潔所產生來自刷子50的微粒32是在清潔液62中。汙染的清潔液62可以通過出口管道66排出。雖然出口管道66被示出為與清潔容器60的一底部表面接口,但應理解的是,在一些實施例中,出口管道66可以與槽61的一側壁連接。在一些實施例中,出口管道66可以是來自清潔容器的頂部的一汲取管(dip tube)。
在一些實施例中,清潔容器60的清潔液62可以不斷地排出和補充。舉例來說,一慢流量(slow flow)的新清潔液 63可以通過入口管道64提供,並且一相同慢流量的汙染的清潔液62可以通過出口管道66排出。舉例來說,汙染的清潔液62的排水流量可以在約0.1l/min和約2l/min之間,例如約0.5l/min。類似地,來自入口管道64的新清潔液63的流量可以在約10ml/min和約100ml/min之間,例如約80ml/min。根據需要也可以使用其他合適的流量。在一些實施例中,入口流量可以與排水流量大致相同。在一些實施例中,清潔容器60可以定期清空汙染的清潔液62並重新填充。在一些實施例中,可以使用多種技術的組合,包括持續的清潔液62的排出和補充以及汙染的清潔液62的定期沖洗和填充。
清潔液62中的微粒32可能比周圍的清潔液62更緻密並且會朝著清潔容器60的底部沉降。沉降的微粒32可以通過出口管道66從清潔容器60排出。在一些實施例中,出口管道66可連接一處理裝置以將微粒32和清潔液62分離,以重新利用清潔液62。在一些實施例中,汙染的清潔液62可能被丟棄(discarded)。在一些實施例中,清潔容器60的底部表面可以具有傾斜的部分,以便將汙染的清潔液62導向出口管道66。
在一些實施例中,在晶圓清潔過程中噴灑在晶圓30上的清潔液44可以與清潔容器60中使用的清潔液62相同。然而,在其他實施例中,清潔液44可以不同於清潔液62。在這樣的實施例中,可以在清潔液62中發現一定濃度的清潔液44。當微粒32從刷子50上清除時,一些清潔液44被轉移到含有清潔液62的槽61中。清潔液44與清潔液62的比例可以在約0.01和約0.2之間,例如約0.1。
第7圖示出了根據一些實施例的清潔容器60。第7圖示出了清潔容器60的一些元件的不同配置。舉例來說,這些元件可以如上面關於第5圖所述那樣配置,或者這些元件中的一個或多個可以如第7圖中所示配置。入口管道64配置以從清潔容器60的頂部分配清潔液62。示出的出口管道66為一汲取管,其配置以從微粒32可能沉降的清潔容器60的底部吸取汙染的清潔液62。示出的一個或多個換能器裝置80是在清潔容器60中的多個分離的位置。可以使用這些配置的任意組合。其他實施例可以使用未在本文中描繪或具體討論但具有類似功能的其他配置。
第8圖至第10圖示出了清潔容器60的不同配置。儘管第5圖中所示的清潔容器60是類似於一矩形稜柱,但第8圖中所示的清潔容器60是圓柱形的。第9圖中所示的清潔容器60是倒錐形的(inverted pyramid),其在底部逐漸變窄。錐形設計可以有利於進一步將汙染的清潔液62引導至出口管道66。第10圖示出了一圓椎形的清潔容器60,其可以具有與第9圖中的錐形類似的益處,因為其可以更有效地從清潔液62中移除微粒32。第8圖至第10圖中的不同形狀的清潔容器也可以與上面第7圖所討論的元件的不同配置結合。
第11圖示出了根據一些實施例的一晶圓清潔過程。在步驟110中,用刷子(例如刷子50)清潔晶圓(例如晶圓30)。當晶圓正在被清潔時,可以在晶圓上噴灑清潔液(例如清潔液44)。在晶圓被清潔之後,在步驟120中,晶圓將從清潔系統中被移除,並且同時刷子將被移動至用於清潔刷子的清潔容器。當晶 圓被移除且下一個晶圓被裝載時,在步驟130中,能量將通過聲波(例如超聲波或兆聲波)的方式被供應至清潔容器中的清潔液。
在刷子完成清潔之後,當要處理的下一個晶圓被裝載到清潔系統中時,在步驟140中,刷子將從清潔液中移除,並且在步驟150中,刷子將回到一準備位置來處理一新載入的晶圓。在一些實施例中,刷子清潔和晶圓卸載/裝載的時間可以是同步的,使得刷子清潔不會為清潔系統的晶圓清潔處理增加額外的時間。
實施例提供了使用聲波清潔過程(例如超聲波或兆聲波清潔過程)來清潔刷子,以在刷子被浸沒的清潔液中產生空穴。清潔過程可以從刷子頭上移除汙染物,例如刷子從晶圓上所清除的微粒副產物。汙染物會落入槽中,並且當汙染的清潔液從槽中排出時一併被帶出槽。清潔刷子提供了更好的整體晶圓清潔過程,因為從一個晶圓到下一個晶圓的交叉汙染可以減少。另外,清潔刷子可以增加刷子元件的壽命。
根據一些實施例,提供一種用於清潔晶圓的方法,其包括用一刷子元件清潔一晶圓,其中刷子元件在清潔過程中從晶圓收集微粒。刷子元件被浸入一第一清潔液中。一聲波振動被施加於第一清潔液,聲波振動將微粒從刷子元件除去至第一清潔液中。微粒汙染第一清潔液。
在一些實施例中,上述用於清潔晶圓的方法更包括當刷子元件被浸入第一清潔液中時,卸載經清潔的晶圓並且開始裝載一髒晶圓的過程。
在一些實施例中,其中第一清潔液包括去離子水、氨水、酸性水溶液、鹼性水溶液、表面活性劑或過氧化物中的一種或多種。
在一些實施例中,上述用於清潔晶圓的方法更包括在用刷子元件清潔晶圓的同時旋轉晶圓。
在一些實施例中,上述用於清潔晶圓的方法更包括在用刷子元件清潔晶圓的同時用一第二清潔液噴灑在晶圓上。
在一些實施例中,第一清潔液與第二清潔液相同。
在一些實施例中,第一清潔液不同於第二清潔液,其中在從刷子元件除去微粒之後,汙染的第一清潔液包含一定濃度的第二清潔液。
在一些實施例中,第一清潔液容納在一清潔容器中,上述用於清潔晶圓的方法更包括從清潔容器中排出一第一體積(volume)的含有微粒的污染的第一清潔液,以及將一第二體積的第一清潔液供應至清潔容器,其中第二體積的第一清潔液是未被汙染的,其中第一體積的汙染的第一清潔液和第二體積的第一清潔液是相等的。
在一些實施例中,第一體積的汙染的第一清潔液以一第一流量流出,第二體積的第一清潔液以一第二流量供應,其中第一流量等於第二流量。
根據一些實施例,亦提供一種用於清潔晶圓的方法,其包括提供與一晶圓清潔站相鄰的一清潔容器、提供一供 應管線至清潔容器、提供來自清潔容器的一排水管線、以及在清潔容器中提供一換能器元件。供應管線配置以將一第一清潔液提供至清潔容器。排水管線配置以從清潔容器中移除汙染的第一清潔液。換能器元件定位在清潔容器中以便浸沒在第一清潔液中。清潔容器的一部份充滿了第一清潔液,並且一刷子清潔頭浸沒在第一清潔液中。換能器元件以一第一頻率被激活,在刷子清潔頭處造成第一清潔液的空穴。
在一些實施例中,第一頻率在20kHz至2MHz的範圍內。
在一些實施例中,上述用於清潔晶圓的方法更包括通過第一清潔液的空穴將微粒從刷子清潔頭除去至第一清潔液中。
在一些實施例中,第一清潔液為去離子水。
在一些實施例中,在換能器元件被激活的同時,在晶圓清潔站中發生將一經清潔的晶圓交換成一髒晶圓的過程。
在一些實施例中,上述用於清潔晶圓的方法更包括經由排水管線從清潔容器排出第一清潔液的一部份,以及在排出第一清潔液的一部份的同時,經由供應管線將第一清潔液供應至清潔容器。
在一些實施例中,在換能器元件被激活的同時,排出第一清潔液的部份。
根據一些實施例,亦提供一種用於清潔晶圓的系統,其包括一晶圓清潔站。晶圓清潔站包括配置以在晶圓上噴 灑一第一清潔液的一噴灑頭、一晶圓支撐平台以及一清潔刷子。所述系統包括一清潔容器。清潔容器包括一槽、配置以將一第二清潔液供應至槽的一入口管道、配置以從槽中排出汙染的第二清潔液的一出口管道、以及配置以在通電時以一第一頻率振動的一換能器。晶圓清潔站配置以將清潔刷子移動至槽,並且當清潔刷子在槽中時使換能器通電。
在一些實施例中,晶圓清潔站配置以將清潔刷子定位在距離換能器5mm到30mm之間。
在一些實施例中,第一頻率在20kHz和2MHz之間。
在一些實施例中,晶圓清潔站配置以在從晶圓支撐平台移除一第一晶圓並且將一第二晶圓裝載到晶圓支撐平台上的同時,將汙染物從清潔刷子轉移至槽。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。

Claims (1)

  1. 一種用於清潔晶圓的方法,包括:用一刷子元件清潔一晶圓,該刷子元件在清潔過程中從該晶圓收集微粒;將該刷子元件浸入一第一清潔液中;以及對該第一清潔液施加一聲波振動。
TW107117731A 2017-09-29 2018-05-24 用於清潔晶圓的方法 TW201914701A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762565693P 2017-09-29 2017-09-29
US62/565,693 2017-09-29
US15/887,521 2018-02-02
US15/887,521 US11772134B2 (en) 2017-09-29 2018-02-02 Sonic cleaning of brush

Publications (1)

Publication Number Publication Date
TW201914701A true TW201914701A (zh) 2019-04-16

Family

ID=65895818

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107117731A TW201914701A (zh) 2017-09-29 2018-05-24 用於清潔晶圓的方法

Country Status (3)

Country Link
US (1) US11772134B2 (zh)
CN (1) CN109585263A (zh)
TW (1) TW201914701A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI757924B (zh) * 2020-03-09 2022-03-11 大陸商杭州眾硅電子科技有限公司 清洗刷預清洗系統

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113118100B (zh) * 2019-12-31 2022-09-06 清华大学 一种晶圆清洗装置及清洗方法
CN111261553B (zh) * 2020-01-19 2024-03-26 北京北方华创微电子装备有限公司 晶圆清洗装置
US20210384881A1 (en) * 2020-05-20 2021-12-09 Ebara Corporation Method for determining cleanliness of cleaning member, method for determining adsorption characteristics of contaminants that contaminate cleaning member, method for determining cleanliness of substrate, program for determining cleanliness of substrate, and program for determining end point of cleaning process
CN114951120A (zh) * 2022-04-24 2022-08-30 中环领先半导体材料有限公司 一种减薄机毛刷清洗方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4840284B1 (en) * 1988-01-15 1996-10-01 Nationsbank Of Georgia Sloped bottom tank
US4836684A (en) * 1988-02-18 1989-06-06 Ultrasonic Power Corporation Ultrasonic cleaning apparatus with phase diversifier
US4870982A (en) * 1989-01-09 1989-10-03 Tatung Company Of America, Inc. Ultrasonic cleaning apparatus for household use
US6047846A (en) * 1991-02-25 2000-04-11 Greif Bros. Corp. Of Ohio Plastic drum with drain sump
JP2696017B2 (ja) * 1991-10-09 1998-01-14 三菱電機株式会社 洗浄装置及び洗浄方法
SE503240C2 (sv) * 1994-06-17 1996-04-22 Amugruppen Ab Anordning för rensning av huvuden till svetsrobotar
US5693148A (en) * 1995-11-08 1997-12-02 Ontrak Systems, Incorporated Process for brush cleaning
US7211928B2 (en) * 1996-08-05 2007-05-01 Puskas William L Apparatus, circuitry, signals and methods for cleaning and/or processing with sound
JP3290910B2 (ja) * 1997-02-19 2002-06-10 東京エレクトロン株式会社 洗浄装置
US6098643A (en) * 1998-11-14 2000-08-08 Miranda; Henry R. Bath system for semiconductor wafers with obliquely mounted transducers
DE60138934D1 (de) * 2000-02-25 2009-07-23 Hitachi Ltd Mischvorrichtung für Analysenautomat
US6706641B2 (en) * 2001-09-13 2004-03-16 Micell Technologies, Inc. Spray member and method for using the same
US6651284B2 (en) * 2001-10-11 2003-11-25 Silicon Integrated Systems Corp. Scrubbing assembly for wafer-cleaning device
US20040050408A1 (en) * 2002-09-13 2004-03-18 Christenson Kurt K. Treatment systems and methods
BRPI0416131A (pt) * 2003-11-05 2007-01-02 Crest Group Inc método e aparelho de processamento ultra-sÈnico com transdutores de múltiplas freqüências
US9987666B2 (en) * 2006-01-20 2018-06-05 Naura Akrion Inc. Composite transducer apparatus and system for processing a substrate and method of constructing the same
US20070251035A1 (en) * 2006-05-01 2007-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Cleaning device
US8863763B1 (en) * 2009-05-27 2014-10-21 WD Media, LLC Sonication cleaning with a particle counter
US9646859B2 (en) * 2010-04-30 2017-05-09 Applied Materials, Inc. Disk-brush cleaner module with fluid jet
TWI559992B (en) * 2012-10-15 2016-12-01 Hon Hai Prec Ind Co Ltd Ultrasonic cleaning apparatus
GB2506939B (en) * 2012-10-15 2017-04-05 Alphasonics (Ultrasonic Cleaning Systems) Ltd Improvements in and relating to ultrasonic cleaning
US9211568B2 (en) * 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Company Limited Clean function for semiconductor wafer scrubber
US9478444B2 (en) * 2013-07-23 2016-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for cleaning wafer and scrubber
WO2016045072A1 (en) * 2014-09-26 2016-03-31 Acm Research (Shanghai) Inc. Apparatus and method for cleaning semiconductor wafer
US10522369B2 (en) * 2015-02-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for cleaning wafer and scrubber
US9610615B2 (en) * 2015-03-31 2017-04-04 Taiwan Semiconductor Manufacturing Co., Ltd Method and system for cleansing wafer in CMP process of semiconductor manufacturing fabrication

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI757924B (zh) * 2020-03-09 2022-03-11 大陸商杭州眾硅電子科技有限公司 清洗刷預清洗系統

Also Published As

Publication number Publication date
US20190099787A1 (en) 2019-04-04
CN109585263A (zh) 2019-04-05
US11772134B2 (en) 2023-10-03

Similar Documents

Publication Publication Date Title
US11772134B2 (en) Sonic cleaning of brush
US11676827B2 (en) Substrate cleaning apparatus, substrate cleaning method, substrate processing apparatus, and substrate drying apparatus
US7836901B2 (en) Method and apparatus for wafer cleaning
US7334588B2 (en) Method and apparatus for wafer cleaning
JP6331961B2 (ja) 基板液処理装置
KR100881701B1 (ko) 기판세정장치
US10170343B1 (en) Post-CMP cleaning apparatus and method with brush self-cleaning function
CN102349135B (zh) 移除颗粒污染物的方法
JP2010021457A (ja) ブラシの洗浄方法
US7380560B2 (en) Wafer cleaning apparatus with probe cleaning and methods of using the same
JP3420046B2 (ja) 洗浄装置
JP2008308709A (ja) 半導体装置の製造方法及び製造装置
JPH02109333A (ja) 洗浄装置
JP2001121096A (ja) ロールブラシ洗浄装置
JP2018056385A (ja) 基板洗浄装置および基板洗浄方法ならびに基板洗浄装置用のロールスポンジ
KR100964871B1 (ko) 패드 컨디셔닝 유닛 및 이를 구비한 매엽식 기판 연마 장치
KR102098992B1 (ko) 웨이퍼 폴리싱 패드의 세정 장치
JP7221375B2 (ja) 基板処理ブラシの洗浄方法及び基板処理装置
JPH08299928A (ja) 基板の表面処理用超音波発生装置
KR100868364B1 (ko) 초음파 발생 장치 및 이를 갖는 기판 세정 장치
JP2006066793A (ja) ウエハ洗浄方法及びその装置
JP2002075944A (ja) 液中基板浸漬装置および液中基板浸漬処理方法
JP7242228B2 (ja) 基板処理装置及び基板処理方法
JP7274883B2 (ja) 洗浄部材用洗浄装置及び基板処理装置
JPH08290136A (ja) 基板洗浄方法とその装置