TW201905228A - 用於無孔隙之氮化矽縫隙填充之方法與設備 - Google Patents

用於無孔隙之氮化矽縫隙填充之方法與設備

Info

Publication number
TW201905228A
TW201905228A TW107117873A TW107117873A TW201905228A TW 201905228 A TW201905228 A TW 201905228A TW 107117873 A TW107117873 A TW 107117873A TW 107117873 A TW107117873 A TW 107117873A TW 201905228 A TW201905228 A TW 201905228A
Authority
TW
Taiwan
Prior art keywords
substrate
chamber
filament
temperature
gas
Prior art date
Application number
TW107117873A
Other languages
English (en)
Inventor
蘭卡拉歐 阿奈巴里
羅伯特詹 維瑟爾
帕拉米特 曼納
亞伯希吉特巴蘇 馬禮克
皮耶納 葛拉迪亞
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201905228A publication Critical patent/TW201905228A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electrochemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

描述用於透過基於自由基之熱絲化學氣相沉積製程以無接縫氮化矽縫隙填充物填充基板特徵之方法。也描述用於執行該氮化矽縫隙填充物的基於自由基之熱絲化學氣相沉積製程的設備。

Description

用於無孔隙之氮化矽縫隙填充之方法與設備
本案揭露內容大致上關於以無接縫氮化矽隙縫填充物(gapfill)填充基板特徵之方法。更特定而言,本案揭露內容之實施例涉及透過基於自由基之熱絲化學氣相沉積製程以無接縫氮化矽縫隙填充物填充基板特徵之方法。本案揭露內容之額外實施例涉及用於執行該氮化矽縫隙填充物的基於自由基之熱絲化學氣相沉積製程的設備。
縫隙填充製程是半導體製造的非常重要的階段。縫隙填充製程用於以絕緣或導電材料填充高深寬比縫隙(或特徵)。舉例而言,淺溝槽隔離、層間金屬介電層、鈍化層、虛置閘極(dummy gate)等。隨著元件幾何縮小且熱預算減少,無缺陷的縫隙及其他特徵的填充變得愈來愈困難,這是由於習知沉積製程之限制所致。
許多沉積方法在特徵之頂部區域上沉積材料沉積得比在特徵之底部區域上多。這些製程經常在特徵頂部處形成蘑菇形的膜輪廓。結果,特徵之頂部有時候會過早夾止,而在特徵之下部內留下接縫或孔隙。此問題在較小的特徵以及具高深寬比的特徵中更為普遍。
已驗證氮化矽之沉積是半導體製造工業中一項關鍵技術。然而,將SiN沉積至基板特徵中而不在縫隙填充物中產生接縫是困難的。因此,需要一種產生無接縫之SiN縫隙填充物的方法。
本案揭露內容之一或多個實施例涉及基板處理之方法,該方法包括,將基板定位在處理腔室中的冷卻基座上。該基板具有基板表面,該基板表面有至少一個特徵從該基板表面延伸進入該基板中達一距離。該特徵具有底部以及至少一個側壁。提高燈絲的溫度以提供該處理腔室內的熱絲。將反應性氣體之流引導交錯該熱絲且朝向該基板表面。該反應性氣體包括:第一反應性物種,包括矽前驅物;以及,第二反應性物種,包括氮前驅物。該熱絲在該反應性氣體中產生複數個自由基。該基板暴露至該反應性氣體(包括該等自由基),而在該特徵中形成SiN縫隙填充物,該縫隙填充物實質上無接縫。該冷卻基座將該基板之溫度在整個該反應性氣體的暴露期間維持在低於或等於約50°C。
本案揭露內容之另一實施例涉及基板處理之方法,包括:將基板定位在處理腔室中的冷卻基座上,該基板離燈絲的距離為約5cm。該基板具有基板表面,該基板表面有至少一個特徵從該基板表面延伸進入該基板中達一距離。該特徵具有底部以及至少一個側壁。將該燈絲的溫度提高至1000°C至約1500°C的範圍,以提供該處理腔室內的熱絲。將反應性氣體流引導成正交該熱絲且朝向該基板表面。該反應性氣體包括:第一反應性物種,包括矽烷;以及,第二反應性物種,包括氨。該第一反應性物種是以小於或等於約50sccm之速率流動。該熱絲在該反應性氣體中產生複數個自由基。該基板暴露至該反應性氣體(包括該等自由基),而在該特徵中提供實質上無接縫的SiN縫隙填充物。該冷卻基座將該基板之溫度在整個該反應性氣體的暴露期間維持在低於或等於約50°C。
本案揭露內容之其他實施例涉及處理腔室。該處理腔室包括許多部件,包括:腔室主體,具有複數個腔室主體冷卻通道,以使冷卻流體得以流過該腔室主體而冷卻該腔室主體。腔室蓋,具有複數個腔室蓋冷卻通道,以使冷卻流體得以流過該腔室蓋而冷卻該腔室蓋。該腔室蓋包括氣體入口,以引導氣流朝向該處理腔室內的處理空間。基板支撐基座定位在該腔室主體內。該基板支撐基座包括複數個基座冷卻通道,以使冷卻流體流動以在處理期間冷卻該基板支撐基座。該處理空間內的燈絲定位在該處理腔室內的該基板支撐基座上方。控制器耦接該處理腔室,該控制器具有:第一組態,以將該燈絲加熱至燈絲溫度;第二組態,以將該基板支撐基座冷卻至基座溫度;第三組態,以將該腔室蓋及/或腔室主體冷卻至腔室溫度;以及第四組態,以控制氣體流過該氣體入口且交錯該燈絲。
描述本案揭露內容之數個示範性實施例之前,應瞭解本案揭露內容並非限於下文敘述中提出的構造或製程步驟的細節。本案揭露內容能有其他實施例,且能以各種方式實施或執行。
如在此說明書及所附申請專利範圍中所用,術語「基板」是指上面有製程作用的表面,或表面的部分。熟悉此技術者也會瞭解,對於基板的參考對象也可指基板的一部分,除非上下文另外清楚指出。此外,對基板上之沉積的參考對象可意味裸基板及上面形成或沉積有一或多個膜或特徵的基板。
如本文所用的「基板」是指,上面執行膜處理的任何基板或基板上形成的材料表面。舉例而言,上面能夠執行處理的基板表面包括(但不限於)下述材料,諸如:矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜的氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、及任何其他材料,諸如金屬、金屬氮化物、金屬合金、及其他導電材料,視應用而定。基板包括(而非限制)半導體晶圓。基板可暴露至預處理製程,以研磨、蝕刻、還原、氧化、氫氧化(或者,若不然則是生成或接枝目標的化學部分(moiety)以授予化學功能性)、退火及/或烘烤該基板表面。除了直接在基板本身表面上進行處理之外,在本案揭露內容中,所揭露的膜處理步驟之任一者也可在下層上執行,該下層形成於基板上,在下文中會更詳細揭露,且希望術語「基板表面」包括如上下文所指的此類下層。因此,舉例而言,在膜/層或部分的膜/層已沉積於基板表面上時,有新沉積的膜/層的暴露表面變成該基板表面。給定的基板表面包含什麼,會取決於要沉積什麼材料,以及所使用的特定化學條件。
圖1顯示基板100之剖面視圖,該基板100有兩個特徵110(例如溝槽)。圖1顯示具有兩個特徵的基板以為了說明;然而,熟悉此技術者會瞭解,可有更少或更多個特徵。特徵110的形狀可為任何適合的形狀,包括(但不限於)溝槽與圓柱狀介層窗。特定實施例中,特徵110是溝槽。如在這方面所用,術語「特徵」意味任何刻意的表面不規則。一些實施例中,該特徵是溝槽。基於本案揭露內容之目的,溝槽具有頂部、兩個側壁、及底部,該兩個側壁從一表面向下延伸。特徵可具有任何適合的深寬比(特徵之深度對特徵之在其開口處的寬度的比例)。一些實施例中,該深寬比大於或等於約5:1、10:1、15:1、20:1、25:1、30:1、35:1、或40:1。一些實施例中,每一側壁實質上正交底部。一些實施例中,每一側壁相對於底部以大於90度的角度傾斜,使得該表面處的開口大於該特徵之下部處。
基板100可包括兩種材料,即第一材料120與第二材料130。一些實施例中,該第一材料120與該第二材料130相同。一些實施例中,該第一材料120與該第二材料130不同。
特徵110從基板表面125延伸進入基板100達距離D而至底部115。特徵110具有第一側壁111及第二側壁112,該第一側壁111與該第二側壁112界定特徵110之寬度W。由該等側壁及底部形成的開放區域稱作縫隙。填充該縫隙的材料稱作為縫隙填充物。
本案揭露內容之一或多個實施例涉及處理基板之方法,以透過使用熱絲化學氣相沉積(HWCVD)在基板特徵中提供無接縫SiN縫隙填充物。熱絲CVD製程類似本技術中一般已知的CVD製程,但有額外的熱絲部件。不受理論所限制,HWCVD製程利用在一或多種反應性物種流動交錯熱絲時在該反應性物種中生成的自由基。如在此說明書以及所附的申請專利範圍中所用,術語「熱絲」意味任何如下所述之元件:可被加熱至足以在流動交錯該元件的流體中生成自由基的元件。一些實施例中,該熱絲是一或多個金屬燈絲。一些實施例中,該熱絲是包括鎢、鉭、或釕之一或多者的燈絲。
該基板處理開始於,將具特徵之基板定位在處理腔室內的冷卻基座上。該冷卻基座在基板暴露至反應性氣體的同時維持基板之溫度。一些實施例中,將該基板溫度維持在低於或等於約50ºC。一些實施例中,該基板溫度維持在低於或等於約25ºC。
處理腔室具有腔室壁與腔室蓋。腔室壁與腔室蓋組裝成在處理期間維持腔室溫度。一些實施例中,該腔室蓋與腔室壁被冷卻至低於或等於約50ºC的腔室溫度。一些實施例中,該基板溫度與腔室溫度大約為相同溫度。
一或多個燈絲位在處理腔室內,該等燈絲能夠作為熱絲。該一或多個燈絲的溫度是透過例如施加電流通過該燈絲而上升。一些實施例中,該燈絲溫度維持在約200ºC至約1500ºC的範圍內,或是約1000ºC至約1500ºC的範圍內,或是約1100ºC至約1400ºC的範圍內。一些實施例中,該燈絲溫度維持在低於約1500ºC、1400ºC、1300ºC、或1200ºC的溫度。出乎意料地,已發現大於1500ºC的燈絲溫度造成不良的膜形成。一或多個實施例中,避免該燈絲溫度達到超過1500ºC。
可控制基板與燈絲之間的距離。一些實施例中,該基板定位在離處理腔室內之燈絲固定的距離。一些實施例中,基板與燈絲之間的距離是在約1cm至約10cm的範圍內,或在約2cm至約8cm的範圍內,或在約3cm至約5cm的範圍內。一些實施例中,該基板與燈絲之間的距離為約3cm、或約4cm、或約5cm。一些實施例中,該基板(或基板支撐件)與燈絲之間的距離小於或等於約8cm、7cm、6cm、或5cm。
引導反應氣體流交錯熱絲而朝向基板。一些實施例中,將該反應氣體流引導成正交該熱絲。因反應氣體交錯熱絲,所以在反應氣體中會產生複數個自由基。一些實施例的燈絲沿著與基板或基板支撐件平行的平面延伸,且反應氣體流垂直於該基板或基板支撐件,使得氣體正交熱絲流動,而不沿著熱絲的長度流動。
希望如本文所用的「脈衝」或「分劑(dose)」是指一氣體的量為間歇式或不連續地引入處理腔室。每一脈衝內的特定化合物的量可隨時間變化,取決於脈衝持續時間。任何特定的氣體可包括單一化合物或兩種或更多種化合物之混合物/組合。
每一脈衝/分劑的持續時間是可變的,且可經調整以適應例如處理腔室之體積容量以及與處理腔室耦接的真空系統的能力。此外,處理氣體的分劑時間可根據下述因子而變化:處理氣體的流速、處理氣體的溫度、控制閥的類型、運用的處理腔室的類型、以及處理氣體之成分反應且形成適合的層的能力。分劑時間也可根據所形成的層的類型及基板的幾何而變化。分劑時間應該夠長,以提供足以吸附/化學吸附至實質上基板整體表面上且在上面形成反應性物種之層的化合物體積。
可以一或多個脈衝或連續地提供反應性氣體。該反應性氣體之流速可為任何適合的流速,包括(但不限於),該流速在下述範圍內:在約1至約5000sccm的範圍內、或在約2至約4000sccm的範圍內、或在約3至約3000sccm的範圍內、或在約5至約2000sccm的範圍內、或在約5至約1000sccm的範圍內、或在約5至約500sccm的範圍內、或在約5至約200sccm的範圍內、或在約5至約100sccm的範圍內。可在任何適當壓力提供該反應性氣體,該適當壓力包括(但不限於)在下述範圍內的壓力:在約5毫托至約25托的範圍內、或在約100毫托至約20托的範圍內、或在約5托至約20托的範圍內、或在約50毫托至約2000毫托的範圍內、或在約100毫托至約1000毫托的範圍內、或在約200毫托至約500毫托的範圍內。
一些實施例中,該反應性氣體包括載氣。該載氣可與反應性物種混合,且可脈衝輸送或為連續流動。一些實施例中,該載氣以約1至約5000sccm之範圍內的恆定流速流進處理腔室。該載氣可為不干涉膜沉積的任何氣體。例如,該載氣可包括下述之一或多者:氬氣、氦氣、氫氣、氮氣、氖氣、或類似物、或上述氣體之組合。一或多個實施例中,該載氣在流進處理腔室之前先與該反應性物種混合。
反應性氣體包括第一反應性物種與第二反應性物種。一些實施例中,該第一反應性物種與該第二反應性物種同時流動。一些實施例中,該第一反應性物種與該第二反應性物種依序流動。一些實施例中,第一反應性氣體(例如矽烷前驅物)流進腔室,使得第一反應性氣體不接觸熱絲,同時第二反應性氣體(例如氨)及第三反應性氣體(例如氫)流動使得各者接觸熱燈絲。一些實施例中,第一反應性氣體與第二反應性氣體(例如,矽烷與氨)流動而使得該等氣體不接觸熱燈絲,且第三反應性氣體(例如氫氣)接觸熱燈絲,且裂解成氫自由基,並且,在往基板的路上,該等氫自由基與第一反應性氣體及第二反應性氣體反應而形成SiN。
第一反應性物種包括矽前驅物。一些實施例中,矽前驅物包括甲矽烷、乙矽烷、更高級的矽烷或矽基鹵化物之一或多者。如此說明書及所附之申請專利範圍中所用,術語「更高級的矽烷」意味,具有通式Sin H2n+2 的任何物種,其中n大於2。如此說明書及所附之申請專利範圍中所用,術語「矽基鹵化物」意味,具有通式Sin Hy X2n+2-y 的任何物種,其中y是在0至2n+1的範圍,且x包括F、Cl、Br、或I之一或多者。一些實施例中,該矽基鹵化物的鹵化物實質上不包括氟原子。如此說明書及所附之申請專利範圍中所用,術語「實質上不包括氟原子」意味,在原子基礎上,氟原子佔鹵素原子的低於或等於約5%、2%、或1%。一些實施例中,該矽前驅物基本上由甲矽烷組成。如此說明書及所附之申請專利範圍中所用,術語「基本上由……組成」意味該矽前驅物在莫耳基礎上為大於或等於約95%、98%、或99%的甲矽烷。
可以一或多個脈衝或連續地提供第一反應性物種。該反應性氣體之流速可為任何適合的流速,包括(但不限於),該流速在下述範圍內:在約1至約1000sccm的範圍內、或在約2至約500sccm的範圍內、或在約3至約200sccm、或在約5至約100sccm的範圍內、或在約10至約50sccm的範圍內、或在約15至25sccm的範圍內。一些實施例中,該第一反應性物種之流速小於或等於約50sccm、45sccm、40sccm、35sccm、30sccm、25sccm、20sccm或15sccm。可在任何適當壓力提供該第一反應性物種,包括(但不限於)下述壓力:在約5毫托至約25托的範圍內、或在約100毫托至約20托的範圍內、或在約5托至約20托的範圍內、或在約50毫托至約2000毫托的範圍內、或在約100毫托至約1000毫托的範圍內、或在約200毫托至約500毫托的範圍內。
第二反應性物種包括氮前驅物。一些實施例中,該氮前驅物包括下述之一或多者:N2 、N2 O、NO2 、NH3 、N2 H4 、或上述各者之衍生物。一些實施例中,該氮前驅物基本上由氨組成。如在這方面所用,術語「基本上由……組成」意味該氮前驅物在莫耳基礎上為大於或等於約95%、98%、或99%的氨。
可以一或多個脈衝或連續地提供第二反應性物種。該反應性氣體之流速可為任何適合的流速,包括(但不限於),該流速在下述範圍內:在約1至約1000sccm的範圍內、或在約2至約500sccm的範圍內、或在約3至約200sccm、或在約5至約100sccm的範圍內、或在約10至約50sccm的範圍內、或在約15至25sccm的範圍內。一些實施例中,該第二反應性物種是以下述流速提供:小於或等於約50sccm、45sccm、40sccm、35sccm、30sccm、25sccm、20sccm或15sccm。可在任何適當壓力提供該第二反應性物種,包括(但不限於)下述壓力:在約5毫托至約25托的範圍內、或在約100毫托至約20托的範圍內、或在約5托至約20托的範圍內、或在約50毫托至約2000毫托的範圍內、或在約100毫托至約1000毫托的範圍內、或在約200毫托至約500毫托的範圍內。
一些實施例中,與第一反應性物種或第二反應性物種之一或多者一同包括第三反應性物種。該第三反應性物種可與第一反應性物種或第二反應性物種之一或多者共同流入或是可分別流入處理腔室中。一些實施例中,該第三反應性氣體包括氫氣。可以一或多個脈衝或連續地提供第三反應性物種。該反應性氣體之流速可為任何適合的流速,包括(但不限於),該流速在下述範圍內:在約1至約1000sccm的範圍內、或在約2至約500sccm的範圍內、或在約3至約200sccm、或在約5至約100sccm的範圍內、或在約10至約50sccm的範圍內、或在約15至25sccm的範圍內。一些實施例中,該第三反應性物種是以下述流速提供:小於或等於約50sccm、45sccm、40sccm、35sccm、30sccm、25sccm、20sccm或15sccm。可在任何適當壓力提供該第三反應性物種,包括(但不限於)下述壓力:在約5毫托至約25托的範圍內、或在約100毫托至約20托的範圍內、或在約5托至約20托的範圍內、或在約50毫托至約2000毫托的範圍內、或在約100毫托至約1000毫托的範圍內、或在約200毫托至約500毫托的範圍內。
一些實施例中,第三反應性物種暴露至燈絲或交錯該燈絲流動,而第一反應性物種及第二反應性物種並不交錯該燈絲流動。一些實施例中,該第一反應性物種、第二反應性物種、第三反應性物種之一者交錯熱燈絲流動,而其餘兩個物種不暴露至該熱燈絲。
除了上述之外,在將基板暴露至反應性氣體的同時可調控額外的製程參數。例如,一些實施例中,處理腔室可維持在約0.2至約100托之壓力,或在約0.3至約90托之範圍內、或在約0.5至約80托之範圍內、或在約1至約50托之範圍內、或在約2至約25托之範圍內、或在約5至約20托之範圍內。
參考圖1,SiN縫隙填充物210形成於基板100上。在縫隙內,縫隙填充物之部分的膜沿著側壁111、112及底部115形成。當此膜形成時,如果在底部以縫隙填充物填充之前縫隙的頂部閉合,則存在形成接縫的可能性。愈高深寬比的特徵更可能在沉積期間形成接縫,因為特徵頂部處的膜傾向夾止而使得孔隙被封閉在沉積的縫隙填充物內。如在這方面所用,術語「接縫」意味介於側壁111、112之間的任何空間或孔隙,其中該孔隙體積之體積大於該縫隙或其他特徵之體積的1%。一些實施例中,所沉積的SiN縫隙填充物實質上無接縫。
一些實施例中,SiN縫隙填充物的原子氮含量在下述範圍內:佔所沉積的總隙縫填充物的約5%至約60%、或約10%至約50%、或約15%至約40%、或約20%至約30%。
填充縫隙後,可透過化學機械平坦化(CMP)製程移除任何過載物(即,沉積在縫隙外的基板頂部上的SiN,並未顯示在圖1中)。一些實施例中,執行CMP製程使得SiN縫隙填充物210的頂部220大約與基板表面125共平面。一些實施例中,SiN縫隙填充物210的頂部220實質上與基板表面125共平面。如以此方式所用,術語「實質上共平面」意味基板表面形成的平面與SiN縫隙填充物形成的平面是在±5Å、4Å、3Å、或2Å以內。
本案揭露內容之額外實施例涉及處理腔室。圖2描繪系統400,該系統400適合用於根據本案揭露內容之一些實施例處理基板。系統400可包括控制器450及處理腔室402,該處理腔室402具有排放系統420以從處理腔室402之內部移除過量處理氣體、處理副產物、或類似物。示範性處理腔室可包括化學氣相沉積(CVD)或其他處理腔室,這些腔室可購自美國加州Santa Clara的應用材料公司。可類似地使用其他適合的處理腔室。
處理腔室402具有腔室主體404與腔室蓋406。一些實施例中,腔室主體404與腔室蓋406可包括用於控制腔室溫度的機構,諸如冷卻裝置。一些實施例中,腔室主體404包括複數個腔室主體冷卻通道471,以使冷卻流體流得以在處理期間冷卻腔室主體404。一些實施例中,該腔室蓋406包括複數個腔室蓋冷卻通道472,以使冷卻流體流得以在處理期間冷卻腔室蓋406。
腔室主體404與腔室蓋406大致上包圍處理空間405。該處理空間405可界定在例如基板支撐基座408與一或多個氣體入口之間,該基板支撐基座408配置在處理腔室402內以在處理期間於該基板支撐基座408上支撐基板410,該氣體入口諸如耦接腔室蓋406的噴頭414及/或設置在預定位置的噴嘴。一些實施例中,設備301可耦接配置在腔室主體404與腔室蓋406之間的處理腔室402。一些實施例中,可經由噴頭414提供一或多種處理氣體至燈絲組件(熱絲源)106的燈絲308,以助於處理空間405內的製程。電源供應器460(例如DC電源供應器)耦接設備301,以提供電力給燈絲308。
一些實施例中,基板支撐基座408可包括一機構,該機構將基板410保持或支撐在基板支撐基座408之表面上,該機構諸如靜電吸盤、真空吸盤、基板保持夾鉗、或類似物(圖中未示)。一些實施例中,基板支撐基座408可包括用於控制基板溫度的機構,諸如冷卻裝置。一些實施例中,基板支撐基座408包括複數個基座冷卻通道473,以使冷卻流體流得以在處理期間冷卻基板支撐基座408。
舉例而言,一些實施例中,基板支撐基座408可包括RF偏壓電極440。該RF偏壓電極440可透過一或多個各別的匹配網絡(圖中顯示的匹配網絡436)耦接一或多個偏壓電源(圖中顯示一個偏壓電源438)。該一或多個偏壓電源可為能夠產生多達12,000W,頻率為約2MHz、或約13.56MHz、或約60MHz。一些實施例中,可設置兩個偏壓電源以透過連接RF偏壓電極440的各別匹配網絡耦接RF電力,各別頻率為約2MHz及約13.56MHz。一些實施例中,可設置三個偏壓電源以透過連接RF偏壓電極440的各別匹配網絡耦接RF電力,各別頻率為約2MHz、約13.56MHz、及約60MHz。至少一個偏壓電源可提供連續式或是脈衝式電力。一些實施例中,作為替代方案,偏壓電源可為DC或脈衝式DC源。
基板410可經由處理腔室402之壁中的開口412進入處理腔室402。該開口412可透過狹縫閥418(或其他機構)選擇性密封,以透過開口412選擇性提供對腔室內部的進出。基板支撐基座408可耦接升舉機構434,該升舉機構434可控制基板支撐基座408在下方位置(如圖所示)及可選的上方位置之間的位置,該下方位置適合將基板經由開口412移送進出腔室,而該上方位置適合處理。可選擇處理位置以使特定製程的製程均勻度最大化。當處於上升的處理位置之至少一者時,基板支撐基座408可配置在開口412上方,以提供對稱處理區域。
氣體供應源462可耦接設備301及/或噴頭414,以將一或多種處理氣體提供至設備301及/或噴頭414以供處理。例如,氣體供應源462可耦接腔室主體404,而所提供的氣體行進通過腔室主體404、通過容座102(例如,經由導管140),且通過腔室蓋406而至噴頭414。作為替代方案,氣體供應源462可直接耦接噴頭,如圖中以虛線所示。有利的是,設備301可組裝成與處理腔室402界面相接。儘管圖2中顯示噴頭414,但可設置額外的或替代的氣體入口,諸如配置在處理腔室402之頂板中或在側壁上的噴嘴或入口,或者該噴嘴或入口位在其他適合提供氣體至處理腔室402之位置,諸如處理腔室之底座、基板支撐基座的周圍、或類似位置。
圖2顯示排列在x-y軸(該y軸進入及離開頁面)的燈絲308。不管用於將氣體引導至處理腔室402的方法是什麼,在氣體暴露至基板之前,該氣體流動通過含有燈絲308的平面,而非沿著含有燈絲308的平面流動。如在本案揭露內容中所用,術語「通過」意味該氣流的入射角相對於含有該等燈絲的平面大於或等於45度。參考圖2,氣體沿著z軸且通過燈絲308。
排放系統420一般包括泵送充氣部424及一或多個導管,該導管將泵送充氣部424經由例如一或多個入口422(圖2中顯示兩個入口)耦接處理腔室402之內部空間(且大致上,處理空間405)。真空泵428可經由泵送通口426耦接泵送充氣部424,以將廢氣泵送離開處理腔室402。真空泵428可流體耦接排放出口432,以依照需要發送廢氣至適當的排放處理設備。閥430(諸如閘閥,或類似物)可配置在泵送充氣部424中,以結合真空泵428之操作助於控制排放氣體的流速。儘管顯示z軸運動的閘閥,但可利用任何適合的用於控制廢氣流動的製程相容閥。
為了助於如上文所述般控制處理腔室402,控制器450可為任何形式的通用電腦處理器之其中一者,該處理器可用在工業設施中,以控制各種腔室及次處理器。CPU 452的記憶體(或電腦可讀媒體)456可為易於取得之記憶體之一或多者,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟、或任何其他形式的本地端或遠端數位儲存裝置。支援電路454耦接CPU 452,以用習知方式支援處理器。這些電路包括高速緩衝儲存器、電源供應器、時脈電路、輸入/輸出電路、及次系統,及類似物。
製程可大致上儲存於記憶體456中而作為軟體常式458,當由CPU 452執行該軟體常式時,引發處理腔室402執行本案揭露內容之製程。軟體常式458也可由第二CPU(圖中未示)儲存及/或執行,該第二CPU位在由CPU 452控制的硬體的遠端。本案揭露內容之方法的一些或全部也可在硬體中執行。就此而言,製程可在硬體中實施,且使用電腦系統於硬體中執行,該硬體例如為應用專一積體電路或是其他類型的硬體實施方式,或為軟體及硬體之組合。可在基板410定位於基板支撐基座408之後執行軟體常式458。當軟體常式458由CPU 452執行時,該軟體常式458將通用電腦轉換成專用電腦(控制器450),該專用電腦控制腔室操作,使得執行該等製程。
圖3顯示類似圖2中之系統400的另一實施例。圖3中的系統400包括穿過腔室主體404的氣體入口415與氣體入口416。氣體入口415與氣體入口416可用於提供不交錯燈絲308而傳送的反應性物種流。一些實施例中,第一反應性物種與氣體入口415流體相通(圖中未示),且穿過氣體入口415流進處理空間405,且第二反應性物種與氣體入口416流體相通(圖中未示),且穿過氣體入口416流進處理空間405,使得第一反應性物種與第二反應性物種不交錯燈絲308而傳送。第三反應性物種可流過噴頭414而交錯燈絲308傳送,進入處理空間405。交錯燈絲308傳送的第三反應性物種將會被自由基化或是電子激發至自由基態,且能夠與第一反應性物種、第二反應性物種、及/或基板410反應。
控制器450可包括非瞬變記憶體(例如硬碟機)或瞬變記憶體(例如,隨機取記憶體(RAM))之一或多者,該等記憶體可儲存、裝載及/或操作程式以控制處理腔室。該控制器450可包括電路與電子元件,該等電路與電子元件組裝成與處理腔室之部件界面相接且控制該等部件。一些實施例中,控制器450設有複數個組態,該等組態可一起、依序、或程式化的順序操作。一些實施例中,控制器450具有第一組態以將燈絲308加熱到燈絲溫度。一些實施例中,控制器450具有第二組態已將基板支撐基座408冷卻至基座溫度。一些實施例中,控制器450具有第三組態以將腔室蓋406及/或腔室主體404冷卻到腔室溫度。一些實施例中,控制器450具有第四組態以控制通過氣體入口415、氣體入口416、或噴頭414的一或多者的氣流。一些實施例中,該第四組態控制通過噴頭414及交錯燈絲308的氣流。該控制器450之組態可包括指令集,以實施本文所述之部件與反應性氣體的製程參數。
此說明書中對於「一個實施例」、「某些實施例」、「一或多個實施例」、或「一實施例」的參考對象是意味,在本案揭露內容的至少一個實施例中納入與該實施例相關描述的特定特徵、結構、材料、或特性。因此,在此說明書全文各處出現諸如「一或多個實施例中」、「某些實施例中」、「一或多個實施例中」、或「一實施例中」之類的詞彙非必然指本案揭露內容的相同實施例。再者,該等特定特徵、結構、材料、或特性可在一或多個實施例中以任何適合方式組合。
儘管在本文已參考特定實施例描述本案揭露內容,但應瞭解這些實施例僅為說明本案揭露內容的原則與應用。對於熟悉此技術者而言,很明顯可對本案揭露內容之方法與設備製作各種修飾形態與變化形態,但不可背離本案揭露內容之精神與範疇。因此,本案揭露內容可包括落在所附的申請專利範圍之範疇內的修飾形態及變化形態以及他們的等效例。
100‧‧‧基板
102‧‧‧容座
106‧‧‧燈絲組件
110‧‧‧特徵
111、112‧‧‧側壁
115‧‧‧底部
120‧‧‧第一材料
125‧‧‧基板表面
130‧‧‧第二材料
140‧‧‧導管
210‧‧‧縫隙填充物
220‧‧‧頂部
301‧‧‧設備
308‧‧‧燈絲
400‧‧‧系統
402‧‧‧處理腔室
404‧‧‧腔室主體
405‧‧‧處理空間
406‧‧‧腔室蓋
408‧‧‧基板支撐基座
410‧‧‧基板
412‧‧‧開口
414‧‧‧噴頭
415‧‧‧氣體入口
416‧‧‧氣體入口
418‧‧‧狹縫閥
420‧‧‧排放系統
422‧‧‧入口
424‧‧‧泵送充氣部
426‧‧‧泵送通口
428‧‧‧真空泵
430‧‧‧閥
432‧‧‧排放出口
434‧‧‧升舉機構
436‧‧‧匹配網絡
438‧‧‧偏壓電源
440‧‧‧RF偏壓電極
450‧‧‧控制器
452‧‧‧CPU
454‧‧‧支援電路
456‧‧‧記憶體
458‧‧‧軟體常式
460‧‧‧電源供應器
462‧‧‧氣體供應源
471‧‧‧腔室主體冷卻通道
472‧‧‧腔室蓋冷卻通道
473‧‧‧基座冷卻通道
透過參考實施例(其中一些實施例是於附圖說明),可得到上文簡要總結的本案揭露內容的更特定的描述,而得以詳細瞭解本案揭露內容的上文所記載之特徵。然而,應注意,附圖僅說明本案揭露內容之典型實施例,因此不應將該等附圖視為限制本案揭露內容之範疇,因為本案揭露內容可容許其他等效實施例。
圖1顯示根據本案揭露內容之一或多個實施例的縫隙填充製程的剖面示意圖;
圖2顯示根據本案揭露內容的一或多個實施例的示範處理腔室;以及
圖3顯示根據本案揭露內容的一或多個實施例的處理腔室之實施例。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (20)

  1. 一種處理基板的方法,該方法包括: 將該基板定位在一處理腔室中的一冷卻基座上,該基板具有一基板表面,該基板表面有至少一個特徵從該基板表面延伸進入該基板中達一距離,該至少一個特徵具有一底部以及至少一個側壁;提高一燈絲的溫度以提供該處理腔室內的一熱絲;將一反應性氣體之流引導交錯該熱絲且朝向該基板表面,該反應性氣體包括:一第一反應性物種,包括一矽前驅物;以及,一第二反應性物種,包括一氮前驅物,該熱絲在該反應性氣體中產生複數個自由基;及將該基板暴露至包括該等自由基的該反應性氣體,而在該特徵中形成一SiN縫隙填充物;其中該冷卻基座將該基板之溫度在整個暴露期間維持在低於或等於約50°C,且該SiN縫隙填充物實質上無接縫。
  2. 如請求項1所述之方法,其中該燈絲的溫度是在約200°C至約1500°C的範圍內。
  3. 如請求項1所述之方法,其中該第一反應性物種是以小於或等於約50sccm的速率流動。
  4. 如請求項1所述之方法,其中該矽前驅物包括下述之一或多者:甲矽烷、乙矽烷、丙矽烷、丁矽烷、更高級的矽烷或一矽基鹵化物。
  5. 如請求項4所述之方法,其中該矽前驅物包括甲矽烷(SiH4 )。
  6. 如請求項1所述之方法,其中該氮前驅物包括下述之一或多者:N2 、N2 O、NO2 、NH3 、N2 H2 、上述物質的衍生物、或上述物質之組合。
  7. 如請求項6所述之方法,其中該氮前驅物包括氨(NH3 )。
  8. 如請求項1所述之方法,其中該基板定位在該處理腔室內離該燈絲一固定的距離。
  9. 如請求項8所述之方法,其中該固定的距離是在約3cm至約5cm之範圍內。
  10. 一種處理基板之方法,該方法包括: 將一基板定位在一處理腔室中的一冷卻基座上,該基板離一燈絲的距離為約5cm,該基板具有一基板表面,該基板表面有至少一個特徵從該基板表面延伸進入該基板中達一距離,該至少一個特徵具有底部以及至少一個側壁; 將該燈絲的溫度提高至1000°C至約1500°C的範圍,以提供該處理腔室內的一熱絲; 將一反應性氣體之流引導成正交該熱絲且朝向該基板表面,該反應性氣體包括:一第一反應性物種,基本上由矽烷組成;以及,一第二反應性物種,基本上由氨組成,該第一反應性物種是以小於或等於約50sccm之速率流動,且該熱絲在該反應性氣體中產生複數個自由基;及 將該基板暴露至包括該等自由基的該反應性氣體,而在該特徵中提供一實質上無接縫的SiN縫隙填充物; 其中該冷卻基座將該基板之溫度在暴露期間維持在低於或等於約50°C。
  11. 一種處理腔室,包括: 一腔室主體,具有複數個腔室主體冷卻通道,以使一冷卻流體得以流過該腔室主體而冷卻該腔室主體; 一腔室蓋,具有複數個腔室蓋冷卻通道,以使冷卻流體得以流過該腔室蓋而冷卻該腔室蓋,該腔室蓋包括一氣體入口,以引導一氣流朝向該處理腔室內的一處理空間; 一基板支撐基座,定位在該腔室主體內,該基板支撐基座包括複數個基座冷卻通道,以使冷卻流體流動以在處理期間冷卻該基板支撐基座; 一燈絲,在該處理空間內,定位在該處理腔室內的該基板支撐基座上方;以及 一控制器,耦接該處理腔室,該控制器具有:一第一組態,以將該燈絲加熱至一燈絲溫度;一第二組態,以將該基板支撐基座冷卻至一基座溫度;一第三組態,以將該腔室蓋及/或腔室主體冷卻至一腔室溫度;以及一第四組態,以控制氣體流過該氣體入口且交錯該燈絲。
  12. 如請求項11所述之腔室,其中該燈絲被加熱到一燈絲溫度,該燈絲溫度是在約200°C至約1500°C的範圍內。
  13. 如請求項12所述之腔室,其中該燈絲被加熱到一燈絲溫度,該燈絲溫度是在約1000°C至約1500°C的範圍內。
  14. 如請求項11所述之腔室,其中該基板支撐基座冷卻到一基座溫度,該基座溫度小於或等於約50°C。
  15. 如請求項14所述之腔室,其中該基板支撐基座冷卻到一溫度,該溫度小於或等於約25°C。
  16. 如請求項11所述之腔室,其中該腔室蓋與該腔室主體冷卻到一腔室溫度,該腔室溫度小於或等於約200°C。
  17. 如請求項11所述之腔室,其中該基板支撐基座、該腔室蓋與該腔室主體冷卻到相同溫度。
  18. 如請求項11所述之腔室,其中該燈絲定位在該基板支撐基座上方約3cm至約5cm的範圍內。
  19. 如請求項18所述之腔室,其中該燈絲定位在該基板支撐基座上方約5cm處。
  20. 如請求項11所述之腔室,進一步包括:一第二氣體入口,組裝成提供反應氣體流至該處理空間,使得該反應性氣體不會流動交錯該燈絲。
TW107117873A 2017-06-16 2018-05-25 用於無孔隙之氮化矽縫隙填充之方法與設備 TW201905228A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/625,797 US20180363133A1 (en) 2017-06-16 2017-06-16 Method and Apparatus for Void Free SiN Gapfill
US15/625,797 2017-06-16

Publications (1)

Publication Number Publication Date
TW201905228A true TW201905228A (zh) 2019-02-01

Family

ID=64657288

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107117873A TW201905228A (zh) 2017-06-16 2018-05-25 用於無孔隙之氮化矽縫隙填充之方法與設備

Country Status (3)

Country Link
US (1) US20180363133A1 (zh)
TW (1) TW201905228A (zh)
WO (1) WO2018232104A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7061049B2 (ja) * 2018-09-10 2022-04-27 株式会社神戸製鋼所 熱フィラメントcvd装置
CN110459464B (zh) * 2019-08-14 2021-09-14 中国科学院微电子研究所 一种厚膜氮化硅的区域挖槽制备方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5079038A (en) * 1990-10-05 1992-01-07 The United States Of America As Represented By The United States Department Of Energy Hot filament CVD of boron nitride films
US5413813A (en) * 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US6214706B1 (en) * 1998-08-28 2001-04-10 Mv Systems, Inc. Hot wire chemical vapor deposition method and apparatus using graphite hot rods
US20040224459A1 (en) * 1999-07-07 2004-11-11 Matsushita Electric Industrial Co., Ltd. Layered structure, method for manufacturing the same, and semiconductor element
WO2004057653A2 (en) * 2002-12-20 2004-07-08 Applied Materials, Inc. A method and apparatus for forming a high quality low temperature silicon nitride layer
US7300890B1 (en) * 2003-07-17 2007-11-27 Midwest Research Institute Method and apparatus for forming conformal SiNx films
JP2005235987A (ja) * 2004-02-19 2005-09-02 Toshiba Corp 半導体記憶装置及び半導体記憶装置の製造方法
US7132353B1 (en) * 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8287688B2 (en) * 2008-07-31 2012-10-16 Tokyo Electron Limited Substrate support for high throughput chemical treatment system
US20100041241A1 (en) * 2008-08-12 2010-02-18 Texas Instruments Incorporated High density plasma dielectric desposition for void free gap fill
US8272347B2 (en) * 2009-09-14 2012-09-25 Tokyo Electron Limited High temperature gas heating device for a vapor deposition system
WO2011109148A2 (en) * 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US9287113B2 (en) * 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9197027B2 (en) * 2012-07-05 2015-11-24 C2C Link Corporation Method for making laser module
US8921235B2 (en) * 2013-03-04 2014-12-30 Applied Materials, Inc. Controlled air gap formation
KR102403706B1 (ko) * 2013-09-27 2022-05-30 어플라이드 머티어리얼스, 인코포레이티드 심리스 코발트 갭-충전을 가능하게 하는 방법

Also Published As

Publication number Publication date
US20180363133A1 (en) 2018-12-20
WO2018232104A1 (en) 2018-12-20

Similar Documents

Publication Publication Date Title
US11908684B2 (en) Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
CN109690736B (zh) 高压缩/拉伸的翘曲晶片上的厚钨硬掩模膜沉积
US11101174B2 (en) Gap fill deposition process
CN107533962B (zh) 经由原子层沉积(ald)循环选择性沉积金属硅化物的方法
TWI687994B (zh) 用於經由原子層沉積循環之蝕刻的方法
TW201908507A (zh) 使用依序沉積-蝕刻-處理製程的氧化矽及氮化矽之由下而上的生長
WO2016111833A1 (en) Direct deposition of nickel silicide nanowire
US10714388B2 (en) Method and apparatus for depositing cobalt in a feature
US10600685B2 (en) Methods to fill high aspect ratio features on semiconductor substrates with MOCVD cobalt film
JP7123189B2 (ja) 酸化ハフニウムの薄膜におけるドーパント濃度のチューニング可能性
TWI830751B (zh) 低溫高品質的介電膜及其形成方法
TWI796388B (zh) 減少或消除鎢膜中缺陷的方法
JP7374308B2 (ja) 誘電体材料を堆積する方法及び装置
TWI701714B (zh) 形成硬遮罩層的方法
TW201905228A (zh) 用於無孔隙之氮化矽縫隙填充之方法與設備
WO2021030309A1 (en) Low-k dielectric films
TWI838267B (zh) 薄膜及沉積薄膜的方法
US20230416909A1 (en) Method for formation of conformal ald sio2 films
TWI837174B (zh) 沉積介電材料之方法
TW202104632A (zh) 用來填充形成於基材表面內之凹部的循環沉積方法及設備
CN118176564A (zh) 等离子体增强的成膜方法
TW202025283A (zh) 沉積介電材料之方法