TW201842602A - 基於晶圓的腐蝕以及時間依賴型化學效應 - Google Patents

基於晶圓的腐蝕以及時間依賴型化學效應 Download PDF

Info

Publication number
TW201842602A
TW201842602A TW107111834A TW107111834A TW201842602A TW 201842602 A TW201842602 A TW 201842602A TW 107111834 A TW107111834 A TW 107111834A TW 107111834 A TW107111834 A TW 107111834A TW 201842602 A TW201842602 A TW 201842602A
Authority
TW
Taiwan
Prior art keywords
substrate
residual chemical
chemical reaction
sensor
probe pad
Prior art date
Application number
TW107111834A
Other languages
English (en)
Other versions
TWI723257B (zh
Inventor
蘭納德 泰迪許
班傑明 施瓦茲
李 昌憲
謝秉翰
艾德奧托 狄亞茲
丹尼爾T 麥可米克
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201842602A publication Critical patent/TW201842602A/zh
Application granted granted Critical
Publication of TWI723257B publication Critical patent/TWI723257B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • H01L23/5223Capacitor integral with wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5228Resistive arrangements or effects of, or between, wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/642Capacitive arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/647Resistive arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Automation & Control Theory (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Drying Of Semiconductors (AREA)
  • Testing Resistance To Weather, Investigating Materials By Mechanical Methods (AREA)
  • Peptides Or Proteins (AREA)
  • Investigating Or Analyzing Materials By The Use Of Electric Means (AREA)

Abstract

實施例也可包含殘餘化學反應診斷裝置。該殘餘化學反應診斷裝置可包含一基板及在該基板上形成的一殘餘化學反應感應器。在一實施例中,該殘餘化學反應感應器提供電輸出以回應於殘餘化學反應的出現。在一實施例中,該基板為一裝置基板,且該感應器形成於該裝置基板的一劃線中。在一替代實施例中,該基板為一處理發展基板。在一些實施例中,該殘餘化學反應感應器包含:一第一探針墊,其中複數個第一臂自該第一探針墊延伸出來;及一第二探針墊,其中複數個第二臂自該第二探針墊延伸出來且與該等第一臂相互交叉。

Description

基於晶圓的腐蝕以及時間依賴型化學效應
實施例相關於半導體製造的領域,且特定地,相關於用於在處理操作實作之後提供基板上殘餘反應的即時監視之系統及方法。
在半導體製造中的一些處理操作之後,基板上可有保留的殘餘化學反應。該等殘餘化學反應可不利地影響半導體裝置的效能。例如,殘餘化學反應可導致腐蝕、薄膜屬性上的改變、或缺陷。相反地,一些沉積薄膜在後續處理操作之前可需要化學轉換後沉積至最終狀態。然而,發生於後處理的殘餘化學反應並非總是可被完全理解的。例如,殘餘化學反應可以未知速率進行及/或取決於儲存半導體裝置中的條件,例如溫度、濕度、曝露於大氣中的氣體、諸如此類。據此,即時量化化學反應結果的能力可提供能力以最佳化處理條件以最小化殘餘化學反應及/或最佳化處理流程及良率。
然而,現今沒有可取得的裝置可即時量測殘餘化學反應的效應。一些技術存在以決定該等效應,例如,可使用缺陷檢查系統以決定缺陷隨著時間的增加,或可使用橢圓儀(ellipsometer)量測薄膜厚度以決定隨著時間的差異。不幸地,該等量測需要掌握半導體裝置且僅可在監視裝置期間上提供分離的量測。
實施例包含用於在處理基板上決定殘餘化學反應的出現的系統及方法。在一實施例中,用於決定殘餘化學反應的出現的方法可包含以下步驟:在一基板上形成一感應器。在一實施例中,該方法可進一步包含將該基板置於一測試腔室中。在將該基板置於測試腔室中之後,實施例可包含在該基板上執行一診斷程序,其中在該診斷程序期間紀錄來自該感應器的電輸出。此後,實施例可包含基於來自該感應器所記錄的該等電輸出,決定一後續處理操作。
實施例也可包含該基板為一處理發展基板的方法及該基板為一生產基板的方法。根據一實施例,在基板為生產基板時,該感應器可形成於該基板的一劃線中。根據一實施例,該診斷程序包含監視以下一個或更多個之改變:一電容、一電容雜訊底、一電荷量測、一漏電電流、一崩潰電壓、及一電阻。
實施例也可包含殘餘化學反應診斷裝置。該殘餘化學反應診斷裝置可包含一基板及在該基板上形成的一殘餘化學反應感應器。在一實施例中,該殘餘化學反應感應器提供電輸出以回應於殘餘化學反應的出現。在一實施例中,該基板為一裝置基板,且該感應器形成於該裝置基板的一劃線中。在一替代實施例中,該基板為一處理發展基板。在一些實施例中,該殘餘化學反應感應器包含:一第一探針墊,其中複數個第一臂自該第一探針墊延伸出來;及一第二探針墊,其中複數個第二臂自該第二探針墊延伸出來且與該等第一臂相互交叉。
根據多種實施例來描述用於使用製造至基板上的結構之系統及方法,以提供腐蝕及其他時間依賴型化學反應之監視。在以下描述中,提出眾多特定細節以便提供實施例之通盤理解。對發明所屬領域具有通常知識者而言明顯可實現實施例而無須該等特定細節。在其他範例中,不詳細描述公知的態樣以便不會不必要地使實施例失焦。進一步地,應理解所附圖式中展示的多種實施例係圖示性地表示,且不必依比例繪製。
如上述,殘餘化學反應可不利地影響使用半導體處理技術製造的一些裝置的處理。該等殘餘化學反應可導致減低的良率,因為並未完全特徵化整體處理。例如,在材料層圖案化之後(例如,使用蝕刻處理),可在自處理腔室移除基板之後進行殘餘化學反應(例如,腐蝕)。在該等情況下,腐蝕可變更材料層中形成的圖案且導致缺陷。據此,於此描述的實施例提供用於特徵化該等殘餘化學反應的系統及方法。
在一個實施例中,在製造主動裝置之前特徵化殘餘化學反應。在該等實施例中,可將一個或更多個感應器圖案化至處理發展基板上。可由裝置上出現且使用相同處理操作(使用以製造待查驗裝置)製造的相同材料層或多個層來形成該等感應器。據此,可接著監視該等感應器以提供直接相關於處理條件的資訊,裝置也曝露於該等處理條件。因此,可使用該資訊以精製處理操作以最小化殘餘化學反應或使用以量化殘餘化學反應,使得可在裝置的設計中考量殘餘化學反應的效應。
在替代的實施例中,可併行監視殘餘化學反應及主動裝置的生產。例如,可在劃線或生產基板的任何其他面積中製造一個或更多個感應器。為了預測主動裝置上殘餘化學反應的效應,可在感應器位置處加速殘餘化學反應。在一些實施例中,可藉由提供對感應器的局部刺激(例如,電壓、電流、濕度、化學氣體種類、諸如此類)來加速殘餘化學反應。據此,可監視加速的殘餘化學反應以決定殘餘化學反應是否會負面地影響主動裝置。在一實施例中,可接著使用感應器所取得的資料來告知是否重做生產基板、拋棄生產基板、起始額外處理操作(例如,增加經設計以減緩或暫停殘餘化學反應的處理操作,諸如此類)的決定。因此,可改善處理良率,因為可更早且更精確量化整體處理(包含殘餘化學反應)。
進一步地,應理解除了提供連續監視(相較於分離的量測)以外,根據此處描述的實施例所形成的感應器不需要如上述缺陷檢查系統般多的掌握。特定地,實施例包含形成感應器,該等感應器自探針接收電輸入(例如,偏壓電壓、電流、諸如此類)且提供電訊號輸出。例如,感應器可經設計以提供輸出,例如電容、電阻、崩潰電壓、漏電電流、雜訊層級、諸如此類。可連續地記錄該等輸出,且可使用該等輸出隨著時間的改變以決定一個或更多個殘餘化學效應的出現及程度,如下方將更詳細描述。此外,也可控制環境條件以進一步量化殘餘化學反應及/或決定減低或消除殘餘化學反應的條件。
現在參考第1A至1D圖,根據一實施例展示處理之後的材料堆疊上殘餘化學反應的效應的示範圖示。在第1A圖中,根據一實施例展示處理之後的裝置100的橫截面圖示。裝置100包含材料堆疊120,已圖案化材料堆疊120(例如,使用蝕刻處理)以形成穿過材料堆疊120的溝槽125。在圖示的實施例中,展示材料堆疊120為基板105上形成的單一材料層。然而,實施例可包含材料堆疊120,包含以一個或更多個不同材料來形成的一個或更多個材料層。根據一實施例,材料層可包含金屬、半導體、複合介電質、絕緣體、有機層、或任何其他材料。在一實施例中,基板105可為任何合適基板,例如半導體基板(例如,矽、或III-V半導體)、玻璃基板、藍寶石基板、或任何其他材料。在一些實施例中,基板105可包含多個層,例如矽上絕緣體(SOI)基板。
在一實施例中,溝槽125由材料堆疊120的側壁126來界定。在一實施例中,處理半導體裝置100,使得側壁126以距離D彼此間隔開。然而,如上述,完成處理操作之後持續的殘餘化學反應可持續變更材料堆疊120。例如,殘餘化學反應可包含持續變更材料堆疊120的腐蝕。如第1B圖中所展示,在殘餘化學反應持續一週期時間之後,側壁126可以距離D’(大於距離D)彼此間隔開。據此,殘餘化學反應可變更裝置結構。在一些範例中,此改變導致退化的功能性,或甚至可導致失效裝置。精準預測給定條件下一週期時間的殘餘化學反應的結果及/或減低殘餘化學反應的效應之能力提供了考量處理後已知改變及改善整體處理控制的能力。
應理解殘餘化學反應不可均勻地變更材料表面。例如,殘餘化學反應也可為局部化且不會對材料堆疊120產生相似於第1B圖中所圖示的均勻變更。例如,腐蝕可為局部化反應且導致凹痕或在表面上形成團塊。在第1C圖中圖示該實施例。如所圖示,圖案化的材料堆疊120的側壁126發展出複數個團塊127。由於團塊形成的局部化性質,可能不明顯地存在側壁126之間的間隔上的明顯改變。然而,如下方更詳細描述,根據於此描述的實施例形成的感應器可利用團塊所造成的電屬性上的改變來決定殘餘化學反應的存在。
此外,應理解圖案化處理(例如蝕刻)也可留下殘餘蝕刻副產物。典型地,該等副產物可包括聚合物材料。第1D圖中圖示該殘餘蝕刻副產物的範例。在圖示的實施例中,可沿著圖案化的材料堆疊120的側壁126形成聚合物層129。聚合物層129典型地對吸收濕度或其他分子、在升高溫度下燒掉、或在許多不同環境中被修改更敏感。據此,在聚合物層129被修改時,也可使用根據此處描述的實施例形成的感應器來觀察材料堆疊120的電屬性之改變。據此,該等改變可導致誤報。因此,實施例包含在感應器讀數指示殘餘蝕刻副產物改變時(而非殘餘化學反應)用於識別的處理。
現在參考第2A及2B圖,根據多種實施例展示了可使用以監視殘餘化學反應的示範的感應器250的平面視圖圖示。在一實施例中,可自材料堆疊圖案化感應器250,相似於相關於第1A至1D圖之上述材料堆疊。在一實施例中,使用以形成感應器250的材料堆疊可為待查驗的相同材料堆疊。此外,使用以圖案化材料堆疊的處理操作可為使用以圖案化裝置的相同處理操作。因此,感應器所偵測到的任何殘餘化學反應實質相似於主動裝置生產期間出現的殘餘化學反應。
現在參考第2A圖,根據一實施例展示了可使用以監視殘餘反應的示範的感應器250的平面視圖圖示。感應器250可包含第一探針墊2521 及第二探針墊2522 。可調整探針墊252大小使得可放置外部探針以接觸感應器250。在一實施例中,複數個第一臂2541 可自第一探針墊2521 延伸且複數個第二臂2542 可自第二探針墊2522 延伸。第一臂2541 及第二臂2542 可相互交叉,使得每一臂254以距離D與每一相鄰的臂254分開。交叉的臂允許在使用探針以應用電流至探針墊時在感應器250中建立電容。應理解在第2A圖中圖示的感應器250的結構本質為示範性,且實施例可包含具有任何數量的交叉臂及具有任何需要尺寸(取決於大小限制及裝置需求)之感應器250。
實施例可包含監視感應器250的不同電屬性,以便偵測殘餘化學反應。例如,感應器250的電容之改變可由殘餘化學反應造成。一般而言,感應器250的電容之改變可由交叉臂254之間的距離D上的增加或減少造成。例如,交叉臂254之間的距離D可由腐蝕或殘餘蝕刻造成。此外,可監視感應器250的崩潰電壓上的改變。在一些實施例中,可由距離D上的改變或團塊的形成(例如,相關於第1C圖所述)來變更崩潰電壓。雖然此處提供了可如何使用感應器250來識別殘餘化學反應的幾個示範圖示,下方提供更詳細的可如何使用感應器的更通盤說明。
現在參考第2B圖,展示了根據額外實施例的感應器250。在第2B圖中,感應器250可包含被接觸線(trace)255電連接在一起的兩個探針墊252。在一實施例中,接觸線255可為任何需要的長度或寬度,且沿著探針墊252之間的任何需要的路徑。在圖示的實施例中,接觸線255包含之字形圖案,雖然實施例不限於該等設計。可使用如第2B圖中所圖示的感應器250以監視探針墊252之間電阻之改變,以便識別殘餘化學反應。例如,不同分子的吸收或發射可變更感應器250的電阻。
現在參考第3A及3B圖,示意圖示展示可製造感應器250處的範例。在第3A圖中,在處理發展基板320上製造複數個感應器250。將感應器250示意地圖示為區塊以指示可使用根據實施例形成的任何合適的感應器。可在處理發展基板320的表面上的多個位置處形成複數個感應器250。例如,可在接近基板320邊緣及/或接近基板320中央形成感應器,以便決定是否在跨基板不同位置處具有殘餘化學反應上的差異。因為在處理發展基板320上沒有待製造主動裝置,感應器250不限於形成於基板的任何部分上。在圖示的實施例中,基板320上展示七個感應器250,但應理解根據一實施例可在基板320上形成任何數量的感應器250(例如,一個或更多個感應器250)。
現在參考第3B圖,根據一實施例展示生產基板321的部分的平面視圖示意圖。生產基板321可包含複數個裝置區域329,裝置區域329處可製造主動裝置。裝置區域329可藉由劃線328彼此分開,如本領域中所知。實施例可利用劃線328中的空間來製造一個或更多個感應器250。據此,可將用於決定殘餘化學反應的出現的感應器250包含於生產基板321的面積中,否則不會使用於生產功能裝置。
根據一實施例,可在測試腔室中監視在生產基板321或處理發展基板320上形成的感應器250。根據一實施例,在第4圖中圖示測試腔室410的示意圖。在一實施例中,測試腔室410可包含封閉體412。封閉體412可實質密封以便維持所需環境條件。雖然未展示,可藉由供應氣體、濕度、或流體至封閉體或改變封閉體內的壓力來取得封閉體內的測試條件。在一實施例中,封閉體412可包含所需頻率的電磁輻射可通過的窗部或可在封閉體412內包含電磁輻射的來源。
在一實施例中,測試腔室410可包含平板414,可將基板320及/或321放置於平板414上。在一實施例中,平板414可包含加熱及/或冷卻元件以便在測試期間控制基板320及/或321的溫度。根據一實施例,平板414可包含接合至探針418的電子裝置(未展示)。探針418能夠接觸在基板320/321上形成的感應器的探針墊。在圖示的實施例中,展示單一組探針418接觸基板320/321,但應理解可使用任何數量的探針418來接觸單一基板320/321上的複數個感應器。在一額外實施例中,測試腔室410可容納複數個基板320/321。
現在參考第5圖,展示了用於使用根據於此描述的實施例形成的感應器的處理流程590。應理解根據於此描述的實施例形成及根據處理流程590使用的感應器不需要如上述缺陷檢查系統般多的掌握。此外,處理流程590允許連續監視(相較於分離的量測)。特定地,實施例包含形成感應器,該等感應器自探針接收電輸入(例如,偏壓電壓、電流、諸如此類)且提供電訊號輸出。例如,感應器可經設計以提供輸出,例如電容、電阻、崩潰電壓、漏電電流、雜訊層級、諸如此類。可連續地記錄該等輸出,且可使用該等輸出隨著時間的改變以決定一個或更多個殘餘化學效應的出現及程度。此外,也可控制環境條件以進一步量化殘餘化學反應及/或決定減低或消除殘餘化學反應的條件。在一實施例中,可與在生產基板321上形成的感應器250或在處理發展基板320上形成的感應器一起使用處理流程590。
根據一實施例,處理可開始於操作591,包含在基板上形成感應器250。在一實施例中,可在生產基板321上或在處理發展基板上形成感應器250。在任一情況下,可藉由形成材料堆疊(與使用以形成主動裝置相同的材料堆疊)來形成感應器250。可接著使用圖案化處理(例如,蝕刻處理)來圖案化材料堆疊,該圖案化處理實質相似於針對殘餘化學反應的待查驗圖案化處理。在於生產基板321上形成感應器250的實施例中,感應器250的形成可與主動裝置的形成併行。
現在參考操作592,實施例可包含將基板放置進入測試腔室410,相似於相關於第4圖的上述測試腔室。在一實施例中,將基板放置進入測試腔室可包含接合探針418至感應器250的探針墊252。
現在參考操作593,實施例可包含在基板上執行診斷程序。實施例可包含任何數量的診斷程序,對以下判斷可為有用的:決定殘餘化學反應的出現、殘餘化學反應如何隨著時間改變、殘餘化學反應如何回應於不同刺激、諸如此類。根據一實施例,處理590可包含在診斷程序期間紀錄來自感應器的電輸出,如操作594中所展示。
實施例可包含隨著任何需要時間週期且在任何需要的環境條件下執行診斷程序。例如,診斷程序可執行一週期的秒、分鐘、小時、天、週等等。此外,可變更環境條件以識別減低或消除殘餘化學反應的條件。例如,可在執行診斷程序期間控制環境條件,例如大氣(例如,氧氣、惰性氣體、水蒸氣等等)、壓力、溫度、諸如此類。在一實施例中,可在診斷程序期間維持恆常的環境條件,或在執行診斷程序期間環境條件可為可變的。
在一個實施例中,可藉由執行診斷程序來決定殘餘化學反應的出現,該診斷程序包含監視感應器250的電容上的改變。例如,如果感應器的電容隨著時間增加,可指示感應器250上發生腐蝕。替代地,如果感應器的電容隨著時間減少,可指示感應器250的殘餘蝕刻。腐蝕的另一指示可為電容讀數中的寬雜訊底的出現。在另一實施例中,漏電電流中的增加也可指示腐蝕的出現。在一實施例中,崩潰電壓中的減少也可指示腐蝕。相對於崩潰電壓減少,提出:導致感應器臂表面上出現團塊的腐蝕產生電場中局部化的增加,而造成場發射或電流電弧,且在一些極端範例中造成崩潰。
在一實施例中,診斷程序可包含應用單一頻率至探針墊以便量測感應器250的行為。在額外實施例中,可使用多個頻率以量測感應器250的行為(例如,可同時應用不同頻率至感應器,或可應用頻率掃描至感應器)。
然而,應理解由於殘餘化學反應(例如腐蝕),對電容、崩潰電壓、漏電電流、諸如此類的一些改變可能不是整體的。例如,殘餘蝕刻副產物可為混雜因素,可需要考量該混雜因素以便決定是否出現殘餘化學反應。特定地,殘餘蝕刻副產物可能更易受以下的影響:吸收、發射、熱降解、諸如此類。由於該等改變發生在殘餘蝕刻副產物,感應器的電容、崩潰電壓、及漏電電流也可改變。據此,實施例包含多個感應器元件及診斷程序的整合,以消除歸因於殘餘蝕刻副產物的出現之誤報。
在一個實施例中,診斷程序可包含改變環境條件,例如大氣中的濕度、基板溫度、大氣壓力、諸如此類。在該等實施例中,如果感興趣的訊號(例如,崩潰電壓、電容、漏電電流、諸如此類)改變以回應於環境條件,接著該改變可指示殘餘蝕刻副產物的出現,而非殘餘化學反應。據此,實施例提供用於消除誤報的處理。
現在參考操作595,實施例可包含基於來自感應器的記錄的電輸出來決定後續處理操作。例如,如果感應器上出現過度腐蝕,後續處理操作可將基板驟冷以便最小化腐蝕。例如,可使用水蒸氣或任何其他反應限制器來將基板驟冷。在額外實施例中,後續處理操作可改變蝕刻處理的參數以便最小化後續處理基板上的殘餘化學反應。
應理解殘餘化學反應可實質減緩處理。例如,在使用以製造裝置的處理操作執行之後,腐蝕可以不會導致對裝置實質損壞的一速率來進行,直至數天或甚至數週。據此,實施例也可包含診斷程序以加速殘餘化學反應。在一個實施例中,執行診斷程序可包含應用刺激至感應器以便加速殘餘化學反應。例如,偏壓電壓可應用至感應器的探針墊。在一實施例中,大約25 V或更多、50 V或更多、或75 V或更多的偏壓電壓可應用至探針墊以便加速殘餘化學反應。在該等實施例中,可在數分鐘或數小時中決定殘餘化學反應的出現及程度的決定,而非數天或數週。
在使用於裝置基板上時,該電壓偏壓加速診斷程序可為特別有益的。例如,可製造裝置且可緊接著該裝置的製造將電壓偏壓加速診斷程序實作於感應器上。如果感應器回報殘餘化學反應的結果低於所需臨界值,則基板可持續進行後續處理,而無須擔心後續殘餘化學反應損壞最終裝置。交替地,如果感應器回報殘餘化學反應的結果低於所需臨界值,則基板可被重做、處理進一步減輕殘餘化學反應的效應(例如,驟冷等等),或可拋棄基板。據此,包含終將失效或損壞裝置的基板將持續進行製造處理,且可增加整體良率。
雖然電壓偏壓加速診斷程序針對在生產基板上使用特別有益,應理解處理發展基板也受益於電壓偏壓加速診斷程序。例如,處理發展的速度可增加,因為可減低決定殘餘化學反應的出現及程度的等待時間。
在將電壓使用為加速劑之外,實施例包含可使用的許多其他加速劑。在一個實施例中,可使用以下來加速殘餘化學反應:濕度、介電流體、加工流體(例如,離子、酸、鹼、PH)、熱、氣體、電漿誘導離子氣體種類、諸如此類。在一實施例中,加速劑可在感應器上形成為塗佈。額外實施例可包含將感應器曝露於加速劑(例如,加速劑處於環繞感應器的大氣中、加速劑被噴灑或沉積於感應器上、諸如此類)。選擇使用哪個加速劑可取決於待處理材料、待查驗處理操作、或待查驗殘餘化學反應。
現在參考第6圖,根據一實施例圖示處理工具的示範電腦系統660的區塊圖。在一實施例中,電腦系統660耦合至且控制處理工具中的處理。電腦系統660可連接(例如,網路)至區域網路(LAN)、內部網、外部網、或網際網路中的其他機器。電腦系統660可在客戶端-伺服器網路環境中的伺服器或客戶端機器的容量中操作,或作為點對點(或離散)網路環境中的同級機器。電腦系統660可為個人電腦(PC)、筆記型電腦、機上盒(STB)、個人數位助理(PDA)、行動電話、網路設備、伺服器、網路路由器、開關或電橋、或能夠執行指令集的任何機器(時序或其他),該指令集規定該機器採取的動作。進一步地,雖然僅針對電腦系統660圖示單一機器,用語「機器」也應視為包含個別地或組合地執行指令集(或多個指令集)的機器(例如,電腦)之任何集合,以實現於此描述的一個或更多個方法。
根據實施例,電腦系統660可包含電腦程式產品或軟體622,具有非暫態的機器可讀取媒體,該機器可讀取媒體具有儲存於上的指令,可使用以程式化電腦系統660(或其他電子裝置)以實現處理。機器可讀取媒體包含任何機構以儲存或轉換處於機器(例如,電腦)可讀取形式的資訊。例如,機器可讀取(例如,電腦可讀取)媒體包含機器(例如,電腦)可讀取儲存媒體(例如,唯讀記憶體(「ROM」)、隨機存取記憶體(「RAM」)、磁碟儲存媒體、光學儲存媒體、快閃記憶體裝置等等)、機器(例如,電腦)可讀取傳輸媒體(電、光、聲或其他形式的傳播訊號(例如,紅外光訊號、數位訊號等等))等等。
在一實施例中,電腦系統660包含系統處理器602、主要記憶體604(例如,唯讀記憶體(ROM)、快閃記憶體、動態隨機存取記憶體(DRAM),例如同步DRAM(SDRAM)或Rambus DRAM(RDRAM)等等)、靜態記憶體606(例如,快閃記憶體、靜態隨機存取記憶體(SRAM)等等)、及次級記憶體618(例如,資料儲存裝置),經由匯流排630相互通訊。
系統處理器602代表一個或更多個一般目的處理裝置,例如微系統處理器、中央處理單元、諸如此類。更特定地,系統處理器可為複合指令集計算(CISC)微系統處理器、精簡指令集計算(RISC)微系統處理器、超長指令(VLIW)微系統處理器、實作其他指令集的系統處理器、或實作指令集的組合的系統處理器。系統處理器602也可為一個或更多個特定目的處理裝置,例如特定應用積體電路(ASIC)、可程式閘陣列(FPGA)、數位訊號系統處理器(DSP)、網路系統處理器、諸如此類。系統處理器602經配置以執行用於實現於此描述之操作的處理邏輯626。
電腦系統660可進一步包含系統網路介面裝置608以與其他裝置或機器通訊。電腦系統660也可包含影像顯示器單元610(例如,液晶顯示器(LCD)、發光二極體顯示器(LED)、陰極射線管(CRT))、字母輸入裝置612(例如,鍵盤)、游標控制裝置614(例如,滑鼠)、及訊號產生裝置616(例如,喇叭)。
次級記憶體618可包含機器可存取儲存媒體631(或更特定為電腦可讀取儲存媒體),其上儲存一個或更多個指令集(例如,軟體622)以體現於此描述的任何一個或更多個方法或功能。軟體622在由電腦系統660執行期間也可完全或至少部分駐於主要記憶體604內及/或系統處理器602內,主要記憶體604及系統處理器602也構成機器可讀取儲存媒體。軟體622可進一步在網路620上經由系統網路介面裝置608傳送或接收。
雖然在示範實施例中展示機器可存取儲存媒體631為單一媒體,用語「機器可讀取儲存媒體」應視為包含儲存一個或更多個指令集的單一媒體或多個媒體(例如,中央化或分佈資料庫及/或相關聯快取及伺服器)。用語「機器可讀取儲存媒體」也應視為包含能夠儲存或對指令集編碼的任何媒體以由機器執行,且使得機器實現任何一個或更多個方法。用語「機器可讀取儲存媒體」據此應視為包含但不限於固態記憶體及光學及磁性媒體。
前述說明書中描述了特定示範實施例。明顯可進行對該等實施例之多種修改而不遠離以下申請專利範圍的範圍。據此,說明書及圖式視為圖示性意義而非限制性意義。
100‧‧‧裝置
105‧‧‧基板
120‧‧‧材料堆疊
125‧‧‧溝槽
126‧‧‧側壁
127‧‧‧團塊
129‧‧‧聚合物層
250‧‧‧感應器
252‧‧‧探針墊
2521‧‧‧第一探針墊
2522‧‧‧第二探針墊
254‧‧‧臂
2541‧‧‧第一臂
2542‧‧‧第二臂
255‧‧‧接觸線
320‧‧‧處理發展基板
321‧‧‧生產基板
328‧‧‧劃線
329‧‧‧裝置區域
410‧‧‧測試腔室
412‧‧‧封閉體
414‧‧‧平板
418‧‧‧探針
590‧‧‧處理流程
591‧‧‧操作
592‧‧‧操作
593‧‧‧操作
594‧‧‧操作
595‧‧‧操作
602‧‧‧系統處理器
604‧‧‧主要記憶體
606‧‧‧靜態記憶體
608‧‧‧系統網路介面裝置
610‧‧‧影像顯示器單元
612‧‧‧字母輸入裝置
614‧‧‧游標控制裝置
616‧‧‧訊號產生裝置
618‧‧‧次級記憶體
622‧‧‧軟體
626‧‧‧處理邏輯
630‧‧‧匯流排
631‧‧‧機器可存取儲存媒體
660‧‧‧電腦系統
第1A圖為根據一實施例的基板上形成的材料的圖案化堆疊之橫截面圖示。
第1B圖為根據一實施例的在殘餘化學反應改變了材料的圖案化堆疊中的溝槽尺寸之後第1A圖中的材料的圖案化堆疊之橫截面圖示。
第1C圖為根據一實施例的在殘餘化學反應導致沿著材料的圖案化堆疊中的溝槽之側壁形成團塊之後第1A圖中的材料的圖案化堆疊之橫截面圖示。
第1D圖為根據一實施例的具有沿著溝槽之側壁形成殘餘蝕刻副產物的材料的圖案化堆疊之橫截面圖示。
第2A圖為根據一實施例的感應器之平面視圖圖示,可在基板上圖案化該感應器成為材料的堆疊。
第2B圖為根據一實施例的替代的感應器之平面視圖圖示,可在基板上圖案化該感應器成為材料的堆疊。
第3A圖為根據一實施例的處理發展基板之平面視圖圖示,包含複數個感應器。
第3B圖為根據一實施例的生產基板的部分之平面視圖圖示,包含在劃線中形成的感應器。
第4圖為根據一實施例的測試腔室的橫截面示意圖示,可與感應器一起使用該測試腔室,該等感應器在生產基板或處理發展基板上形成。
第5圖為根據一實施例的處理流程圖,描述了用於使用在生產基板或處理發展基板上的感應器之處理。
第6圖為根據一實施例的示範電腦系統的區塊圖,可與包含監視殘餘化學反應的處理一起使用該電腦系統。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (20)

  1. 一種用於決定殘餘化學反應的出現的方法,包括以下步驟: 在一基板上形成一感應器;將該基板置於一測試腔室中;在該基板上執行一診斷程序,其中在該診斷程序期間紀錄來自該感應器的電輸出;及基於來自該感應器所記錄的該等電輸出,決定一後續處理操作。
  2. 如請求項1所述之方法,其中該基板為一裝置基板,且其中該感應器形成於該裝置基板的一劃線中。
  3. 如請求項2所述之方法,其中該感應器在該裝置基板上與主動裝置並聯形成,且其中該感應器包含與該等主動裝置相同的材料。
  4. 如請求項1所述之方法,其中該基板為一處理發展基板。
  5. 如請求項1所述之方法,其中該診斷程序包含監視以下一個或更多個之改變:一電容、一電容雜訊底、一電荷量測、一漏電電流、一崩潰電壓、及一電阻。
  6. 如請求項5所述之方法,其中該診斷程序包含應用一單一頻率至該感應器。
  7. 如請求項5所述之方法,其中該診斷程序包含應用複數個頻率至該感應器。
  8. 如請求項7所述之方法,其中將該複數個頻率應用為一頻率掃描。
  9. 如請求項1所述之方法,其中該診斷程序包含在該診斷程序期間變化環境條件。
  10. 如請求項9所述之方法,其中該等環境條件包含以下一個或更多個:壓力、大氣成分、溫度、及曝露於電磁輻射。
  11. 如請求項1所述之方法,其中該診斷程序包含一電壓偏壓加速診斷程序。
  12. 如請求項11所述之方法,其中該後續處理操作包含在一殘餘化學反應效應低於一臨界值時准許該基板持續處理。
  13. 如請求項11所述之方法,其中該後續處理操作包含在一殘餘化學反應效應高於一臨界值時重做該基板或拋棄該基板。
  14. 一種殘餘化學反應診斷裝置,包括: 一基板;及一殘餘化學反應感應器,該殘餘化學反應感應器在該基板上形成,其中該殘餘化學反應感應器提供電輸出以回應於殘餘化學反應的出現。
  15. 如請求項14所述之殘餘化學反應診斷裝置,其中該基板為一裝置基板,其中該感應器形成於該裝置基板的一劃線中,且其中該感應器在該裝置基板上與主動裝置並聯形成,且其中該感應器包含與該等主動裝置相同的材料。
  16. 如請求項14所述之殘餘化學反應診斷裝置,其中該基板為一處理發展基板。
  17. 如請求項14所述之殘餘化學反應診斷裝置,其中該殘餘化學反應感應器包括: 一第一探針墊,其中複數個第一臂自該第一探針墊延伸出來;及一第二探針墊,其中複數個第二臂自該第二探針墊延伸出來且與該等第一臂相互交叉。
  18. 如請求項14所述之殘餘化學反應診斷裝置,其中該殘餘化學反應感應器包括: 一第一探針墊;一第二探針墊;及一接觸線(trace),該接觸線連接該第一探針墊至該第二探針墊。
  19. 如請求項14所述之殘餘化學反應診斷裝置,其中該殘餘化學反應感應器提供電輸出,包含以下一個或更多個:一電容、一電容雜訊底、一電荷量測、一漏電電流、一崩潰電壓、及一電阻。
  20. 一種殘餘化學反應診斷裝置,包括: 一基板;及一殘餘化學反應感應器,該殘餘化學反應感應器在該基板上形成,其中該殘餘化學反應感應器提供電輸出以回應於殘餘化學反應的出現,其中該殘餘化學反應感應器提供電輸出,包含以下一個或更多個:一電容、一電容雜訊底、一漏電電流、一崩潰電壓、及一電阻,且其中該殘餘化學反應感應器包括:一第一探針墊,其中複數個第一臂自該第一探針墊延伸出來;及一第二探針墊,其中複數個第二臂自該第二探針墊延伸出來且與該等第一臂相互交叉。
TW107111834A 2017-04-05 2018-04-03 基於晶圓的腐蝕以及時間依賴型化學效應 TWI723257B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/480,337 2017-04-05
US15/480,337 US10515862B2 (en) 2017-04-05 2017-04-05 Wafer based corrosion and time dependent chemical effects

Publications (2)

Publication Number Publication Date
TW201842602A true TW201842602A (zh) 2018-12-01
TWI723257B TWI723257B (zh) 2021-04-01

Family

ID=63711720

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107111834A TWI723257B (zh) 2017-04-05 2018-04-03 基於晶圓的腐蝕以及時間依賴型化學效應

Country Status (7)

Country Link
US (2) US10515862B2 (zh)
JP (1) JP7005653B2 (zh)
KR (1) KR102381957B1 (zh)
CN (1) CN110574148B (zh)
SG (2) SG11201909174YA (zh)
TW (1) TWI723257B (zh)
WO (1) WO2018187125A1 (zh)

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4723908A (en) * 1985-05-01 1988-02-09 Kranbuehl David E Dielectric probe; method and apparatus including its use
US5440238A (en) 1991-11-07 1995-08-08 Sandia Corporation Surface property detection apparatus and method
JP3533675B2 (ja) * 1993-07-26 2004-05-31 ソニー株式会社 半導体装置の製造方法
US5559428A (en) * 1995-04-10 1996-09-24 International Business Machines Corporation In-situ monitoring of the change in thickness of films
JP3039911B2 (ja) * 1995-06-13 2000-05-08 高砂熱学工業株式会社 基板表面の有機物汚染の評価装置および方法
JP3473218B2 (ja) 1995-10-24 2003-12-02 日産自動車株式会社 半導体集積回路
US20020015146A1 (en) 1997-09-22 2002-02-07 Meeks Steven W. Combined high speed optical profilometer and ellipsometer
JPH11307604A (ja) 1998-04-17 1999-11-05 Toshiba Corp プロセスモニタ方法及びプロセス装置
US6440864B1 (en) * 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6936835B2 (en) 2000-09-21 2005-08-30 Hitachi, Ltd. Method and its apparatus for inspecting particles or defects of a semiconductor device
US6911828B1 (en) 2001-05-23 2005-06-28 Southwest Research Institute Apparatus and method for detecting the degradation of a coating using embedded sensors
US6624642B1 (en) 2001-12-10 2003-09-23 Advanced Micro Devices, Inc. Metal bridging monitor for etch and CMP endpoint detection
US7325180B2 (en) * 2003-11-26 2008-01-29 Carnegie Mellon University System and method to test integrated circuits on a wafer
US20060062897A1 (en) 2004-09-17 2006-03-23 Applied Materials, Inc Patterned wafer thickness detection system
US20060102197A1 (en) * 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
US7932726B1 (en) * 2005-08-16 2011-04-26 Environmental Metrology Corporation Method of design optimization and monitoring the clean/rinse/dry processes of patterned wafers using an electro-chemical residue sensor (ECRS)
JP4448082B2 (ja) * 2005-10-31 2010-04-07 大日本スクリーン製造株式会社 基板処理装置
DE102008049774B4 (de) * 2008-09-30 2017-07-27 Advanced Micro Devices, Inc. Prozessanlage und Verfahren zur prozessinternen Überwachung der Metallkontamination während der Bearbeitung von Mikrostrukturen
US8111390B2 (en) * 2009-04-17 2012-02-07 Applied Materials, Inc. Method and apparatus for residue detection in the edge deleted area of a substrate
US20120187974A1 (en) * 2011-01-20 2012-07-26 International Business Machines Corporation Dual Stage Voltage Ramp Stress Test for Gate Dielectrics
US20120276817A1 (en) 2011-04-27 2012-11-01 Iravani Hassan G Eddy current monitoring of metal residue or metal pillars
JP2014116507A (ja) * 2012-12-11 2014-06-26 Renesas Electronics Corp 半導体装置の検査方法および半導体装置
US8963216B2 (en) * 2013-03-13 2015-02-24 Life Technologies Corporation Chemical sensor with sidewall spacer sensor surface
US9991176B2 (en) * 2014-09-29 2018-06-05 Rudolph Technologies, Inc. Non-destructive acoustic metrology for void detection
US9976111B2 (en) * 2015-05-01 2018-05-22 Versum Materials Us, Llc TiN hard mask and etch residual removal
EP3314644B1 (en) * 2015-06-24 2023-12-20 Intel Corporation Replacement channel etch for high quality interface

Also Published As

Publication number Publication date
TWI723257B (zh) 2021-04-01
US10515862B2 (en) 2019-12-24
WO2018187125A1 (en) 2018-10-11
JP2020517095A (ja) 2020-06-11
SG11201909174YA (en) 2019-10-30
SG10202111017VA (en) 2021-11-29
CN110574148A (zh) 2019-12-13
KR102381957B1 (ko) 2022-03-31
CN110574148B (zh) 2023-05-23
JP7005653B2 (ja) 2022-01-21
US20200118896A1 (en) 2020-04-16
US11088000B2 (en) 2021-08-10
US20180294200A1 (en) 2018-10-11
KR20190126937A (ko) 2019-11-12

Similar Documents

Publication Publication Date Title
US10718719B2 (en) Particle monitoring device
TW200419631A (en) Method and system for analyzing data from a plasma process
TW202225873A (zh) 使用機器學習偵測及校正基板處理漂移
TWI738047B (zh) 用於針對移動的工序套件測量侵蝕及校準位置的方法及裝置
TW202209526A (zh) 用於測量處理套組中心的方法及設備
TWI723257B (zh) 基於晶圓的腐蝕以及時間依賴型化學效應
WO2019168799A1 (en) Method for detecting wafer processing parameters with micro resonator array sensors
US20240035896A1 (en) Radical sensor substrate
CN103822813B (zh) 半导体器件测试样品的制作方法
JP2023533606A (ja) 複数の層を使用した再帰フローガス分配スタックの製造
US20220310425A1 (en) Spatial pattern loading measurement with imaging metrology
TW202431471A (zh) 快速熱處理(rtp)腔室排氣移除
TW202437425A (zh) 用於製造系統處的光譜庫的方法及系統
WO2024081097A1 (en) Rapid thermal processing (rtp) chamber outgassing removal
TW202329281A (zh) 可用於模型訓練的掃描自由基感測器
Soin Morphological and Electrical Properties of Silicon Dioxide-based Interdigitated Electrode Arrays
Farehanim et al. Morphological and Electrical Properties of Silicon Dioxide-based Interdigitated Electrode Arrays
CN110690196A (zh) 探测器芯片、其密集线条制备方法及其的应力监测方法