TW202225873A - 使用機器學習偵測及校正基板處理漂移 - Google Patents

使用機器學習偵測及校正基板處理漂移 Download PDF

Info

Publication number
TW202225873A
TW202225873A TW110132210A TW110132210A TW202225873A TW 202225873 A TW202225873 A TW 202225873A TW 110132210 A TW110132210 A TW 110132210A TW 110132210 A TW110132210 A TW 110132210A TW 202225873 A TW202225873 A TW 202225873A
Authority
TW
Taiwan
Prior art keywords
substrate
substrates
processing
data
measurements
Prior art date
Application number
TW110132210A
Other languages
English (en)
Inventor
烏彭德拉V 烏梅薩拉
布雷克 埃里克森
潘拉珊 庫馬
麥可 庫特尼
史蒂芬特瑞 廷戴爾
祝昭釗
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202225873A publication Critical patent/TW202225873A/zh

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/18Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form
    • G05B19/401Numerical control [NC], i.e. automatically operating machines, in particular machine tools, e.g. in a manufacturing environment, so as to execute positioning, movement or co-ordinated operations by means of programme data in numerical form characterised by control arrangements for measuring, e.g. calibration and initialisation, measuring workpiece for machining purposes
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • G06N20/10Machine learning using kernel methods, e.g. support vector machines [SVM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • G06N20/20Ensemble learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N5/00Computing arrangements using knowledge-based models
    • G06N5/04Inference or reasoning models
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32193Ann, neural base quality management
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32194Quality prediction
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/34Director, elements to supervisory
    • G05B2219/34215Microprocessor
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Artificial Intelligence (AREA)
  • Computing Systems (AREA)
  • Data Mining & Analysis (AREA)
  • Mathematical Physics (AREA)
  • Evolutionary Computation (AREA)
  • Medical Informatics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Automation & Control Theory (AREA)
  • Computational Linguistics (AREA)
  • Manufacturing & Machinery (AREA)
  • Human Computer Interaction (AREA)
  • Health & Medical Sciences (AREA)
  • Biomedical Technology (AREA)
  • Biophysics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Quality & Reliability (AREA)
  • Testing And Monitoring For Control Systems (AREA)
  • General Factory Administration (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

本文提供了使用機器學習來偵測及校正基板處理漂移的方法及系統。將與根據處理配方在製造系統中處理第一組基板中的每一個基板相關聯的資料提供作為對受訓練的機器學習模型的輸入。從受訓練的機器學習模型中獲得一或更多個輸出。根據一或更多個輸出,決定第一組基板的第一組計量量測值相對於目標計量量測值的偏移量。亦決定辨識對處理配方的一或更多個修改的處理配方修改。對於每個修改,決定對處理配方的相應修改滿足第二組基板的漂移準則的置信位準的指示。回應於對具有滿足置信位準準則的置信位準的相應修改的辨識,基於該相應修改更新該處理配方。

Description

使用機器學習偵測及校正基板處理漂移
本揭示案的實施例一般係關於製造系統,且更特定而言係關於使用機器學習來偵測及校正基板處理漂移。
在製造系統中執行的處理配方可被配置為實現特定的基板品質及一致性。製造系統的部件可能變得有缺陷,導致製造系統中的一或更多個條件在基板處理期間意外改變。若沒有採取措施來解決有缺陷的部件(例如,辨識及替換有缺陷的部件、修改處理配方以抵消有缺陷的部件的影響等),則在基板的處理期間可能會產生錯誤,並且成品基板可能存在缺陷。隨著時間的推移,在製造系統中處理的基板的品質及一致性可能漂移離開與處理配方相關聯的目標品質及一致性。然而,製造系統的操作者可能難以辨識偏離目標品質及一致性的漂移,難以決定導致漂移的有缺陷的部件,且難以決定解決品質及一致性漂移的適合措施。
本文描述的一些實施例涵蓋一種系統,該系統包括記憶體及耦接至該記憶體的處理裝置。該處理裝置將提供與根據處理配方在製造系統中處理第一組基板中的每一個基板相關聯的資料,作為對受訓練的機器學習模型的輸入。該處理裝置進一步從受訓練的機器學習模型獲得一或更多個輸出。該處理裝置進一步根據一或更多個輸出決定第一組基板的第一組計量量測值相對於目標計量量測值的漂移量。該處理裝置進一步根據一或更多個輸出決定處理配方修改資料,該資料辨識對處理配方的一或更多個修改,並且對於每個修改,決定對處理配方的相應修改滿足第二組基板的漂移準則的置信位準的指示。處理裝置進一步利用滿足置信位準準則的置信位準來辨識相應的修改。處理裝置進一步基於相應的修改來更新處理配方。
在一些實施例中,提供一種用於訓練機器學習模型以預測針對製造系統中正在處理的當前基板的特定處理配方的修改的方法。該方法包括為機器學習模型產生第一訓練資料。第一訓練資料包括與之前根據第一處理配方在製造系統中處理的第一組先前基板相關聯的歷史資料,及與該組先前基板中的每一個基板相關聯的第一組歷史計量量測值。該方法進一步包括為機器學習模型產生第二訓練資料。第二訓練資料包括與之前根據第二處理配方在製造系統中處理的第二組先前基板相關聯的歷史資料,及與該組先前基板中的每一個基板相關聯的第二組歷史計量量測值。該方法進一步包括為機器學習模型產生第三訓練資料。第三訓練資料包括對第一處理配方與第二處理配方之間的差異的指示。該方法進一步包括提供第一訓練資料、第二訓練資料及第三訓練資料,以訓練機器學習模型,從而針對製造系統中正在處理的當前基板的特定處理配方,預測對該處理配方的哪一修改是為了滿足在當前基板之後待處理的一組後續基板的漂移準則。
在一些實施例中,一種非暫時性電腦可讀取儲存媒體包括指令,該等指令在由處理裝置執行時,使得處理裝置提供與根據處理配方在製造系統中處理第一組基板中的每一個基板相關聯的資料,作為對受訓練的機器學習模型的輸入。該處理裝置進一步從受訓練的機器學習模型獲得一或更多個輸出。該處理裝置進一步根據一或更多個輸出決定第一組基板的第一組計量量測值相對於目標計量量測值的漂移量。該處理裝置進一步根據一或更多個輸出決定處理配方修改資料,該修改資料辨識對處理配方的一或更多個修改,並且對於每個修改,決定對處理配方的相應修改滿足第二組基板的漂移準則的置信位準的指示。處理裝置進一步利用滿足置信位準準則的置信位準來辨識相應的修改。處理裝置進一步基於相應的修改來更新處理配方。
本文描述的實施方式提供了使用機器學習模型來偵測及校正基板處理漂移的方法及系統。基板處理漂移係指根據一特定處理配方在製造系統中處理的基板的品質及/或一致性的漂移。可基於在製造系統中根據處理配方處理的一組基板的計量量測值相對於目標計量量測值的漂移,來偵測基板處理漂移。計量量測值可包括蝕刻速率(即,沉積在基板表面上的某種材料在處理腔室中被蝕刻的速率)、蝕刻速率均勻性(即,基板表面的兩個或更多部分處的蝕刻速率的變化)、臨界尺寸(即,用於量測諸如線、列、開口、空間等基板元件尺寸的量測單位)、臨界尺寸均勻性(即,整個基板表面上臨界尺寸的變化)、邊緣到邊緣的放置誤差(edge to edge placement error; EPE)(即,基板表面上包含的預期及所產生特徵之間的差異)等。
處理裝置(例如,用於製造系統的系統控制器)可接收與根據處理配方在製造系統中處理一組基板相關聯的資料。資料可從製造系統的各個站處的感測器接收。例如,處理裝置可從處理腔室、移送腔室、裝載閘、工廠介面等處的感測器接收資料。在一些實施例中,處理裝置可從基板量測子系統接收在製造系統處處理基板之前或之後與基板相關聯的資料。例如,在處理腔室蝕刻每個基板之後,處理裝置可接收與每個基板的輪廓相關聯的光譜資料。
處理裝置可提供與處理基板組相關聯的資料,及/或在基板被處理之前或之後與基板相關聯的資料,作為對受訓練的機器學習模型的輸入,並從機器學習模型獲得一或更多個輸出。在一些實施例中,處理裝置亦可為基板組提供一組計量量測值(例如,蝕刻速率、蝕刻速率均勻性、臨界尺寸、臨界尺寸均勻性、邊緣到邊緣放置誤差等)作為對機器學習模型的輸入。例如,處理裝置可基於從子基板量測子系統接收的光譜或非光譜資料來決定該組計量量測值中的每一值。在另一實例中,處理裝置可從耦接至製造系統的計量系統接收該組計量量測值中的每一值。
處理裝置可根據機器學習模型的輸出來決定該組基板的該組計量量測值相對於目標計量量測值的漂移量。處理裝置亦可決定處理配方修改資料,該修改資料辨識對處理配方的一或更多個修改。對處理配方的修改可包括修改特定處理配方的操作或者產生指令以終止對製造系統中待處理的隨後一組基板的處理配方的執行。處理配方修改資料亦可包括對處理配方的相應修改滿足第二組基板漂移準則的置信位準的指示。回應於決定相應的修改被預測為減少製造系統中隨後處理的一組基板的基板處理漂移量,該處理裝置可決定對處理配方的修改滿足漂移準則。處理裝置可辨識具有滿足置信準則的置信位準的相應修改,並基於所辨識的修改來更新處理配方。
在一些實施例中,處理配方修改資料可包括製造系統的一或更多個站的指示,該指示包括導致基板處理漂移的缺陷。在此種實施例中,處理裝置可向製造系統的使用者(例如,操作員)發送通知,該通知包括對包含缺陷的一或更多個站的指示。在一些實施例中,指示可包括包含缺陷的一或更多個站的特定部件。在一些實施例中,可將通知發送給製造系統的使用者,並預測對處理配方的相應修改。
可根據第一處理配方及第二處理配方,使用與先前在製造系統中處理的先前基板相關聯的歷史資料來訓練機器學習模型。亦可使用與每個先前基板相關聯的歷史計量量測值來訓練機器學習模型。例如,處理裝置(例如,系統控制器)可產生第一訓練資料,該第一訓練資料包括與根據第一處理配方處理的第一組先前基板相關聯的歷史資料,及與第一組先前基板中的每一個基板相關聯的第一組歷史計量量測值。處理裝置亦可產生第二訓練資料,該第二訓練資料包括與根據第二處理配方處理的第二組先前基板相關聯的歷史資料,及與第二組先前基板中的每一個基板相關聯的第二組歷史計量量測值。第一處理配方可類似於第二處理配方,但第一處理配方的至少一個操作不同於第二處理配方的操作。例如,第一處理配方的特定操作可包括將處理腔室的內部溫度設為攝氏100度(℃),而第二處理配方的對應操作可包括將處理腔室的內部溫度設為攝氏110度。處理裝置可產生第三訓練資料,該第三訓練資料包括第一處理配方與第二處理配方之間的差異的指示。根據先前的實例,第三訓練資料可指示第一處理配方與第二處理配方之間的差異對應於特定操作的溫度設置中的10℃差異。處理裝置可提供第一訓練資料、第二訓練資料及第三訓練資料來訓練機器學習模型。
本揭示案的態樣藉由提供用於在製造系統中偵測及校正基板處理漂移的系統及方法,來解決習用技術的上述缺陷。可基於計量量測值相對於目標計量量測值的漂移來偵測基板處理漂移。使用與在製造系統中處理的基板相關聯的資料,機器學習模型可偵測基板處理漂移何時發生,並預測可能對隨後在製造系統中處理的基板發生的漂移進行校正的修改。系統控制器可基於預測的修改來更新處理配方。藉由基於預測的修改更新處理配方,製造系統中的基板處理漂移量將減少,導致製造系統內發生的缺陷數量減少,從而提高整體系統效率。此外,可偵測基板相對於目標計量量測值的顯著漂移,並且可基於偵測到的偏差來啟動錯誤協定(例如,向製造系統的操作員發送錯誤消息、停止製造系統操作等),從而防止對基板及/或製造系統產生不必要的損壞。
第1圖繪示了根據本揭示案各態樣的說明性電腦系統架構100。在一些實施例中,電腦系統架構100可被包括作為用於處理基板的製造系統的一部分,如第3圖的製造系統300。電腦系統架構100包括用戶端裝置120、製造設備124、計量設備128、預測伺服器112(例如,用以產生預測資料、提供模型適配、使用知識庫等),及資料儲存器140。預測伺服器112可為預測系統110的一部分。預測系統110可進一步包括伺服機170及180。製造設備124可包括感測器125,感測器125被配置為捕獲製造系統中正在處理的基板的資料。在一些實施例中,製造設備124及感測器126可為感測器系統的一部分,該感測器系統包括感測器伺服器(例如,製造設施中的現場服務伺服器(field service server; FSS))及感測器標識符讀取器(例如,用於感測器系統的前開口統一晶圓盒(front opening unified pod; FOUP)射頻辨識(radio frequency identification; RFID)讀取器)。在一些實施例中,計量設備128可為包括計量伺服器(例如,計量資料庫、計量資料夾等)及計量標識符讀取器(例如,用於計量系統的FOUP RFID讀取器)的計量系統的一部分。
製造設備124可遵循配方或在一段時間內執行製造來產生產品。製造設備124可包括基板量測子系統,該子系統包括一或更多個感測器126,感測器126被配置為產生嵌入在基板量測子系統內的基板的光譜資料及/或位置資料。被配置為產生光譜資料的感測器126(本文稱為光譜感測部件)可包括反射計感測器、橢偏計感測器、熱光譜感測器、電容感測器等。在一些實施例中,光譜感測部件可包括在基板量測子系統或製造系統的另一部分中。一或更多個感測器126(例如,渦流感測器等)亦可被配置為產生基板的非光譜資料。已參考第3圖及第4圖提供關於製造設備124及基板量測子系統的進一步細節。
在一些實施例中,感測器126可提供與製造設備124相關聯的感測器資料。感測器資料可包括溫度(例如,加熱器溫度)、間距(spacing; SP)、壓力、高頻射頻(high frequency radio frequency; HFRF)、靜電卡盤(electrostatic chuck; ESC)電壓、電流、流量、功率、電壓等中的一或更多者的值。感測器資料可與諸如硬體參數的製造參數相關聯,或指示製造參數,如製造設備124的設定或部件(例如尺寸、類型等),或製造設備124的處理參數。可在製造設備124執行製造製程時提供感測器資料(例如,處理產品時的設備讀數)。對於每個基板,感測器資料142可不同。
計量設備128可提供與由製造設備124所處理的基板(例如,晶圓等)相關聯的計量資料。計量資料可包括一或更多個膜特性資料(例如,晶圓空間膜特性)、尺寸(例如,厚度、高度等)、介電常數、摻雜濃度、密度、缺陷等。在一些實施例中,計量資料可進一步包括一或更多個表面輪廓特性資料(例如,蝕刻速率、蝕刻速率均勻性、基板表面上包括的一或更多個特徵的臨界尺寸、整個基板表面的臨界尺寸均勻性、邊緣放置誤差等)的值。計量資料可屬於成品或半成品。每個基板的計量資料可不同。
用戶端裝置120可包括計算裝置,如個人電腦(personal computer; PC)、膝上型電腦、行動電話、智慧型電話、平板電腦、小筆電電腦、網路連接電視(「智慧電視」)、網路連接媒體播放機(例如藍光播放機)、機上盒、過頂(over-the-top; OTT)串流裝置、操作者盒等。在一些實施例中,可從用戶端裝置120接收計量資料。用戶端裝置120可顯示圖形化使用者介面(graphical user interface; GUI),其中GUI賦能使用者提供在製造系統中處理的基板的計量量測值作為輸入。
資料儲存器140可為記憶體(例如隨機存取記憶體)、驅動器(例如硬碟驅動器、快閃記憶體驅動器)、資料庫系統或能夠儲存資料的另一類型的部件或裝置。資料儲存器140可包括可跨越多個計算裝置(例如,多個伺服器電腦)的多個儲存部件(例如,多個驅動器或多個資料庫)。資料儲存器140可儲存與在製造設備124處理基板相關聯的資料。例如,資料儲存器140可儲存在基板處理之前、期間或之後由製造設備124處的感測器126收集的資料(稱為處理資料)。處理資料可指歷史處理資料(例如,針對製造系統中處理的先前基板而產生的處理資料)及/或當前處理資料(例如,針對製造系統中處理的當前基板而產生的處理資料)。資料儲存器亦可儲存與製造設備124處理的基板的一部分相關聯的光譜資料或非光譜資料。光譜資料可包括歷史光譜資料及/或當前光譜資料。當前處理資料及/或當前光譜資料可為某種資料,針對該種資料產生預測資料。在一些實施例中,資料儲存器可儲存包括歷史計量資料(例如,製造系統中處理的先前基板的計量量測值)的計量資料。
資料儲存器140亦可儲存與在製造系統中處理的一或更多個基板相關聯的上下文資料。上下文資料可包括配方名稱、配方步驟數目、預防性維護指示器、操作員等。在一些實施例中,上下文資料亦可包括對兩個或多個處理配方或處理步驟之間的差異的指示。例如,第一處理配方可包括一操作,該操作包括將處理腔室的內部溫度設為100℃。第二處理配方可包括對應的操作,該操作包括將處理腔室的內部溫度設為110℃。上下文資料可包括對第一處理配方及第二處理配方之間的處理腔室內部溫度差異的指示。
在一些實施例中,資料儲存器140可被配置為儲存製造系統的使用者無法存取的資料。例如,製造系統的使用者可能無法存取對製造系統中正在處理的基板獲得的處理資料、光譜資料、非光譜資料及/或位置資料。在一些實施例中,製造系統的使用者(例如,操作員)可能無法存取儲存在資料儲存器140中的所有資料。在其他或類似的實施例中,使用者可能無法存取儲存在資料儲存器140中的一部分資料,而使用者可存取儲存在資料儲存器140中的另一部分資料。在一些實施例中,儲存在資料儲存器140的資料的一或更多個部分可使用使用者未知的加密機制來加密(例如,使用私有加密金鑰來加密資料)。在其他或類似的實施例中,資料儲存器140可包括多個資料儲存器,其中使用者無法存取的資料儲存在一或更多個第一資料儲存器中,而使用者可存取的資料儲存在一或更多個第二資料儲存器中。
在一些實施例中,預測系統110包括伺服機170及伺服機180。伺服機170包括訓練集產生器172,其能夠產生訓練資料集(例如,一組資料輸入及一組目標輸出)來訓練、驗證及/或測試機器學習模型190。下文參考第2圖詳細描述訓練集產生器172的一些操作。在一些實施例中,訓練集產生器172可將訓練資料劃分為訓練集、驗證集及測試集。在一些實施例中,預測系統110產生多個訓練資料集。
伺服機180可包括訓練引擎182、驗證引擎184、選擇引擎185及/或測試引擎186。引擎可指硬體(例如,電路系統、專用邏輯、可程式化邏輯、微碼、處理裝置等)、軟體(如在處理裝置、通用電腦系統或專用機器上運行的指令)、韌體、微碼或上述各者的組合。訓練引擎182能夠訓練機器學習模型190。機器學習模型190可指由訓練引擎182使用包括訓練輸入及對應目標輸出(相應訓練輸入的正確答案)的訓練資料所創造的模型人工製品。訓練引擎182可在訓練資料中找到將訓練輸入映射到目標輸出(待預測的答案)的模式,並提供捕獲該等模式的機器學習模型190。機器學習模型190可使用支援向量機(support vector machine; SVM)、徑向基函數(Radial Basis Function; RBF)、聚類、監督機器學習、半監督機器學習、無監督機器學習、k-最近鄰演算法(k-nearest neighbor algorithm; k-NN)、線性迴歸、隨機森林、神經網路(例如,人工神經網路)等中的一或更多者。
驗證引擎184能夠使用來自訓練集產生器172的驗證集的對應特徵集來驗證受訓練的機器學習模型190。驗證引擎184可基於驗證集的對應特徵集來決定每個受訓練的機器學習模型190的精度。驗證引擎184可丟棄精度不滿足臨限精度的受訓練機器學習模型190。在一些實施例中,選擇引擎185能夠選擇精度滿足臨限精度的受訓練機器學習模型190。在一些實施例中,選擇引擎185能夠選擇受訓練機器學習模型190中具有最高精度的受訓練機器學習模型190。
測試引擎186能夠使用來自訓練集產生器172的測試集的對應特徵集來測試受訓練的機器學習模型190。例如,使用訓練集的第一組特徵訓練的第一受訓練機器學習模型190可藉由使用測試集的第一組特徵進行測試。測試引擎186可基於測試集,在所有受訓練的機器學習模型中決定具有最高精度的受訓練機器學習模型190。
預測伺服器112包括預測部件114,該預測部件114能夠提供與根據處理配方在製造系統中處理一組基板中的每一個基板相關聯的資料,作為對受訓練機器學習模型190的輸入,並針對該輸入運行受訓練的機器學習模型190,以獲得一或更多個輸出。如下文參考第4圖詳細描述的,在一些實施例中,預測部件114亦能夠根據受訓練的機器學習模型190的輸出來決定處理配方修改資料,並使用該處理配方修改資料來預測針對製造系統中正在處理的滿足漂移準則的當前基板的處理配方的修改。
處理配方修改資料可包括或指示對處理配方的一或更多個修改,並且對於每個修改,包括或指示相應修改滿足漂移準則的置信位準。預測系統110可回應於決定相應修改經預測會導致待處理的一組後續基板的預測計量量測值組的漂移量低於臨限量,來決定相應的修改滿足漂移準則。例如,回應於預測系統110決定將處理腔室的內部溫度改變達10℃的修改可能導致待處理基板的計量量測值漂移量降低至臨限值量,該修改可滿足漂移準則。在一些實施例中,處理配方修改資料可包括製造系統100中的一或更多個站的指示,該等站正在導致基板處理漂移。例如,處理配方修改資料可指示特定處理腔室的溫度感測器有缺陷。在一些實施例中,預測系統110可向製造系統100的使用者(例如,操作員)發送通知,指示正在導致基板處理漂移的一或更多個站(或特定站的一或更多個部件)。
用戶端裝置120、製造設備124、感測器126、計量設備128、預測伺服器112、資料儲存器140、伺服器170及伺服器180可經由網路130彼此耦接。在一些實施例中,網路130是公共網路,其向用戶端裝置120提供對預測伺服器112、資料儲存器140及其他公共可用計算裝置的存取。在一些實施例中,網路130是私有網路,其向用戶端裝置120提供對製造設備124、計量設備128、資料儲存器140及其他私有可用計算裝置的存取。網路130可包括一或更多個廣域網路(wide area network; WAN)、區域網路(local area network; LAN)、有線網路(例如乙太網)、無線網路(例如802.11網路或Wi-Fi網路)、蜂巢網路(例如長期演進網路(Long Term Evolution; LTE))、路由器、集線器、交換機、伺服器電腦、雲端計算網路及/或上述各者的組合。
應當注意,在一些其他實施方式中,伺服機170及180及預測伺服器112的功能可由更少數量的機器提供。例如,在一些實施例中,伺服機170及180可整合至單個機器中,而在一些其他或類似的實施例中,伺服機170及180及預測伺服器112可整合至單個機器中。
一般而言,在一個實施方式中描述為由伺服機170、伺服機180及/或預測伺服器112執行的功能亦可在用戶端裝置120上執行。此外,歸屬於一特定部件的功能性可由一起操作的不同或多個部件來執行。
在實施例中,「使用者」可表示為單個個體。然而,本揭示案的其他實施例包含的「使用者」是由複數個使用者及/或自動源控制的實體。例如,作為一組管理員聯合的一組個人使用者可被視作「使用者」。
第2圖是根據本揭示案各態樣的用於訓練機器學習模型的方法200的流程圖。方法200由可包括硬體(電路系統、專用邏輯等)、軟體(如在通用電腦系統或專用機器上運行的軟體)、韌體或上述各者的某種組合的處理邏輯來執行。在一個實施方式中,方法200可由電腦系統執行,如第1圖的電腦系統架構100。在其他或類似的實施方式中,方法200的一或更多個操作可由圖式中未示出的一或更多個其他機器來執行。在一些態樣中,方法200的一或更多個操作可由伺服機170的訓練集產生器172來執行。
為了解釋簡單,將該等方法繪示及描述為一系列動作。然而,根據本揭示案的動作可以各種次序及/或同時發生,及與本文未顯示及描述的其他動作一起發生。此外,實施根據本揭示標的的方法並非要執行所有示出的動作。此外,熟習此項技術者將理解及認識到,該等方法可替代地藉由狀態圖或事件表示為一系列相互關聯的狀態。此外,應當理解,本說明書中揭示的方法能夠儲存在製品上,以便於將該等方法傳輸及轉移至計算裝置。本文使用的術語製品意欲包括可從任何電腦可讀裝置或儲存媒體存取的電腦程式。
在方塊210,處理邏輯將訓練集T初始化為空集(例如{})。在方塊212,處理邏輯接收與根據第一處理配方處理第一組基板及根據第二處理配方處理第二組基板相關聯的資料。在一些實施例中,如前所述,可從感測器126接收與處理第一組基板相關聯的資料。例如,處理邏輯可從處理腔室、移送腔室、裝載閘、工廠介面、基板載體等處的感測器接收資料。在其他或類似的實施例中,處理邏輯亦可從基板量測子系統接收資料,如參考第4圖描述的基板量測子系統400。基板量測子系統可被配置為在製造系統中處理基板之前或之後捕獲與基板相關聯的光譜或非光譜資料。處理邏輯可從基板量測子系統接收與第一組基板中的每一個相關聯的資料。基板量測子系統可與製造系統整合(例如,作為工廠介面的一部分),或者可不與製造系統整合,而是可通信地耦接至製造系統。下文參照第3及4圖描述關於基板量測系統的更多細節。應當注意,在額外的或替代的實施例中,與處理第一組基板相關聯的資料可以某種其他方式接收,並且可不從製造系統的一部分接收。
在方塊214,處理邏輯獲得第一組基板及第二組基板中每一個基板的計量量測值。計量量測值可包括製造系統中處理的基板的蝕刻速率、蝕刻速率均勻性、臨界尺寸、臨界尺寸均勻性、邊緣到邊緣放置誤差等中的至少一者。在一些實施例中,可從耦接至製造系統的外部計量系統接收計量量測值,如參考第1圖所述。在一些實施例中,外部計量量測系統可通信地耦接至製造系統(例如,經由第1圖的網路130)。在此種實施例中,處理邏輯可經由網路從外部計量量測系統接收基板的一或更多個計量量測結果。在其他實施例中,計量量測結果可在外部計量量測系統處產生,並藉由用戶端裝置提供至製造系統。例如,連接至製造系統的用戶端裝置可向製造系統的使用者(例如,操作員)提供圖形化使用者介面(graphical user interface; GUI)。在外部計量子系統量測基板之後,使用者可藉由GUI向用戶端裝置提供計量量測值。回應於接收到所提供的計量量測值,用戶端裝置可將計量量測值儲存在資料儲存器中,如製造系統的資料儲存器140。
在其他或類似的實施例中,處理邏輯可使用機器學習模型來決定計量量測值,該機器學習模型(稱為計量機器學習模型)被訓練來預測在製造系統中處理的基板的計量量測值。例如,可在製造系統的基板處理期間或之後產生基板的光譜資料(例如,在基板量測子系統處、在處理腔室處)。光譜資料可作為輸入被提供至受訓練的計量機器學習模型。在一些實施例中,亦可產生基板的位置資料(例如,在基板量測子系統處),其中位置資料與光譜資料相關聯。在此種實施例中,位置資料可與光譜資料一起作為另一輸入被提供至受訓練的計量機器學習模型。受訓練的計量機器學習模型可產生一或更多個輸出,其中包括在製造系統中處理的先前基板的計量量測值,及在製造系統中處理的當前基板與先前基板的計量量測相關聯的置信位準。回應於決定當前基板的置信位準滿足置信位準準則,處理邏輯可決定計量量測值對應於當前基板。
在一些實施例中,處理邏輯可從耦接至製造系統的計量系統接收第一組基板及/或第二組基板中的每一個基板的每個計量量測值。在其他或類似的實施例中,處理邏輯可使用受訓練的計量機器學習模型來決定第一組基板及/或第二組基板中的每一個基板的每個計量量測值。在其他或類似的實施例中,處理邏輯可從計量系統接收第一組基板及/或第二組基板的一或更多個基板的計量量測值,並使用受訓練的計量機器學習模型決定計量量測值。
在方塊216,處理邏輯基於與根據第一處理配方處理的第一組基板相關聯的歷史資料,及第一組基板中的每一個基板的計量量測結果,來產生第一訓練資料。在方塊218,處理邏輯基於與根據第一處理配方處理的第一組基板相關聯的歷史資料,及第一組基板中的每一個基板的計量量測結果,來產生第二訓練資料。在方塊220,處理邏輯產生第三訓練資料,該第三訓練資料包括第一處理配方與第二處理配方之間的差異的指示。例如,第一處理配方可包括一操作,該操作包括將處理腔室的內部溫度設為100℃。第二處理配方可包括對應的操作,該操作包括將處理腔室的內部溫度設為110℃。第三訓練資料可包括對第一處理配方及第二處理配方之間的處理腔室內部溫度差異的指示。
在方塊222,處理邏輯產生第一訓練資料、第二訓練資料與第三訓練資料之間的映射。該映射係指包括或基於根據第一處理配方處理的第一組基板的資料的第一訓練資料,包括或基於根據第二處理配方處理的第二組基板的資料的第二訓練資料,及包括對第一處理配方與第二處理配方之間差異的指示的第三訓練資料,且其中第一訓練資料及第二訓練資料與第三訓練資料相關聯(或映射到第三訓練資料)。在方塊224,處理邏輯將映射添加至訓練集T。
在方塊226,處理邏輯決定訓練集T是否包括足量的訓練資料來訓練機器學習模型。應當注意,在一些實施方式中,可簡單地基於訓練集中的映射數量來決定訓練集T的充足性,而在一些其他實施方式中,除了或代替輸入/輸出映射的數量,亦可基於一或更多個其他準則(例如,訓練實例的多樣性計量等)來決定訓練集T的充分性。回應於決定訓練集不包括足量訓練資料來訓練機器學習模型,方法200返回至方塊212。回應於決定訓練集T包括足量訓練資料來訓練機器學習模型,方法200繼續進行至方塊228。
在方塊228,處理邏輯提供訓練集T來訓練機器學習模型。在一個實施方式中,訓練集T被提供至伺服器180的訓練引擎182以執行訓練。例如,在神經網路的情況下,給定輸入/輸出映射的輸入值(例如,前一基板的光譜資料)被輸入至神經網路,且輸入/輸出映射的輸出值被儲存在神經網路的輸出節點中。隨後根據學習演算法(例如反向傳播等)調整神經網路中的連接權重,並針對訓練集T中的其他輸入/輸出映射重複該程序。在方塊228之後,機器學習模型190可用以針對製造系統中正在處理的當前基板的特定處理配方,預測對處理配方的哪個修改將滿足在當前基板之後待處理的一組後續基板的漂移準則。
第3圖是根據本揭示案各態樣的示例製造系統300的俯視示意圖。製造系統300可在基板302上執行一或更多個製程。基板302可為任何具有適合剛性、固定尺寸的平面製品,諸如,例如含矽盤或晶圓、圖案化晶圓、玻璃板等,適於在其上製造電子元件或電路部件。
製造系統300可包括處理工具304及耦接至處理工具304的工廠介面306。處理工具304可包括其中具有移送腔室310的外殼308。移送腔室310可包括一或更多個處理腔室(亦稱為處理室)314、316、318,該等處理腔室安置在移送腔室310附近並與其耦接。處理腔室314、316、318可藉由各自的埠,如狹縫閥等,耦接至移送腔室310。移送腔室310亦可包括移送腔室機器人312,該機器人被配置為在處理腔室314、316、318、裝載閘320等之間移送基板302。移送腔室機器人312可包括一或更多個臂,其中每個臂包括位於每個臂端部的一或更多個端效器。端效器可被配置為搬運特定的物件,如晶圓。
處理腔室314、316、318可適於在基板302上執行任意數量的製程。在每個處理腔室314、316、318中可進行相同或不同的基板製程。基板處理可包括原子層沉積(atomic layer deposition; ALD)、物理氣相沉積(physical vapor deposition; PVD)、化學氣相沉積(chemical vapor deposition; CVD)、蝕刻、退火、固化、預清潔、金屬或金屬氧化物去除等。在一些實施例中,基板處理可包括原子層沉積(atomic layer deposition; ALD)、物理氣相沉積(physical vapor deposition; PVD)、化學氣相沉積(chemical vapor deposition; CVD)、蝕刻、退火、固化、預清潔、金屬或金屬氧化物去除等中的兩者或兩個以上者的組合。可在其中的基板上實施其他製程。處理腔室314、316、318可各自包括一或更多個感測器,該等感測器被配置為在基板製程之前、之後或期間捕獲基板302的資料。例如,一或更多個感測器可被配置為在基板製程期間捕獲基板302的一部分的光譜資料及/或非光譜資料。在其他或類似的實施例中,一或更多個感測器可被配置為在基板製程之前、之後或期間捕獲與處理腔室314、316、318內的環境相關聯的資料。例如,一或更多個感測器可被配置為在基板製程期間捕獲與處理腔室314、316、318內的環境的溫度、壓力、氣體濃度等相關聯的資料。
裝載閘320亦可耦接至外殼308及移送腔室310。裝載閘320可被配置為連接至及耦接至移送腔室310的一側及工廠介面306。在一些實施例中,裝載閘320可具有環境受控的氣氛,該氣氛可從真空環境(在真空環境中基板可被移送進出移送腔室310)改變至大氣壓或接近大氣壓的惰性氣體環境(在惰性氣體環境中基板可被移送進出工廠介面306)。裝載閘320可包括一或更多個感測器,以在處理腔室314、316、318的基板製程之前、之後或期間捕獲與基板302相關聯的資料。例如,裝載閘320可包括振動感測器(例如,壓電感測器),其被配置為偵測及監控在處理腔室314、316、318的基板製程期間在裝載閘320內發生的振動量。在另一實例中,裝載閘320可包括溫度感測器(例如,紅外照相機),以在基板製程期間偵測及監控裝載閘320的溫度。應當注意,儘管本揭示案的實施例描述了在基板製程期間在裝載閘320處監控裝載閘320狀態的感測器,如振動感測器或溫度感測器,但任何類型的感測器都可在製造系統100的任何站使用,以在基板製程期間監控該站的狀態及/或健康狀況。
工廠介面306可為任何合適的外殼,如設備前端模組(Equipment Front End Module; EFEM)。工廠介面306可被配置為從停在工廠介面306的各個裝載埠324的基板載體322(例如,前開口統一晶圓盒(front opening unified pod; FOUP))處接收基板302。工廠介面機器人326(以虛線示出)可被配置為在載體(亦稱為容器)322與裝載閘320之間移送基板302。載體322可為基板儲存載體或替換部件儲存載體。工廠介面306及/或載體322可包括一或更多個感測器(例如,振動感測器、溫度感測器等)以根據前述實施例在處理腔室314、316、318中的基板製程之前、之後或期間捕獲與基板302相關聯的資料。
製造系統300亦可連接至用戶端裝置(未示出),該用戶端裝置被配置為向使用者(例如,操作者)提供關於製造系統300的資訊。在一些實施例中,用戶端裝置可經由一或更多個圖形化使用者介面(graphical user interface; GUI)向製造系統300的使用者提供資訊。例如,用戶端裝置可藉由GUI提供關於要對基板302的處理配方進行的一或更多個修改的資訊。
製造系統300亦可包括系統控制器328。系統控制器328可為及/或包括計算裝置,如個人電腦、伺服器電腦、可程式化邏輯控制器(programmable logic controller; PLC)、微控制器等。系統控制器328可包括一或更多個處理裝置,該等裝置可為通用處理裝置,如微處理器、中央處理單元等。更特定而言,處理裝置可為複雜指令集計算(complex instruction set computing; CISC)微處理器、精簡指令集計算(reduced instruction set computing; RISC)微處理器、超長指令字(very long instruction word; VLIW)微處理器、實施其他指令集的處理器或者實施指令集組合的處理器。處理裝置亦可為一或更多個專用處理裝置,如特殊應用積體電路(application specific integrated circuit; ASIC)、現場可程式化閘陣列(field programmable gate array; FPGA)、數位信號處理器(digital signal processor; DSP)、網路處理器等。系統控制器328可包括資料儲存裝置(例如,一或更多個磁碟機及/或固態驅動器)、主記憶體、靜態記憶體、網路介面及/或其他部件。系統控制器328可執行指令以執行本文描述的方法及/或實施例中的任何一或更多者。在一些實施例中,系統控制器328可執行指令,以根據處理配方在製造系統300處執行一或更多個操作。指令可儲存在電腦可讀取儲存媒體上,該媒體可包括主記憶體、靜態記憶體、二級儲存裝置及/或處理裝置(在指令執行期間)。
系統控制器328可從製造系統300的各個部分(例如,處理腔室314、316、318、移送腔室310、裝載閘320等)上或內部包括的感測器接收資料。在一些實施例中,系統控制器328接收的資料可包括基板302的一部分的光譜資料及/或非光譜資料。在其他或類似的實施例中,系統控制器328接收的資料可包括與在處理腔室314、316、318處理基板302相關聯的資料,如前所述。出於本說明書的目的,將系統控制器328描述為從包括在處理腔室314、316、318內的感測器接收資料。然而,根據本文描述的實施例,系統控制器328可從製造系統300的任何部分接收資料,並且可使用從該部分接收的資料。在說明性實例中,系統控制器328可在處理腔室314、316、318處的基板製程之前、之後或期間,從處理腔室314、316、318的一或更多個感測器接收資料。從製造系統300的各個部分的感測器接收的資料可儲存在資料儲存器350中。資料儲存器350可被包括作為系統控制器328內的部件,或者可為與系統控制器328分離的部件。在一些實施例中,資料儲存器350可為參考第1圖描述的資料儲存器140。
製造系統300可進一步包括基板量測子系統340。基板量測子系統340可在製造系統300處理基板302之前或之後獲得基板302的一或更多個部分的光譜量測結果。在一些實施例中,基板量測子系統340可回應於從系統控制器328接收到對光譜量測結果的請求,獲得基板302的一或更多個部分的光譜量測結果。基板量測子系統340可整合在製造系統300的一部分中。在一些實施例中,基板量測子系統340可整合在工廠介面306內。在其他或類似的實施例中,基板量測子系統340可不與製造系統300的任何部分整合,而是可為獨立的部件。在此種實施例中,在基板量測子系統340處量測的基板302可在製造系統300處理基板302之前或之後移送至製造系統300的一部分,或從製造系統300的一部分移送出。
基板量測子系統340可藉由產生基板302的一部分的光譜資料及/或光譜,來獲得基板302的一部分的光譜量測結果。在一些實施例中,基板量測子系統340被配置為產生基板302的光譜資料、非光譜資料、位置資料及其他基板特性資料(例如,基板302的厚度、基板302的寬度等)。在產生基板302的資料之後,基板量測子系統340可將產生的資料傳輸至系統控制器328。回應於從基板量測子系統340接收資料,系統控制器328可將資料儲存在資料儲存器350中。
第4圖是根據本揭示案各態樣的基板量測子系統400的示意性橫剖面側視圖。基板量測子系統400可被配置為在處理腔室中處理基板302之前或之後,獲得諸如第3圖的基板302的基板的一或更多個部分的量測結果。基板量測子系統400可藉由產生與基板302的一部分相關聯的資料(例如,光譜資料、非光譜資料等)來獲得基板302的一部分的量測值。在一些實施例中,基板量測子系統400可被配置為產生光譜資料、非光譜資料、位置資料及/或與基板302相關聯的其他特性資料。基板量測子系統400可包括控制器430,控制器430被配置為執行一或更多個指令,以用於產生與基板302的一部分相關聯的資料。
基板量測子系統400可偵測到基板302已經移送至基板量測子系統400。回應於偵測到基板302已經移送至基板量測子系統400,基板量測子系統400可決定基板302的位置及/或定向。基板302的位置及/或定向可基於基板302的參考位置的辨識來決定。參考位置可為基板302的一部分,其包括與基板302的特定部分相關聯的辨識特徵。控制器328可基於為基板302決定的辨識資訊,來決定與基板302的特定部分相關聯的辨識特徵。
控制器430可使用一或更多個被配置為捕獲基板302的圖像資料的照相機部件450,來辨識基板302的參考位置。照相機部件450可產生用於基板302的一或更多個部分的圖像資料,並將圖像資料傳輸至控制器430。控制器430可分析圖像資料以辨識與基板302的參考位置相關聯的辨識特徵。控制器430可進一步基於已辨識的基板302辨識特徵來決定圖像資料中繪示的基板302的位置及/或定向。控制器430可基於已辨識的基板302辨識特徵及已決定的在圖像資料中繪示的基板302位置及/或定向,來決定基板302的位置及/或定向。回應於決定基板302的位置及/或定向,控制器430可產生與基板302的一或更多個部分相關聯的位置資料。在一些實施例中,位置資料可包括一或更多個坐標(例如,笛卡兒坐標、極坐標等),每個坐標都與基板302的一部分相關聯,其中每個坐標都是基於離基板302的參考位置的距離來決定的。
基板量測子系統400可包括用於量測基板302的一或更多個量測部件。在一些實施例中,基板量測子系統400可包括一或更多個光譜感測部件420,其被配置為產生基板302的一或更多個部分的光譜資料。如前所述,對於偵測到的波的每個波長,光譜資料可對應於偵測到的能量波的強度(即,強度或能量)。參看第5圖,圖中提供關於收集的光譜資料的更多細節。
光譜感測部件420可被配置為偵測從基板302的一部分反射的能量波,並產生與偵測到的波相關聯的光譜資料。光譜感測部件420可包括波產生器422及反射波接收器424。在一些實施例中,波產生器422可為光波產生器,其被配置為產生朝向基板302的一部分的光束。在此種實施例中,反射波接收器424可被配置為從基板302的一部分接收反射光束。波產生器422可被配置為產生能量串流426(例如,光束)並將能量串流426傳輸至基板302的一部分。反射能量波428可從基板302的該部分反射,並被反射波接收器424接收。儘管第3A圖示出了從基板302的表面反射的單個能量波,但多個能量波可從基板302的表面反射並被反射波接收器424接收。
回應於反射波接收器424從基板302的一部分接收反射能量波428,光譜感測部件420可量測反射能量波428中包括的每個波的波長。光譜感測部件420可進一步量測每個量測波長的強度。回應於量測每個波長及每個波長強度,光譜感測部件420可產生基板302的該部分的光譜資料。光譜感測部件420可將產生的光譜資料傳輸至控制器430。控制器430可回應於接收到產生的光譜資料,產生接收到的光譜資料與基板302的量測部分的位置資料之間的映射。
基板量測子系統400可被配置為基於在基板量測子系統400處獲得的量測類型來產生特定類型的光譜資料。在一些實施例中,光譜感測部件420可為第一光譜感測部件,其被配置為產生一種類型的光譜資料。例如,光譜感測部件420可被配置為產生反射光譜資料、橢偏計光譜資料、高光譜成像資料、化學成像資料、熱光譜資料或導電光譜資料。在此種實施例中,第一光譜感測部件可從基板量測子系統400移除,並被替換為第二光譜感測部件,該第二光譜感測部件被配置為產生不同類型的光譜資料(例如,反射光譜資料、橢偏計光譜資料、高光譜成像資料、化學成像資料、渦流光譜資料、熱光譜資料或導電光譜資料)。
在一些實施例中,一或更多個量測部件,如光譜感測部件420,可為基板量測子系統400內的固定部件。在此種實施例中,基板量測子系統400可包括一或更多個定位部件440,其被配置為修改基板302相對於光譜感測部件420的位置及/或定向。在一些實施例中,定位部件440可被配置為相對於光譜感測部件420沿著第一軸及/或第二軸平移基板302。在其他或類似的實施例中,定位部件440可被配置為相對於光譜感測部件420圍繞第三軸旋轉基板302。
當光譜感測部件420產生基板302的一或更多個部分的光譜資料時,定位部件440可根據基板302待量測的一或更多個決定部分來修改基板302的位置及/或定向。例如,在光譜感測部件420產生基板302的光譜資料之前,定位部件440可將基板302定位在笛卡兒坐標(0,0),而光譜感測部件420可產生基板302在笛卡兒坐標(0,0)的第一光譜資料。回應於光譜感測部件420在笛卡兒坐標(0,0)產生基板302的第一光譜資料,定位部件440可沿著第一軸平移基板302,使得光譜感測部件420被配置為在笛卡兒坐標(0,1)產生基板302的第二光譜資料。回應於光譜感測部件420在笛卡兒坐標(0,1)產生基板302的第二光譜資料,控制器430可沿著第二軸旋轉基板302,使得光譜感測部件420被配置為在笛卡兒坐標(1,1)產生基板302的第三光譜資料。該流程可發生多次,直到產生了基板302的每個決定部分的光譜資料。
在一些實施例中,基板302的表面上可包括一或更多層材料412。一或更多個層412可包括蝕刻材料、光阻材料、遮罩材料、沉積材料等。在一些實施例中,一或更多個層412可包括將要根據在處理腔室中執行的蝕刻製程來蝕刻的蝕刻材料。根據先前揭示的實施例,在此種實施例中,可收集沉積在基板302上的層412的未蝕刻蝕刻材料的一或更多個部分的光譜資料。在其他或類似的實施例中,一或更多個層412可包括已經根據處理腔室中的蝕刻製程而被蝕刻的蝕刻材料。在此種實施例中,一或更多個結構特徵(例如,線、柱、開口等)可被蝕刻到基板302的一或更多個層412中。在此種實施例中,可收集蝕刻到基板302的一或更多個層412中的一或更多個結構特徵的光譜資料。
根據本文所述的實施例,回應於接收到基板302的光譜資料、位置資料或特性資料中的至少一者,控制器430可將接收到的資料傳輸至系統控制器328以進行處理及分析。
第5圖示出了根據本揭示案各態樣的收集到的基板光譜資料500。根據本揭示案的態樣,光譜資料可從由第4圖的基板量測子系統400或諸如第3圖的處理腔室314、316、318的處理腔室的感測器接收的反射能中產生。如圖所示,由基板量測子系統400接收的反射能量波中可包括多個波長。每個反射能量波可與基板302的不同部分相關聯。在一些實施例中,可量測由基板量測子系統400接收的每個反射能量波的強度。如第5圖所示,可針對由基板量測子系統400接收的反射能量波的每個波長來量測每個強度。每個強度與每個波長之間的關聯可為形成光譜資料500的基礎。在一些實施例中,一或更多個波長可與預期強度值範圍之外的強度值相關聯。例如,線510可與強度值的預期範圍之外的強度值相關聯,如線520所示。在此種實施例中,預期強度值範圍之外的強度值可為在基板302的一部分存在缺陷的指示。
第6圖是根據本揭示案各態樣,使用機器學習來偵測基板漂移並預測特定處理配方的修改的方法600的流程圖。方法600由可包括硬體(電路系統、專用邏輯等)軟體(例如在通用電腦系統或專用機器上運行的軟體)、韌體或上述各者的某種組合的處理邏輯來執行。在一些實施例中,方法600可使用第1圖的預測伺服器112及受訓練的機器學習模型190來執行。在其他或類似的實施例中,第6圖的一或更多個方塊步驟可由第1圖中未示出的一或更多個其他機器來執行。
在方塊610,處理邏輯接收與根據第一處理配方在製造系統中處理一組基板相關聯的資料。在一些實施例中,處理邏輯可從感測器126接收與處理該組基板相關聯的資料,如前所述。例如,處理邏輯可從處理腔室、移送腔室、裝載閘、工廠介面、基板載體等處的感測器接收資料。在其他或類似的實施例中,處理邏輯亦可從基板量測子系統接收資料。
在方塊612,處理邏輯將接收到的用於處理該組基板的資料作為輸入提供至受訓練的機器學習模型。根據先前描述的實施例,在一些實施例中,處理邏輯可為該組基板中的每一個基板獲得一組計量量測值。例如,處理邏輯可從外部計量系統或連接至製造系統的用戶端裝置接收該組計量量測值。在另一實例中,處理邏輯可使用受訓練的計量機器學習模型來決定該組計量量測值中的每一者。
在方塊614,處理邏輯從機器學習模型獲得輸出。在方塊616,處理邏輯進一步根據一或更多個輸出決定第一組基板的第一組計量量測值相對於目標計量量測值的漂移量。該處理邏輯亦根據一或更多個輸出決定處理配方修改資料,該資料辨識對處理配方的一或更多個修改,並且對於每個修改,決定一相應修改滿足第二組基板的漂移準則的置信位準的指示。對處理配方的修改可包括修改特定處理配方的操作或者產生指令以終止對製造系統中待處理的隨後一組基板的處理配方的執行。在一些實施例中,處理邏輯亦可根據一或更多個輸出來決定製造系統中正在導致漂移的一或更多個部件的標識符。在此種實施例中,對處理配方的修改可包括向系統控制器產生指令,以在後續處理期間監控部件的狀態,從而決定是否需要替換部件。
在方塊618,處理邏輯決定是否滿足一或更多個修改中的每一個修改的置信位準準則。回應於決定對於一或更多個修改中的任何一個修改不滿足置信位準準則,方法600結束。處理邏輯可回應於決定相應修改經預測會減少在製造系統中隨後處理的一組基板的基板處理漂移量,來決定對處理配方的修改滿足漂移準則。回應於決定對於一或更多個修改中的至少一個修改滿足置信位準準則,處理邏輯辨識滿足置信位準準則的修改。
在方塊620,處理邏輯基於所辨識的修改來更新處理配方。例如,處理邏輯可對製造系統隨後要處理的基板執行處理配方的一或更多個操作。在另一實例中,處理邏輯可產生指令來終止對該組後續基板的處理配方的執行。在一些實施例中,處理邏輯可向製造系統的操作員產生指示所辨識的修改的通知。例如,可藉由用戶端裝置經由GUI顯示器來提供通知。該通知可包括所辨識的修改及一或更多個GUI元素,該等GUI元素賦能操作員接受或拒絕該修改。在其他或類似的實施例中,通知可提供對處理配方的多個替代修改及一或更多個GUI元素,該等GUI元素賦能操作者選擇對其他替代修改的預測。在其他或類似的實施例中,處理邏輯可不向製造系統的操作員提供通知,而是可基於所辨識的修改來修改處理配方。
在一些實施例中,由於製造系統的一或更多個站發生的缺陷,可預測對操作的修改。在此種實施例中,處理邏輯可向製造系統的操作員提供通知,指示包括缺陷的站,且在一些情況下,指示站中導致缺陷的特定部件。該通知可與對處理配方的修改通知一起提供,或者與修改通知分開提供。
第7圖繪示了根據本揭示案的一或更多個態樣操作的說明性電腦系統700的方塊圖。在替代實施例中,機器可連接(例如,聯網)至區域網路(Local Area Network; LAN)、內部網路、外部網路或網際網路中的其他機器。該機器可以用戶端-伺服器網路環境中的伺服器或用戶端機器的身份操作,或者作為同級間(或分散式)網路環境中的同級間機器操作。該機器可為個人電腦(personal computer; PC)、平板電腦、機上盒(set-top box; STB)、個人數位助理(Personal Digital Assistant; PDA)、蜂巢式電話、網路設備、伺服器、網路路由器、交換機或橋接器,或者能夠執行指定該機器要採取的動作的一組指令(順序或以其他次序)的任何機器。此外,儘管僅示出了單個機器,但術語「機器」亦應當被理解為包括單獨或聯合執行一組(或多組)指令以執行本文論述方法中任何一或更多者的機器(例如,電腦)的任何集合。在實施例中,計算裝置1100可對應於第3圖的系統控制器328或製造系統100的另一處理裝置。
示例計算裝置700包括處理裝置702、主記憶體704(例如,唯讀記憶體(read-only memory; ROM)、快閃記憶體、動態隨機存取記憶體(dynamic random access memory; DRAM)(如同步動態隨機存取記憶體(synchronous DRAM; SDRAM)等)、靜態記憶體706(例如,快閃記憶體、靜態隨機存取記憶體(static random access memory; SRAM)等)及二級記憶體(例如,資料儲存裝置728),上述各者藉由匯流排708相互通信。
處理裝置702可表示一或更多個通用處理器,如微處理器、中央處理單元等。更具體而言,處理裝置702可為複雜指令集計算(complex instruction set computing; CISC)微處理器、精簡指令集計算(reduced instruction set computing; RISC)微處理器、超長指令字(very long instruction word; VLIW)微處理器、實施其他指令集的處理器或者實施指令集組合的處理器。處理裝置802亦可為一或更多個專用處理裝置,如特殊應用積體電路(application specific integrated circuit; ASIC)、現場可程式化閘陣列(field programmable gate array; FPGA)、數位信號處理器(digital signal processor; DSP)、網路處理器等。處理裝置702亦可為或包括單晶片系統(system on a chip; SoC)、可程式化邏輯控制器(programmable logic controller; PLC)或其他類型的處理裝置。處理裝置702被配置為執行處理邏輯,以用於實施本文論述的操作及步驟。
計算裝置700可進一步包括用於與網路764通信的網路介面裝置722。計算裝置700亦可包括視訊顯示單元710(例如,液晶顯示器(liquid crystal display; LCD)或陰極射線管(cathode ray tube; CRT))、字母數位元輸入裝置712(例如,鍵盤)、游標控制裝置714(例如,滑鼠)及信號產生裝置720(例如,揚聲器)。
資料儲存裝置728可包括機器可讀取儲存媒體(或者更具體而言,非暫時性電腦可讀取儲存媒體)724,其上儲存了一或更多組指令726,該等指令726體現了本文描述的方法或功能中的任何一或更多者。其中非暫時性儲存媒體指除載波之外的儲存媒體。指令726亦可在由電腦裝置700執行期間完全或至少部分地駐留在主記憶體704及/或處理裝置702中,主記憶體704及處理裝置702亦構成電腦可讀取儲存媒體。
電腦可讀取儲存媒體724亦可用於儲存模型190及用於訓練模型190的資料。電腦可讀取儲存媒體724亦可儲存包含調用模型190的方法的軟體庫。儘管電腦可讀取儲存媒體724在示例實施例中被示為單個媒體,但是術語「電腦可讀取儲存媒體」應當被理解為包括儲存一或更多組指令的單個媒體或多個媒體(例如,集中式或分散式資料庫,及/或相關聯的快取記憶體及伺服器)。術語「電腦可讀取儲存媒體」亦應被理解為包括:能夠儲存或編碼一組由機器執行的指令,且使機器執行本揭示案方法中任何一或更多者的任何媒體。因此,術語「電腦可讀取儲存媒體」應被理解為包括但不限於固態記憶體及光學及磁性媒體。
前文的描述闡述了諸多具體細節,如具體系統、部件、方法等的實例,以便提供對本揭示案的數個實施例的良好理解。然而,對於熟習此項技術者而言顯而易見,可在沒有該等具體細節的情況下實施本揭示案的至少一些實施例。在其他情況下,眾所熟知的部件或方法沒有詳細描述,或者以簡單的方塊圖格式顯示,以避免無謂地模糊本揭示案。因此,所闡述的具體細節僅為示例性的。特定實施方式可不同於該等示例性細節,且仍被視作在本揭示案的範疇內。
在說明書全文中提及「一個實施例」或「一實施例」意味著結合該實施例描述的特定特徵、結構或特性被包括在至少一個實施例中。因此,短語「在一個實施例中」或「在一實施例中」在本說明書各處的出現未必指示同一實施例。此外,術語「或」意欲表示包含性的「或」,而非排他性的「或」。當本文使用術語「約」或「近似」時,此意欲表示所顯示的標稱值精確至10%以內。
儘管本文方法的操作以特定的次序示出及描述,但每個方法的操作次序可改變,使得某些操作可以相反的次序執行,使得某些操作可至少部分地與其他操作同時執行。在另一實施例中,不同操作的指令或子操作可以間歇及/或交替的方式執行。
應當理解,以上描述是說明性的,而非限制性的。在閱讀及理解以上描述後,諸多其他實施例對於熟習此項技術者而言將為顯而易見的。因此,本揭示案的範疇應當參考所附專利申請範圍,及該等專利申請範圍所賦予之等同物的全部範疇來決定。
110:預測系統 112:預測伺服器 114:預測部件 120:用戶端裝置 124:製造設備 125:感測器 126:感測器 128:計量設備 130:網路 140:資料儲存器 170:伺服機 172:訓練集產生器 180:伺服機 182:訓練引擎 184:驗證引擎 185:選擇引擎 186:測試引擎 190:機器學習模型 200:方法 210:步驟 212:步驟 214:步驟 216:步驟 218:步驟 220:步驟 222:步驟 224:步驟 226:步驟 228:步驟 300:製造系統 302:基板 304:處理工具 306:工廠介面 308:外殼 310:移送腔室 312:移送腔室機器人 314:處理腔室 316:處理腔室 318:處理腔室 320:裝載閘 322:載體 324:裝載埠 326:工廠介面機器人 328:系統控制器 340:基板量測子系統 350:資料儲存器 400:基板量測子系統 412:層 420:光譜感測部件 422:波產生器 424:反射波接收器 426:能量串流 428:反射能量波 430:控制器 440:定位部件 450:照相機部件 500:基板光譜資料 510:線 520:線 600:方法 610:步驟 612:步驟 614:步驟 616:步驟 618:步驟 620:步驟 700:電腦系統 702:處理裝置 704:主記憶體 706:靜態記憶體 708:匯流排 710:視訊顯示單元 712:字母數位元輸入裝置 714:游標控制裝置 720:信號產生裝置 722:網路介面裝置 724:機器可讀取儲存媒體 726:指令 728:資料儲存裝置 764:網路
在附圖的圖式中,以實例的方式而非限制的方式示出了本揭示案,圖式中相似的元件符號表示相似的部件。應當注意,本揭示案中對「一」或「一個」實施例的不同引用不一定指示同一實施例,且此種引用意味著至少一個。
第1圖繪示了根據本揭示案各態樣的說明性電腦系統架構。
第2圖是根據本揭示案各態樣的用於訓練機器學習模型的方法的流程圖。
第3圖是根據本揭示案各態樣的示例製造系統的俯視示意圖。
第4圖是根據本揭示案各態樣的基板量測子系統的示意性橫剖面側視圖。
第5圖示出了根據本揭示案各態樣的對基板收集到的光譜資料。
第6圖是根據本揭示案各態樣,使用機器學習來偵測基板漂移並預測特定處理配方的修改的方法的流程圖。
第7圖繪示了根據本揭示案的一或更多個態樣操作的說明性電腦系統的方塊圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
600:方法
610:步驟
612:步驟
614:步驟
616:步驟
618:步驟
620:步驟

Claims (20)

  1. 一種系統,包括: 一記憶體,儲存一受訓練的機器學習模型;及 一處理裝置,耦接至該記憶體,該處理裝置用於: 提供與根據一處理配方在一製造系統中處理一第一組基板中的每一個基板相關聯的資料,作為對一受訓練的機器學習模型的輸入; 從該受訓練的機器學習模型獲得一或更多個輸出; 根據該一或更多個輸出決定: 該第一組基板的一第一組計量量測值相對於一目標計量量測值的一漂移量,及 處理配方修改資料,辨識對該處理配方的一或更多個修改,及對於每個修改,對該處理配方的一相應修改滿足一第二組基板的一漂移準則的一置信位準的一指示;及 回應於辨識該相應修改具有滿足一置信位準準則的一置信位準,基於該相應的修改更新該處理配方。
  2. 如請求項1所述的系統,其中該處理裝置進一步用於: 從一基板量測子系統接收該第一組基板中的每一個基板的一第一組量測結果,該第一組量測結果包括與該第一組基板的一相應基板的一輪廓相關聯的光譜資料或非光譜資料中的至少一者,其中與該處理該第一組基板中的每一個基板相關聯的該資料包括該第一組量測結果的該光譜資料或該非光譜資料中的至少一者。
  3. 如請求項2所述的系統,其中該處理裝置進一步用於: 接收針對該第一組基板中的每一個基板的一第二組量測結果,該第二組量測結果從該製造系統的一處理腔室、一移送腔室、一裝載閘、一工廠介面或一基板儲存容器中的至少一者的一或更多個感測器處接收。
  4. 如請求項1所述的系統,其中該處理裝置進一步用於: 提供該第一組基板的該第一組計量量測值,作為該受訓練的機器學習模型的額外輸入。
  5. 如請求項1所述的系統,其中,回應於決定該相應修改被預測會導致該第二組基板的一組預測計量量測值的一漂移量低於一臨限量,對該處理配方的一相應修改滿足該第二組基板的該漂移準則。
  6. 如請求項1所述的系統,其中基於該相應修改來更新該處理配方包括修改該處理配方的一操作或產生終止該第二組基板的該處理配方的執行的一指令中的至少一者。
  7. 如請求項6所述的系統,其中,為基於該相應修改來更新該處理配方,該處理裝置進一步用於: 向連接至該製造系統的一用戶端裝置發送修改該第二組基板的該處理配方的一請求;及 從該用戶端裝置接收修改該第二組基板的該處理配方的一指令,其中根據該接收的指令更新該處理配方。
  8. 如請求項1所述的系統,其中回應於決定該置信位準超過一臨限置信位準值,該相應修改的該置信位準滿足該置信位準準則。
  9. 一種用於訓練一機器學習模型以預測對一製造系統中正在處理的一當前基板的一特定處理配方的一修改的方法,該方法包括以下步驟: 為該機器學習模型產生第一訓練資料,其中該第一訓練資料包括與根據一第一處理配方在該製造系統處先前處理的一第一組先前基板相關聯的歷史資料,及與該組先前基板中的每一個基板相關聯的一第一組歷史計量量測值; 為該機器學習模型產生第二訓練資料,其中該第二訓練資料包括與根據一第二處理配方在該製造系統中先前處理的一第二組先前基板相關聯的歷史資料,及與該組先前基板中的每一個基板相關聯的一第二組歷史計量量測值; 為該機器學習模型產生第三訓練資料,其中該第三訓練資料包括該第一處理配方與該第二處理配方之間的一差異的一指示;及 提供該第一訓練資料、該第二訓練資料及該第三訓練資料,以訓練該機器學習模型,從而對於在該製造系統中處理的該當前基板的該特定處理配方,預測對該處理配方的哪個修改將滿足在該當前基板之後待處理的一組後續基板的一漂移準則。
  10. 如請求項9所述的方法,其中產生該第一訓練資料之步驟包括以下步驟: 對於該第一組先前基板的每個先前基板,基於為該先前基板的一或更多個部分產生的歷史光譜資料或歷史非光譜資料中的至少一者,決定一相應歷史量測值。
  11. 如請求項10所述的方法,進一步包括以下步驟: 從該製造系統的一基板量測子系統接收該第一組先前基板的一第一先前基板的一部分的一第一組量測結果,該第一組量測結果包括為該第一先前基板的該部分產生的相應歷史光譜資料或相應歷史非光譜資料中的至少一者。
  12. 如請求項9所述的方法,其中產生該第一訓練資料之步驟包括以下步驟: 從通信耦接至該製造系統的一計量系統接收與該第一組先前基板中的每一個基板相關聯的第一組歷史測量值。
  13. 如請求項9所述的方法,其中產生該第一訓練資料之步驟包括以下步驟:基於該相應先前基板的一或更多個部分的歷史光譜資料或歷史非光譜資料中的至少一者,為該第一組先前基板的該每個先前基板決定一相應的歷史量測值,且其中產生該第二訓練資料之步驟包括以下步驟:從連接至該製造系統的一用戶端裝置或連接至該製造系統的一計量量測工具中的至少一者接收與該第二組先前基板中每一個基板相關聯的該第二組歷史量測值。
  14. 如請求項9所述的方法,其中產生該第一訓練資料之步驟包括以下步驟: 從該製造系統的一處理腔室、一移送腔室、一裝載閘、一工廠介面或一基板儲存容器中至少一者的一或更多個感測器接收一組量測值,該組量測值是在執行該第一組先前基板的每一個基板的該第一處理配方期間產生的,其中與該第一組先前基板相關聯的該歷史資料包括接收到的該組量測值。
  15. 一種非暫時性電腦可讀取儲存媒體,包括指令,當由一處理裝置執行時,該等指令使得該處理裝置: 提供與根據一處理配方在一製造系統中處理一第一組基板中的每一個基板相關聯的資料,作為對一受訓練的機器學習模型的輸入; 從該受訓練的機器學習模型獲得一或更多個輸出; 根據該一或更多個輸出決定: 該第一組基板的一第一組計量量測值相對於一目標計量量測值的一漂移量,及 處理配方修改資料,辨識對該處理配方的一或更多個修改,及對於每個修改,對該處理配方的一相應修改滿足一第二組基板的一漂移準則的一置信位準的一指示;及 回應於辨識該相應修改具有滿足一置信位準準則的一置信位準,基於該相應的修改更新該處理配方。
  16. 如請求項15所述的非暫時性電腦可讀取儲存媒體,其中,該處理裝置進一步用於: 從一基板量測子系統接收該第一組基板中的一第一基板的一第一組量測結果,該第一組量測結果包括與該每一第一基板的一輪廓相關聯的光譜資料或非光譜資料中至少一者,其中與處理該第一組基板中每一基板相關聯的該資料包括該組量測結果的該光譜資料或非光譜資料中的至少一者。
  17. 如請求項16所述的非暫時性電腦可讀取儲存媒體,其中,該處理裝置進一步用於: 從該製造系統的一處理腔室、一移送腔室、一裝載閘、一工廠介面或一基板儲存容器中的至少一者的一或更多個感測器接收該第一基板的一第二組量測結果。
  18. 如請求項15所述的非暫時性電腦可讀取儲存媒體,其中,該處理裝置進一步用於: 提供該第一組基板的該第一組計量量測值,作為該受訓練的機器學習模型的額外輸入。
  19. 如請求項15所述的非暫時性電腦可讀取儲存媒體,其中,回應於決定該相應修改被預測會導致該第二組基板的一組預測估計計量量測值的一漂移量低於一臨限量,對該處理配方的一相應修改滿足該第二組基板的該漂移準則。
  20. 如請求項15所述的非暫時性電腦可讀取儲存媒體,其中基於該相應修改來更新該處理配方包括修改該處理配方的一操作或產生終止該第二組基板的該處理配方的執行的一指令中的至少一者。
TW110132210A 2020-08-31 2021-08-31 使用機器學習偵測及校正基板處理漂移 TW202225873A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063072824P 2020-08-31 2020-08-31
US63/072,824 2020-08-31
US17/379,728 2021-07-19
US17/379,728 US20220066411A1 (en) 2020-08-31 2021-07-19 Detecting and correcting substrate process drift using machine learning

Publications (1)

Publication Number Publication Date
TW202225873A true TW202225873A (zh) 2022-07-01

Family

ID=80354104

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110132210A TW202225873A (zh) 2020-08-31 2021-08-31 使用機器學習偵測及校正基板處理漂移

Country Status (7)

Country Link
US (1) US20220066411A1 (zh)
EP (1) EP4205048A1 (zh)
JP (1) JP2023535126A (zh)
KR (1) KR20230005323A (zh)
CN (1) CN115668239A (zh)
TW (1) TW202225873A (zh)
WO (1) WO2022047235A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11940488B2 (en) 2017-01-05 2024-03-26 Xcalipr Corporation Systems and methods for high precision optical characterization of carrier transport properties in semiconductor manufacturing
US11688616B2 (en) * 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
US20230350394A1 (en) * 2022-04-27 2023-11-02 Applied Materials, Inc. Run-to-run control at a manufacturing system using machine learning
US20230359179A1 (en) * 2022-05-05 2023-11-09 Applied Materials, Inc. Methods and mechanisms for adjusting film deposition parameters during substrate manufacturing
US20240128100A1 (en) * 2022-10-14 2024-04-18 Applied Materials, Inc. Methods and systems for a spectral library at a manufacturing system

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI779986B (zh) * 2016-11-30 2022-10-01 美商應用材料股份有限公司 使用神經網路的光譜監測
US10515172B2 (en) * 2017-10-20 2019-12-24 Taiwan Semiconductor Manufacturing Company Limited RC tool accuracy time reduction
JP7184547B2 (ja) * 2018-06-27 2022-12-06 株式会社Screenホールディングス 補正方法、基板処理装置、及び基板処理システム
US10705514B2 (en) * 2018-10-09 2020-07-07 Applied Materials, Inc. Adaptive chamber matching in advanced semiconductor process control
EP3974906A1 (en) * 2018-11-07 2022-03-30 ASML Netherlands B.V. Determining a correction to a process

Also Published As

Publication number Publication date
JP2023535126A (ja) 2023-08-16
KR20230005323A (ko) 2023-01-09
CN115668239A (zh) 2023-01-31
US20220066411A1 (en) 2022-03-03
EP4205048A1 (en) 2023-07-05
WO2022047235A1 (en) 2022-03-03

Similar Documents

Publication Publication Date Title
US20220066411A1 (en) Detecting and correcting substrate process drift using machine learning
US20220026817A1 (en) Determining substrate profile properties using machine learning
US11989495B2 (en) Systems and methods for predicting film thickness using virtual metrology
US11842910B2 (en) Detecting outliers at a manufacturing system using machine learning
WO2022186987A1 (en) Systems and methods for process chamber health monitoring and diagnostics using virtual model
US11862520B2 (en) Systems and methods for predicting film thickness of individual layers using virtual metrology
US11532525B2 (en) Controlling concentration profiles for deposited films using machine learning
US20240128100A1 (en) Methods and systems for a spectral library at a manufacturing system
US20230062206A1 (en) Determining substrate profile properties using machine learning
US20230317481A1 (en) Temperature-based metrology calibration at a manufacturing system
US20240069537A1 (en) Substrate placement optimization using substrate measurements
US20240071838A1 (en) Substrate placement optimization using substrate measurements
US20230118964A1 (en) Controlling concentration profiles for deposited films using machine learning
WO2024081764A1 (en) Determining substrate profile properties using machine learning
US20230236583A1 (en) Chamber component condition estimation using substrate measurements
US20230350394A1 (en) Run-to-run control at a manufacturing system using machine learning