TW201841232A - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TW201841232A
TW201841232A TW106141146A TW106141146A TW201841232A TW 201841232 A TW201841232 A TW 201841232A TW 106141146 A TW106141146 A TW 106141146A TW 106141146 A TW106141146 A TW 106141146A TW 201841232 A TW201841232 A TW 201841232A
Authority
TW
Taiwan
Prior art keywords
epitaxial layer
layer
epitaxial
fin structure
fin
Prior art date
Application number
TW106141146A
Other languages
English (en)
Other versions
TWI671808B (zh
Inventor
李昆穆
陳兩儀
蕭文助
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201841232A publication Critical patent/TW201841232A/zh
Application granted granted Critical
Publication of TWI671808B publication Critical patent/TWI671808B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/408Electrodes ; Multistep manufacturing processes therefor with an insulating layer with a particular dielectric or electrostatic property, e.g. with static charges or for controlling trapped charges or moving ions, or with a plate acting on the insulator potential or the insulator charges, e.g. for controlling charges effect or potential distribution in the insulating layer, or with a semi-insulating layer contacting directly the semiconductor surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

一種半導體裝置包括閘極結構形成於此半導體裝置之通道區域之上,源極/汲極區域相鄰於此通道區域,以及導電接觸層位於此源極/汲極區域之上。此源極/汲極區域包括第一磊晶層具有第一材料組成,以及第二磊晶層形成於此第一磊晶層之上。此第二磊晶層具有不同於此第一材料組成的第二材料組成。導電接觸層接觸第一及第二磊晶層,且此導電接觸層之底部位於此第一磊晶層之最上部分之下。

Description

半導體裝置及其製造方法
本發明實施例係有關於一種半導體積體電路,且特別有關於一種具有磊晶源極/汲極結構的半導體裝置及其製造方法,其中此磊晶源極/汲極結構具有空隙。
隨著半導體工業已經進入奈米技術製程節點,在追求更高的裝置密度、更高的性能及更低的成本等方面,來自製造及設計問題的挑戰已經導致三維設計的發展,例如,包括鰭式場效電晶體(FinFET)的多閘極場效電晶體。在鰭式場效電晶體中,閘極電極相鄰於通道區域的三個側表面,且閘極介電層介於其間。隨著鰭式場效電晶體的尺寸縮小,源極/汲極(S/D)上的電極接觸面積縮小,因而增加接觸電阻。隨著電晶體尺寸持續縮小,需要進一步改善鰭式場效電晶體。
本揭露之一實施係提供一種製造包括鰭式場效電晶體的半導體裝置之方法,包括:形成第一磊晶層在用於鰭式場效電晶體的鰭式結構之源極/汲極區域;形成第二磊晶層於第一磊晶層之上,其中第二磊晶層具有不同於第一磊晶層的材料組成;形成層間介電層於第一磊晶層與第二磊晶層之上;藉 由蝕刻層間介電層之一部分與第二磊晶層之一部分,以形成接觸開口,其中第一磊晶層延伸突出接觸開口之底部。
本揭露之另一實施係提供一種製造包括鰭式場效電晶體的半導體裝置之方法,包括:形成第一鰭式結構與第二鰭式結構於基板之上,使得第一鰭式結構與第二鰭式結構突出於隔離絕緣層之上;形成閘極結構於第一鰭式結構與第二鰭式結構之部分之上;形成間隔物材料層在突出於隔離絕緣層之上且未被閘極結構所覆蓋之第一鰭式結構與第二鰭式結構之側壁上,並且位於隔離絕緣層之上表面上;凹陷化第一鰭式結構與第二鰭式結構之上部分,而形成凹陷的第一鰭式結構與凹陷的第二鰭式結構;形成複數個第一磊晶層分別位於凹陷的第一鰭式結構與凹陷的第二鰭式結構之上,這些第一磊晶層彼此分離;形成第二磊晶層於這些第一磊晶層之上,第二磊晶層具有不同於第一磊晶層的材料組成;形成層間介電層於第一磊晶層與第二磊晶層之上;藉由蝕刻層間介電層之一部分與第二磊晶層之一部分,以形成接觸開口,其中第一磊晶層延伸突出於接觸開口之底部。
本揭露之又一實施係提供一種半導體裝置,包括:閘極結構形成於半導體裝置之通道區域之上;源極/汲極區域相鄰於通道區域;以及導電接觸層位於源極/汲極區域之上,其中源極/汲極區域包括:第一磊晶層具有第一材料組成;以及第二磊晶層形成於第一磊晶層之上,第二磊晶層具有不同於第一材料組成的第二材料組成,導電接觸層接觸第一磊晶層與第二磊晶層,且導電接觸層之底部位於第一磊晶層之最上部 分之下。
10‧‧‧基板
10M‧‧‧台面形狀
15‧‧‧罩幕層
15A‧‧‧墊氧化物層
15B‧‧‧氮化矽罩幕層
20‧‧‧鰭狀結構
30‧‧‧隔離絕緣層
40‧‧‧閘極結構
42‧‧‧閘極圖案
44‧‧‧介電層
46‧‧‧蓋絕緣層
48‧‧‧側壁間隔物
50‧‧‧間隔物材料層
55‧‧‧鰭間隔物
60‧‧‧第一磊晶源極/汲極層
62‧‧‧第二源極/汲極磊晶層
64‧‧‧蓋層
65‧‧‧空隙
80‧‧‧接觸蝕刻停止層
85‧‧‧層間介電層
90‧‧‧源極/汲極接觸開口
100‧‧‧源極/汲極接觸層
102‧‧‧矽化物層
104‧‧‧黏合劑層
106‧‧‧主體金屬層
W1、W2、W3‧‧‧寬度
S1‧‧‧間距
H1、H2、H3、H4、H5、H6、H7‧‧‧高度
根據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,根據本產業的一般作業,圖示並未必按照比例繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。
第1圖繪示依據本揭露之一些實施例之製造半導體鰭式場效電晶體裝置於各個製程階段之其中一個製程階段。
第2圖繪示依據本揭露之一些實施例之製造半導體鰭式場效電晶體裝置於各個製程階段之其中一個製程階段。
第3圖繪示依據本揭露之一些實施例之製造半導體鰭式場效電晶體裝置於各個製程階段之其中一個製程階段。
第4圖繪示依據本揭露之一些實施例之製造半導體鰭式場效電晶體裝置於各個製程階段之其中一個製程階段。
第5A圖到第5C圖繪示依據本揭露之一些實施例之製造半導體鰭式場效電晶體裝置於各個製程階段之其中一個製程階段。第5A圖為立體透視圖;第5B圖是沿著第5A圖之a-a剖線所繪示的剖面圖;第5C圖是沿著第5A圖之b-b剖線所繪示的剖面圖。
第6圖繪示依據本揭露之一些實施例之製造半導體鰭式場效電晶體裝置於各個製程階段之其中一個製程階段。
第7A圖、第7B圖及第7C圖繪示依據本揭露之一些實施例之製造半導體鰭式場效電晶體裝置於各個製程階段之其中一個製程階段。
第8圖繪示依據本揭露之一些實施例之製造半導體鰭式場效電晶體裝置於各個製程階段之其中一個製程階段。
第9圖繪示依據本揭露之一些實施例之製造半導體鰭式場效電晶體裝置於各個製程階段之其中一個製程階段。
第10圖繪示依據本揭露之一些實施例之製造半導體鰭式場效電晶體裝置於各個製程階段之其中一個製程階段。
第11圖繪示依據本揭露之一些實施例之製造半導體鰭式場效電晶體裝置於各個製程階段之其中一個製程階段。
第12圖繪示依據本揭露之一些實施例之製造半導體鰭式場效電晶體裝置於各個製程階段之其中一個製程階段。
第13圖繪示依據本揭露之一些實施例之製造半導體鰭式場效電晶體裝置於各個製程階段之其中一個製程階段。
第14圖繪示依據本揭露之一些實施例之製造半導體鰭式場效電晶體裝置於各個製程階段之其中一個製程階段。
第15A圖及第15B圖為繪示源極/汲極結構之剖面圖。
第16圖繪示源極/汲極接觸電阻之實驗結果。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。例如,元件的尺寸並不限於所揭露的範圍或數值,而是可以取決於裝置的製程條件及/或所期望的性質。此外,若是本揭露書敘述了一第一特徵形成於一第二特徵之上或上方,即表示其可能包含上述第一特徵與上述第二特徵是直接接 觸的實施例,亦可能包含了有附加特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與第二特徵可能未直接接觸的實施例。為了簡化與清楚,各種特徵可以以不同比例任意繪製。在所附的圖式中,為了簡化的目的,可以省略一些層/特徵。
此外,其與空間相關用詞。例如“在...下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用詞,係為了便於描述圖示中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含使用中或操作中的裝置之不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。另外,用語“由......構成”可以表示“包括”或“由...組成”。此外,在以下的製造過程中,在所描述的操作步驟之中/之間可以存在一個或多個額外的操作步驟,並且可以改變操作步驟的順序。
第1圖到第14圖繪示依據本揭露之一些實施例之製造半導體鰭式場效電晶體裝置於各個製程階段之剖面圖。應可理解的是,在第1圖到第14圖所繪示的製程之前、期間及之後,可以提供額外的操作步驟,且對於本方法之其他的實施例,可以替換或省略下文所描述的一些操作步驟。可以改變操作步驟/製程的順序。
在第1圖到第3圖中,形成一個或多個鰭狀結構。罩幕層15形成在基板10上。藉由,例如,熱氧化製程及/或化學氣相沉積(CVD)製程而形成罩幕層15。基板10是,例如,P 型矽或鍺基板,其具有不純物濃度在約1×1015原子/立方公分(atoms/cm3)至約1×1016原子/立方公分的範圍內。在其他實施例中,基板是N型矽或鍺基板,其具有不純物濃度在約1×1015原子/立方公分至約1×1016原子/立方公分的範圍內。
或者,基板10可以包括其他的元素半導體,例如鍺;化合物半導體,其包括IV-IV族化合物半導體,例如碳化矽(SiC)及矽鍺(SiGe);III-V族化合物半導體,例如砷化鎵(GaAs)、磷化鎵(GaP)、氮化鎵(GaN)、磷化銦(InP)、砷化銦(InAs)、銻化銦(InSb)、磷砷化鎵(GaAsP)、氮化鎵鋁(AlGaN)、砷化銦鋁(AlInAs)、砷化鎵鋁(AlGaAs)、砷化銦鎵(GaInAs)、磷化銦鎵(GaInP)及/或磷砷化銦鎵(GaInAsP);或上述之組合。在一實施例中,基板10是絕緣體上覆矽(silicon-on insulator,SOI)基板的矽層。也可使用非結晶基板,例如,非結晶矽或非結晶碳化矽;或絕緣材料,例如,氧化矽,作為基板10。基板10可包括已被適當地摻雜不純物的各種區域(例如,P型或N型導電性)。
在一些實施例中,罩幕層15包括,例如,墊氧化物(例如,氧化矽)層15A及氮化矽罩幕層15B。
可以藉由使用熱氧化或化學氣相沉積製程形成墊氧化物(pad oxide)層15A。可以藉由以下製程形成氮化矽罩幕層15B,例如,物理氣相沉積、濺射(sputtering)法、化學氣相沉積、電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD)、大氣壓化學氣相沉積(atmospheric pressure chemical vapor deposition,APCVD)、低壓化學氣相沉 積(low-pressure chemical vapor deposition,LPCVD)、高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDPCVD)、原子層沉積(ALD)及/或其他製程。
在一些實施例中,墊氧化物層15A的厚度為約2nm至約15nm的範圍內,並且氮化矽罩幕層15B的厚度為約2nm至約50nm的範圍內。在罩幕層上進一步形成罩幕圖案。罩幕圖案是,例如,藉由微影操作形成的光阻圖案。
藉由使用罩幕圖案作為蝕刻罩幕,形成墊氧化物層及氮化矽罩幕層的硬罩幕圖案15,如第1圖所繪示。
之後,如第2圖所繪示,藉由使用硬罩幕圖案15作為蝕刻罩幕,藉由使用乾式蝕刻法及/或濕式蝕刻法的溝槽蝕刻將基板10圖案化成鰭狀結構20。
在其他實施例中,可以使用一個或多個微影製程將鰭片結構圖案化,包括雙重圖案微影(double-patterning)製程或多重圖案微影(multi-patterning)製程。一般而言,雙重圖案微影製程或多重圖案微影製程是結合微影製程與自對準製程,而允許形成具有較小的間距的圖案,例如,所形成的圖案的間距比使用單一直接微影製程可獲得的間距更小。舉例而言,在一實施例中,在基板上形成犧牲層並使用微影製程進行圖案化。使用自對準製程在經過圖案化的犧牲層旁邊形成間隔物。然後移除犧牲層,接著可使用殘留的間隔物或心軸將鰭狀結構圖案化。
在第2圖中,有三個鰭狀結構20設置在基板10上。然而,鰭狀結構的數量並不限於三個。數量可以是小到一個或 是大於三個。此外,可設置一個或多個虛設鰭狀結構鄰接於鰭狀結構20的兩側,以提高圖案化製程中的圖案保真度(fidelity)。
鰭狀結構20可以由與基板10相同的材料所形成,並且可以從基板10連續地延伸。在本實施例中,鰭狀結構由矽所形成。鰭狀結構20的矽層可以是本質的(intrinsic),或者適當地摻雜有N型不純物或P型不純物。
在一些實施例中,鰭片結構20的寬度W1為約5nm至約40nm的範圍內,且在其他實施例中,為約7nm至約12nm的範圍內。在一些實施例中,兩個鰭狀結構之間的間距S1為約10nm至約50nm的範圍內。在一些實施例中,鰭狀結構20的高度(沿著Z方向)為約100nm至約300nm的範圍內,且在其他實施例中,為約50nm至100nm的範圍內。
在閘極結構40(請參照第5A圖)下方的鰭狀結構20的下部分可稱為井區域,且鰭狀結構20的上部分可稱為通道區域。在閘極結構40的下方,井區域嵌埋於隔離絕緣層30(請參照第5A圖)中,且通道區域從隔離絕緣層30突出。通道區域的下部分也可嵌埋於隔離絕緣層30中約1nm至約5nm的深度。
在一些實施例中,井區域的高度為約60nm至100nm的範圍內,且通道區域的高度為約40nm至60nm的範圍內,且在其他實施例中為約38nm至約55nm。
在形成鰭狀結構20之後,在一些實施例中,基板10被進一步蝕刻以形成台面(mesa)形狀10M,如第3圖所繪示。在其他實施例中,先形成台面形狀10M,然後才形成鰭狀結構 20。在某些實施例中,不形成台面形狀,且鰭狀結構20直接從基板10突出。
在形成鰭狀結構20及台面形狀10M之後,形成隔離絕緣層30在介於鰭狀結構之間的空間中及/或介於一個鰭狀結構與形成在基板10上的另一個元件之間的空間中。隔離絕緣層層30也可稱為「淺溝槽隔離(STI)」層。用於隔離絕緣層30的絕緣材料可包括一層或多層的氧化矽、氮化矽、氮氧化矽(SiON)、氮碳氧化矽(SiOCN)、氟摻雜矽酸鹽玻璃(FSG)或低介電常數(low-k)介電材料。隔離絕緣層藉由低壓化學氣相沉積、電漿化學氣相沉積或流動式化學氣相沉積(flowable CVD)形成。在流動式化學氣相沉積中,可沉積代替氧化矽的流動式介電材料(flowable dielectric material)。如其名稱所繪示,流動式介電材料可在沉積期間「流動」以填充具有高縱橫比的間隙或空間。一般而言,將各種化學物質加入到含矽前驅物中以使所沉積的膜能夠流動。在一些實施例中,加入氮氫化物鍵結(nitrogen hydride bonds)。流動式介電質前驅物,特別是流動式氧化矽前驅物的具體例子,可包括矽酸鹽、矽氧烷、甲基半矽氧烷(methyl silsesquioxane,MSQ)、氫半矽氧烷(hydrogen silsesquioxane,HSQ)、甲基半矽氧烷/氫半矽氧烷(MSQ/HSQ)、全氫化矽氮烷(perhydrosilazane,TCPS)、全氫化聚矽氮烷(perhydro-polysilazane,PSZ)、四乙氧基矽烷(tetraethyl orthosilicate,TEOS)或矽烷基胺(silyl-amine),例如三甲矽烷基胺(trisilylamine,TSA)。這些流動式氧化矽材料在多次操作過程中形成。在沉積流動式薄膜之後,將其固化然後退火,以除 去不期望的元素而形成氧化矽。當除去不期望的元素時,流動式薄膜緻密化並收縮。在一些實施例中,進行多次退火製程。流動式薄膜多次固化退火。可利用硼及/或磷摻雜流動式薄膜。
在一些實施例中,先將絕緣層30形成為厚層,以使鰭狀結構嵌埋於此厚層中,且將此厚層凹陷化以露出鰭狀結構20的上部分,如第4圖所繪示。在一些實施例中,從隔離絕緣層30的上表面起算的鰭狀結構的高度H1為約20nm至約100nm的範圍內,且在其他實施例中,為約30nm至約50nm的範圍內。在將隔離絕緣層30凹陷化之前或之後,可實施熱製程,例如退火製程,以提高隔離絕緣層30的品質。在某些實施例中,熱製程的實施是藉由使用快速熱退火(RTA),在惰性氣體環境,例如,氮氣(N2)、氬氣(Ar)或氦氣(He)環境中,在約900℃至約1050℃的溫度範圍內持續約1.5秒至約10秒。
在形成絕緣層30之後,形成閘極結構40於鰭狀結構20上,如第5A圖到第5C圖所繪示。第5A圖為立體透視圖;第5B圖是沿著第5A圖之a-a剖線所繪示的剖面圖;第5C圖是沿著第5A圖之b-b剖線所繪示的剖面圖。第6圖到第14圖也是沿著第5A圖之b-b剖線所繪示的剖面圖。
如第5A圖所繪示,閘極結構40沿著X方向延伸,而鰭狀結構20沿著Y方向延伸。
為了製造閘極結構40,形成介電層及多晶矽層於隔離絕緣層30及暴露的鰭狀結構20上,之後進行圖案化操作,以得到閘極結構,其包括由多晶矽所形成的閘極圖案44及介電層42。在一些實施例中,藉由使用硬罩幕對多晶矽層進行圖案 化,並且硬罩幕保留在閘極圖案44上而作為蓋絕緣層46。硬罩幕(蓋絕緣層46)包括一層或多層絕緣材料。在一些實施例中,蓋絕緣層46包括在氧化矽層上形成的氮化矽層。在其他實施例中,蓋絕緣層46包括在氮化矽層上形成的氧化矽層。用於蓋絕緣層46的絕緣材料可以藉由化學氣相沉積、物理氣相沉積、原子層沉積、電子束蒸鍍(e-beam evaporation)或其他合適的製程形成。在一些實施例中,介電層42可以包括一層或多層的氧化矽、氮化矽、氮氧化矽或高介電常數(high-k)介電質。在一些實施例中,介電層42的厚度為約2nm至約20nm的範圍內,且在其他實施例中,為約2nm至約10nm的範圍內。在一些實施例中,閘極結構的高度H2為約50nm至約400nm的範圍內,且在其他實施例中,為約100nm至200nm的範圍內。
在一些實施例中,採用閘極置換(gate replacement)技術。在這種情況下,閘極圖案44及介電層42分別是虛設閘極電極及虛設閘極介電層,且將於後續製程中被移除。如果採用閘極先製(gate-first)技術,則閘極圖案44及介電層42被使用作為閘極電極及閘極介電層。
此外,閘極側壁間隔物48形成在閘極圖案44的兩個側壁上。側壁間隔物48包括一層或多層絕緣材料,例如,二氧化矽(SiO2)、氮化矽(SiN)、氮氧化矽、氮碳氧化矽或氮碳化矽,其可由化學氣相沉積、物理氣相沉積、原子層沉積、電子束蒸鍍或其他合適的製程形成。可使用低介電常數介電質作為側壁間隔物。藉由形成絕緣材料的毯覆層(blanket layer)並進行非等向性(anisotropic)蝕刻,以形成側壁間隔物48。在一實施 例中,側壁間隔物層由以氮化矽為基礎的材料所形成,例如氮化矽、氮氧化矽、氮碳氧化矽或氮碳化矽。
然後,如第6圖所繪示,形成間隔物材料層50於鰭狀結構200之上。間隔物材料層50由以氮化矽為基礎的介電材料所形成,例如氮化矽、氮氧化矽、氮碳氧化矽或氮碳化矽。在一實施例中,使用氮化矽作為間隔物材料層50。間隔物材料層50藉由化學氣相沉積、物理氣相沉積、原子層沉積、電子束蒸鍍或其他合適的製程形成。在一些實施例中,間隔物材料層50的厚度為約30nm至約70nm的範圍內。
在一些實施例中,分別形成閘極結構的間隔物材料層50及側壁間隔物48。在其他實施例中,相同的毯覆層用於間隔物材料層50及側壁間隔物48。
在形成間隔物材料層50之後,藉由乾式蝕刻及/或濕式蝕刻操作凹陷化鰭狀結構20的上部分,並移除設置在鰭狀結構的側表面及頂表面上且從隔離絕緣層突出的間隔物材料層50的一部分。鰭狀結構20的上部分被凹陷化(蝕刻)到等於或低於位在隔離絕緣層30上表面上之間隔物材料層50的上表面,如第7A圖所繪示。藉由調整蝕刻條件,例如,過蝕刻時間(over-etching time),間隔物材料層50保留在隔離絕緣層30的上表面上。在一些實施例中,剩餘的間隔物層50的厚度為約2nm至約為10nm。
在一些實施例中,設置在鰭狀結構20的側壁上的間隔物材料層50的下部分被保留而沒有被蝕刻掉,因而形成具有套筒狀(sleeve-like shape)的鰭間隔物55,如第7A圖所繪示。 在一些實施例中,鰭間隔物55的高度H3為約1nm至約10nm的範圍內,且在一些實施例中為約5nm至約40nm的範圍內。
在一些實施例中,設置在隔離絕緣層30的上表面之上的間隔物材料層50被完全移除,但是鰭間隔物55被保留,如第7B圖所繪示。在其他實施例中,間隔物材料層50被完全移除,如第7C圖所繪示。在某些實施例中,僅保留在隔離絕緣層30的上表面上的間隔物材料層50,且不存在套筒狀部分。
在以下實施例中,在第7A圖所繪示的結構上進行後續的製造操作。然而,可對第7B圖及第7C圖所繪示的結構進行相同或實質上相似的操作。
如第8圖所繪示,第一磊晶源極/汲極層60分別形成在凹陷的鰭狀結構20上。第一磊晶源極/汲極層60由具有與鰭狀結構20(通道區域)不同的晶格常數的一層或多層半導體材料所形成。當鰭狀結構由矽所形成時,第一磊晶源極/汲極層60包括用於N型通道鰭式場效電晶體(n-channel FinFET)的磷化矽、碳化矽或磷碳化矽,以及用於P型通道鰭式場效電晶體(P-channel FinFET)的矽鍺或鍺。第一磊晶源極/汲極層60磊晶形成於凹陷的鰭狀結構的上部分上。
如第8圖所繪示,第一源極/汲極磊晶層60沿著X方向的橫截面具有棒狀,並且彼此分離。在一些實施例中,第一源極/汲極磊晶層的縱橫比H4/W2為約2至約15的範圍內,其中H4是第一源極/汲極磊晶層60從隔離絕緣層30的上表面起算的高度,且W2是第一磊晶層沿著X方向的最大寬度。
藉由使用高溫沉積技術形成棒狀的磊晶層60可。 高溫沉積製程可以促進晶面(100)的成長並且可能阻礙晶面(110)及(111)的成長。用於N型金屬氧化物半導體與P型金屬氧化物半導體裝置的高溫沉積製程可能不同。在一些實施例中,對於N型金屬氧化物半導體裝置,藉由使用例如化學氣相沉積製程的高溫及高壓沉積製程,以形成源極/汲極磊晶層60,在其他實施例中也可使用其他沉積方法。化學氣相沉積製程可以在約650-700℃的高溫及約200-350Torr的範圍內的高壓下進行。對於P型金屬氧化物半導體裝置,化學氣相沉積製程可以在約600-650℃的範圍內的高溫及約5-50Torr範圍內的壓力下進行。
在形成第一源極/汲極磊晶層60之後,形成第二源極/汲極磊晶層62於第一源極/汲極磊晶層60上,如第9圖所繪示。由於形成在鰭狀結構20中的基板的晶體取向,並且藉由調整磊晶成長條件,第二磊晶源極/汲極層62橫向成長並具有類鑽石(diamond-like)形狀。
由於鰭狀結構之間的空間相對小,形成在第一源極/汲極磊晶層60上的相鄰的源極/汲極結構被合併,而形成一個第二源極/汲極磊晶層62。此外,藉由第二源極/汲極磊晶層62與隔離絕緣層30的上表面(或間隔物材料層50)而形成空隙或間隙(氣隙)65,如第9圖所繪示。
特別是,由於隔離絕緣層30的上表面上的間隔物材料層50,空隙65的高度H5大於在隔離絕緣層30的上表面上沒有間隔物材料層50的情況。在一些實施例中,從間隔物材料層50的上表面測量的空隙的高度H5為約5nm至約40nm的範圍 內,且在其他實施例中,為約15nm至約25nm的範圍內。此外,由於殘留的間隔物材料層50,隔離絕緣層30在鰭的蝕刻期間受到保護。
此外,在一些實施例中,形成蓋層64於第二源極/汲極磊晶層62上,如第10圖所繪示。在某些實施例中,蓋層64也是半導體磊晶層(即,第三源極/汲極磊晶層)。在一些實施例中,蓋層的厚度為約3nm至約20nm的範圍內。
在一些實施例中,鰭式場效電晶體是P型場效電晶體。第一源極/汲極磊晶層60包括Si1-xGex,且第二源極/汲極磊晶層62包括Si1-yGey,其中x<y。換言之,在第一源極/汲極磊晶層60中的鍺濃度比在第二源極/汲極磊晶層62中的鍺濃度小。在某些實施例中,第一源極/汲極磊晶層60由Si1-xGex所形成,其中約0.01<x<約0.4。在一些實施例中,第一源極/汲極磊晶層60還包括約5.0×1019原子/立方公分至約1.0×1021原子/立方公分的量的硼(B)及鎵(Ga)中的至少一種。
在某些實施例中,第二源極/汲極磊晶層62包括Si1-yGey,其中約0.2<y<約0.8。在一些實施例中,第二源極/汲極磊晶層62還包括約1.0×1020原子/立方公分至約3.0×1021原子/立方公分的量的硼及鎵中的至少一種。在第一源極/汲極磊晶層60中的硼及/或鎵的量小於在第二源極/汲極磊晶層62中的硼及/或鎵的量。
在某些實施例中,蓋層64是由Si1-zGez所形成的磊晶層,其中0z<約0.4,其中z<y。換言之,在蓋層64中的鍺濃度比在第二源極/汲極磊晶層62中的鍺濃度小。在一些實施例 中,蓋層64還包括約5.0×1019原子/立方公分至約1.0×1021原子/立方公分的量的硼及鎵中的至少一種。
在一些實施例中,鰭式場效電晶體是N型場效電晶體。在某些實施例中,第一源極/汲極磊晶層60包括Si1-xCx,第二磊晶層包括Si1-yCy,其中0<x<y<約0.5。在一些實施例中,第一源極/汲極磊晶層60及第二源極/汲極磊晶層62還包括磷(P)及砷(As)中的至少一種。在第一源極/汲極磊晶層60中的磷及/或砷的量小於在第二源極/汲極磊晶層62中的磷及/或砷的量。
在某些實施例中,第一源極/汲極磊晶層60包括約5.0×1019原子/立方公分至約2.0×1021原子/立方公分的量的磷及/或砷,並且第二源極/汲極磊晶層62包括約1.0×1020原子/立方公分至約8.0×1021原子/立方公分的磷及/或砷。
在某些實施例中,蓋層64是包括Si1-zCz的磊晶層,其中0z<約0.5。在一些實施例中,蓋層64還包含約0至約1.0×1021原子/立方公分的量的磷及/或砷。
可藉由使用含矽氣體,例如甲矽烷(SiH4)、乙矽烷(Si2H6)、丙矽烷(Si3H8)或二氯甲矽烷(SiCl2H2);含鍺氣體,例如甲鍺烷(GeH4)、乙鍺烷(Ge2H6)或二氯甲鍺烷(GeCl2H2);含碳氣體,例如甲烷(CH4)或乙烷(C2H6);摻質氣體,例如乙硼烷(B2H6)、磷化氫(PH3)或砷化氫(AsH3);或有機金屬材料,例如三甲基鎵(trimethylgallium),在約300至900℃的溫度下、在約1mTorr至760Torr或約1×10-7Torr至約1×10-11Torr的壓力下成長第一至第三源極/汲極磊晶層。用於N型通道場效電晶體的源極/汲極結構以及P型通道場效電晶體的源極/汲極結構可以藉由 各自獨立的磊晶製程而形成。
對於第一源極/汲極磊晶層60,藉由增強垂直沉積而進行磊晶沉積。在一些實施例中,使用高溫及高壓沉積製程進行第一源極/汲極磊晶層60的磊晶沉積。在一些實施例中,磊晶製程在約500-800℃的高溫及約1mTorr至約350Torr範圍內的高壓下進行。
在其他實施例中,可以藉由磊晶成長及蝕刻的組合而形成第一源極/汲極磊晶層60。在此操作中,首先在凹陷的鰭狀結構上形成基礎磊晶層。基礎磊晶層垂直地且橫向地成長,形成鑽石狀或六角形,並且移除側邊部分,而形成棒狀。
在一些實施例中,形成製程包括磊晶及蝕刻操作。此磊晶及蝕刻製程可以在標稱條件(nominal conditions)下進行磊晶沉積(例如,形成具有橫向延伸部分的源極/汲極磊晶層),隨後進行蝕刻操作。在磊晶製程之後,移除側邊部分,而形成具有頂部分與平坦的側表面的棒狀。在一些實施例中,對於N型金屬氧化物半導體裝置的形成,蝕刻製程可以是使用甲鍺烷及氯化氫(HCl)的混合物進行的非等向性蝕刻操作,其中甲鍺烷對氯化氫的混合比為約0.5-1.2的範圍內。蝕刻操作可以在約650-750℃的範圍內的高溫及約5-100Torr範圍內的壓力下進行。在其他實施例中,可以使用採用不同製程條件的其他蝕刻製程。
在其他實施例中,對於P型金屬氧化物半導體裝置的形成,蝕刻製程可以是使用氯化氫的蝕刻操作,且其流速為約50-120sccm範圍內。蝕刻製程可以在約600-650℃範圍內的 溫度及約5-50Torr範圍內的壓力下進行。在其他實施例中,可以使用採用不同製程條件的其他蝕刻製程。
在形成蓋層64之後,形成作為接觸蝕刻停止層(contact etch stop layer,CESL)的絕緣層80於源極/汲極磊晶層上,如第11圖所繪示。在一些實施例中,接觸蝕刻停止層80由例如氮化矽、氮氧化矽、氮碳氧化矽或氮碳化矽所形成。
此外,如第12圖所繪示,形成層間介電層85於下方的結構上。層間介電層85包括一層或多層的氧化矽、碳氧化矽(SiOC)、氮碳氧化矽或氮碳化矽或其他低介電常數材料、或多孔材料、或任何其他合適的介電材料。
然後,藉由使用包括微影及蝕刻的圖案化操作,形成源極/汲極接觸開口90於層間介電層85中,如第13圖所繪示。藉由使用包括氯化氫、溴化氫、四氟化碳、單氟甲烷(CH3F)、三氟甲烷(CHF3)、甲烷、二氧化硫、氧氣、氦氣、三氟化氮(NF3)及氯氣之中的一種或多種來源氣體(source gases),以進行層間介電層及源極/汲極磊晶層的蝕刻操作。
在此圖案化操作中,層間介電層85、接觸蝕刻停止層80、蓋層64以及第一及第二源極/汲極磊晶層60及62被部分蝕刻。然而,由於第一源極/汲極磊晶層60具有較低的鍺(或磷或碳)濃度,所以第一源極/汲極磊晶層60的蝕刻速率小於第二源極/汲極磊晶層62的蝕刻速率。因此,第一源極/汲極磊晶層60從源極/汲極接觸開口90的底部突出,如第13圖所繪示。在一些實施例中,第一源極/汲極磊晶層60的突出量H6為約2nm至約20nm的範圍內,且在其他實施例中,為約5nm至約10nm 的範圍內。
之後,形成由導電材料所形成的源極/汲極接觸層100於源極/汲極接觸開口90中,如第14圖所繪示。第14圖亦繪示出圓圈部分的局部放大圖。源極/汲極接觸層100包括單層或多層的任何合適的金屬,例如,鈷(Co)、鎢(W)、鈦(Ti)、鉭(Ta)、銅(Cu)、鋁(Al)及/或鎳(Ni)及/或上述金屬之氮化物。
在一些實施例中,在形成接觸層100之前,形成矽化物層102於磊晶源極/汲極層上。在源極/汲極磊晶層60及62上形成金屬材料,例如,鎳、鈦、鉭及/或鎢,並進行退火操作,以形成矽化物層102。在其他實施例中,在磊晶源極/汲極層上形成矽化物材料,例如,矽化鎳(NiSi)、矽化鈦(TiSi)、矽化鉭(TaSi)及/或矽化鎢(WSi),且可進行退火操作。退火操作在約250℃至約850℃的溫度下進行。金屬材料或矽化物材料藉由化學氣相沉積或原子層沉積形成。在一些實施例中,矽化物層102的厚度為約4nm至約10nm的範圍內。在其他實施例中,當在源極/汲極層上形成包括鎳、鈦、鉭及/或鎢的接觸層100時,同時形成矽化物層102。
在一些實施例中,導電源極/汲極接觸層100包括黏合劑(黏膠)層104及主體金屬層(body metal layer)106的毯覆層。黏合劑層104包括一層或多層的導電材料。在一些實施例中,黏合劑層104包括形成在鈦層上的氮化鈦(TiN)層。可以使用任何其他合適的導電材料。在一些實施例中,氮化鈦層及鈦層中的每一層的厚度為約1nm至約5nm的範圍內。可藉由化學氣相沉積、物理氣相沉積、原子層沉積、電鍍、上述之組合或 其他合適的成膜方法形成黏合劑層104。黏合劑層104用於防止主體金屬層106剝離。在一些實施例中,不使用黏合劑層,且主體金屬層直接形成在接觸開口中。在一些實施例中,可使用黏合劑層的鈦層作為形成矽化物層102的金屬層。
在一些實施例中,主體金屬層106是鈷、鎢、鉬(Mo)及銅之中的一種,或是任何其他合適的導電材料。在一實施例中,使用鈷作為主體金屬層。可藉由化學氣相沉積、物理氣相沉積、原子層沉積、電鍍、上述之組合或其他合適的成膜方法形成主體金屬層。在形成導電材料層之後,進行平坦化操作,例如,化學機械研磨(CMP)或回蝕刻操作,以移除多餘的材料,而形成源極/汲極接觸層100,如第14圖所繪示。
在一些實施例中,第一源極/汲極磊晶層的縱橫比H7/W3為約4至約15的範圍內,其中H7是第一源極/汲極磊晶層60從隔離絕緣層30的上表面起算的高度,且W3是第一磊晶層沿著X方向的最大寬度。
如第14圖所繪示,第一源極/汲極磊晶層60從接觸開口90的底部突出並穿透到接觸層100中,且導電接觸層100與第一源極/汲極磊晶層60的上部分(突出部分)的上表面及側表面接觸。此外,導電接觸層100的底部位於比第一源極/汲極磊晶層60的最上部分更靠近基板的位置。利用這些結構,增加接觸層100及源極/汲極磊晶層(或矽化物層)之間的界面面積,因而降低接觸層100及源極/汲極磊晶層(或矽化物層)之間的接觸電阻。
在一些實施例中,在形成接觸開口90之前,形成 金屬閘極結構(未繪示)。在形成層間介電層85之後,移除虛設閘極結構(虛設閘極電極44及虛設閘極介電層42)並用金屬閘極結構(金屬閘極電極及閘極介電層)取代。在某些實施例中,在形成層間介電層85之後,藉由適當的蝕刻製程分別移除虛設閘極電極44及虛設閘極介電層42,以形成閘極開口。在閘極開口中形成包括閘極介電層及金屬閘極電極的金屬閘極結構。閘極介電層可形成在設置於鰭狀結構20的通道層上的界面層(未繪示)上。在一些實施例中,界面層可包括厚度為0.2nm至1.5nm的氧化矽或氧化鍺。在其他實施例中,界面層的厚度為約0.5nm至約1.0nm的範圍內。閘極介電層包括一層或多層的介電材料,例如氧化矽、氮化矽或高介電常數介電材料、其他合適的介電材料、及/或上述之組合。高介電常數介電材料的實例包括二氧化鉿(HfO2)、氧化矽鉿(HfSiO)、氮氧化矽鉿(HfSiON)、氧化鉭鉿(HfTaO)、氧化鈦鉿(HfTiO)、氧化鋯鉿(HfZrO)、氧化鋯(zirconium oxide)、氧化鋁(aluminum oxide)、氧化鈦(titanium oxide)、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他合適的高介電常數介電材料及/或上述之組合。藉由,例如,化學氣相沉積、物理氣相沉積、原子層沉積、高密度電漿化學氣相沉積或其他合適的方法及/或上述之組合形成閘極介電層。在一些實施例中,閘極介電層的厚度為約1nm至約10nm的範圍內,且在其他實施例中,可為約2nm至約7nm的範圍內。金屬閘極電極形成在閘極介電層上。金屬閘極電極包括一層或多層的任何合適的金屬材料,例如鋁、銅、鈦、鉭、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、氮化鈦、氮化鎢(WN)、鈦鋁(TiAl)、氮 化鈦鋁(TiAlN)、碳氮化鉭(TaCN)、碳化鉭(TaC)、氮矽化鉭(TaSiN)、金屬合金、其他合適的材料及/或上述之組合。在本揭露的某些實施例中,可插入一個或多個功函數調整層(未繪示)於閘極介電層與金屬閘極電極之間。功函數調整層由導電性材料所形成,例如,單層的氮化鈦、氮化鉭(TaN)、碳化鋁鉭(TaAlC)、碳化鈦(TiC)、碳化鉭(TaC)、鈷、鋁、鈦鋁、鈦鉿(HfTi)、矽化鈦(TiSi)、矽化鉭(TaSi)或碳化鋁鈦(TiAlC)、或上述之中的兩種或兩種以上的多層材料。對於N型通道鰭式場效電晶體,使用氮化鉭、碳化鋁鉭、氮化鈦、碳化鈦、鈷、鈦鋁、鈦鉿、矽化鈦及矽化鉭之中的一種或多種作為功函數調整層,且對於P型通道鰭式場效電晶體,使用碳化鋁鈦、鋁、鋁鈦、氮化鉭、碳化鋁鉭、氮化鈦、碳化鈦及鈷之中的一種或多種作為功函數調整層。在沉積適當的材料用於金屬閘極結構之後,進行平坦化操作,例如,化學機械研磨。
在形成接觸層100之後,進行另外的互補式金屬氧化物半導體(CMOS)製程,以形成各種特徵,例如,額外的層間介電層、接觸/介層窗、內連線金屬層及鈍化層等。
在其他實施例中,在形成接觸開口90之前,形成矽化物層。在這種情況下,在形成矽化物層之後,形成層間介電層85。
第15A圖及第15B圖繪示出沿著源極/汲極區域的Y方向的剖面圖。第15A圖是對應於第14圖的結構,且第15B圖是對應於不形成第一源極/汲極磊晶層60而形成的結構。
第15B圖的結構中,由於磊晶層62含有相對較高含 量的鍺,所以接觸層100的金屬元素可能會擴散到磊晶層62中,導致較高的接觸電阻。相較之下,在第15A圖的結構中,由於磊晶層60含有相對較低含量的鍺,可以阻止接觸層100的金屬元素擴散到源極/汲極磊晶層中,因而降低接觸電阻。
第16圖繪示出第15A圖及第15B圖的結構之間的源極/汲極接觸電阻的實驗結果。如第16圖所繪示,相較於第15B圖的結構,第15A圖的結構的接觸電阻值較低,並且具有較小的變異性(variation)。
在前述實施例中,每個接觸層100的鰭狀結構的數量(第一磊晶層60的數量)為三個。然而,每個接觸層100的鰭的數量可以是一個、兩個、四個、五個或是更多個。在多個鰭狀結構中,第二源極/汲極磊晶層62是具有或不具有空隙的合併結構。
在本揭露中,由於形成具有較低鍺濃度的第一棒狀源極/汲極磊晶層,因此可以增加源極/汲極接觸及源極/汲極磊晶層(或矽化物)之間的界面面積,進而降低接觸電阻。
應可理解的是,並非所有的優點都必須在此討論,並非所有的實施例或示範例都具有相同的特別優點,且其他的實施例或示範例可提供不同的優點。
依據本揭露之一實施例,在製造包括鰭式場效電晶體的半導體裝置之方法中,形成第一磊晶層在用於此鰭式場效電晶體的鰭式結構之源極/汲極區域。形成第二磊晶層於此第一磊晶層之上。此第二磊晶層具有不同於此第一磊晶層的材料組成。形成層間介電層於此第一磊晶層與此第二磊晶層之 上。藉由蝕刻此層間介電層之一部分與此第二磊晶層之一部分,以形成接觸開口。此第一磊晶層延伸突出此接觸開口之一底部。在一個或多個前述或下述的實施例中,於此接觸開口中,導電接觸層進一步接觸此第一磊晶層與此第二磊晶層。此導電接觸層之底部位於此第一磊晶層之最上部分之下。在一個或多個前述或下述的實施例中,此鰭式場效電晶體是P型,且此第一磊晶層包括Si1-xGex,此第二磊晶層包括Si1-yGey,其中X<Y。在一個或多個前述或下述的實施例中,此第一磊晶層包括Si1-xGex,其中0.01<X<0.4。在一個或多個前述或下述的實施例中,此第一磊晶層更包括5.0×1019原子/立方公分至1.0×1021原子/立方公分的量的硼及鎵中的至少一種。在一個或多個前述或下述的實施例中,此第二磊晶層包括Si1-yGey,其中0.2<Y<0.8。在一個或多個前述或下述的實施例中,此第二磊晶層更包括1.0×1020原子/立方公分至3.0×1021原子/立方公分的量的硼及鎵中的至少一種。在一個或多個前述或下述的實施例中,此第一磊晶層與此第二磊晶層更包括硼及鎵中的至少一種,且在此第一磊晶層中的硼及鎵中的至少一種之含量小於在此第二磊晶層中的硼及鎵中的至少一種之含量。在一個或多個前述或下述的實施例中,進一步形成由半導體材料所組成之蓋層於此第二磊晶層之上。在一個或多個前述或下述的實施例中,此蓋層是磊晶層,此磊晶層包括Si1-zGez,其中0Z<0.4,其中Z<Y。在一個或多個前述或下述的實施例中,此蓋層更包括5.0×1019原子/立方公分至1.0×1021原子/立方公分的量的硼及鎵中的至少一種。
依據本揭露之另一實施例,在製造包括鰭式場效電晶體的半導體裝置之方法中,形成第一鰭式結構與第二鰭式結構於基板之上,使得此第一鰭式結構與此第二鰭式結構突出於隔離絕緣層之上。形成閘極結構於此第一鰭式結構與此第二鰭式結構之部分之上。形成間隔物材料層在突出於此隔離絕緣層之上且未被此閘極結構所覆蓋之此第一鰭式結構與此第二鰭式結構之側壁上,並且位於此隔離絕緣層之上表面上。凹陷化此第一鰭式結構與此第二鰭式結構之上部分,而形成凹陷的第一鰭式結構與凹陷的第二鰭式結構。形成複數個第一磊晶層分別位於此凹陷的第一鰭式結構與此凹陷的第二鰭式結構之上,這些第一磊晶層彼此分離。形成第二磊晶層於這些第一磊晶層之上,此第二磊晶層具有不同於此第一磊晶層的材料組成。形成層間介電層於此第一磊晶層與此第二磊晶層之上。藉由蝕刻此層間介電層之一部分與此第二磊晶層之一部分,以形成接觸開口。此第一磊晶層延伸突出於此接觸開口之底部。在一個或多個前述或下述的實施例中,形成空隙於此第二磊晶層與此隔離絕緣層之上表面之間。在一個或多個前述或下述的實施例中,在凹陷化此第一鰭式結構與此第二鰭式結構時,設置於此第一鰭式結構與此第二鰭式結構的側壁上的此間隔物材料層的複數個下部分以及設置於此隔離絕緣層之此上表面上的此間隔物材料層殘留。形成空隙於此第二磊晶層與設置於此隔離絕緣層之上表面上的殘留的此間隔物材料層之間。在一個或多個前述或下述的實施例中,進一步形成導電接觸層於此接觸開口中且接觸此第一磊晶層與此第二磊晶層。在一個或多個 前述或下述的實施例中,此導電接觸層之底部位於此第一磊晶層之最上部分之下。在一個或多個前述或下述的實施例中,此鰭式場效電晶體是P型,且此第一磊晶層包括Si1-xGex,且此第二磊晶層包括Si1-yGey,其中X<Y。在一個或多個前述或下述的實施例中,此第一磊晶層包括Si1-xGex,其中0.01<X<0.4,且此第二磊晶層包括Si1-yGey,其中0.2<Y<0.8。在一個或多個前述或下述的實施例中,此第一磊晶層更包括5.0×1019原子/立方公分至1.0×1021原子/立方公分的量的硼及鎵中的至少一種。在一個或多個前述或下述的實施例中,此第二磊晶層更包括1.0×1020原子/立方公分至3.0×1021原子/立方公分的量的硼及鎵中的至少一種。在一個或多個前述或下述的實施例中,在此第一磊晶層中的硼及鎵中的至少一種之含量小於在此第二磊晶層中的硼及鎵中的至少一種之含量。在一個或多個前述或下述的實施例中,這些第一磊晶層的縱橫比H/W為4至20的範圍內,其中H是從此隔離絕緣層之此上表面起算的這些第一磊晶層之高度,且W是這些第一磊晶層沿著一方向之最大寬度,其中此閘極結構在此方向上延伸。
依據本揭露之另一實施例,在製造包括鰭式場效電晶體的半導體裝置之方法中,形成三個或是三個以上的鰭狀結構於基板之上,使得鰭狀結構的上部分突出於隔離絕緣層之上。形成閘極結構於部分的鰭狀結構之上。凹陷化這些鰭狀結構的上部分,以形成凹陷的鰭狀結構。分別於這些凹陷的鰭狀結構上形成第一磊晶層。這些第一磊晶層彼此分離。形成第二磊晶層於此第一磊晶層之上。此第二磊晶層具有不同於此第一 磊晶層的材料組成。形成第三磊晶層於此第二磊晶層之上。此第三磊晶層具有不同於此第二磊晶層的材料組成。形成層間介電層於第一、第二及第三磊晶層之上。藉由蝕刻此層間介電層之一部分與第三及此第二磊晶層之一部分,以形成接觸開口。這些第一磊晶層的縱橫比H/W為4至20的範圍內,其中H是從此隔離絕緣層之此上表面起算的這些第一磊晶層之高度,且W是這些第一磊晶層沿著一方向之最大寬度,其中此閘極結構在此方向上延伸。
依據本揭露之一實施例,一種半導體裝置包括閘極結構形成於此半導體裝置之通道區域之上,源極/汲極區域相鄰於此通道區域,以及導電接觸層位於此源極/汲極區域之上。此源極/汲極區域包括第一磊晶層具有第一材料組成;以及第二磊晶層形成於此第一磊晶層之上,此第二磊晶層具有不同於此第一材料組成的第二材料組成。導電接觸層接觸此第一磊晶層與此第二磊晶層,且此導電接觸層之底部位於此第一磊晶層之最上部分之下。在一個或多個前述或下述的實施例中,此鰭式場效電晶體是P型,且此第一磊晶層包括Si1-xGex,此第二磊晶層包括Si1-yGey,其中X<Y。在一個或多個前述或下述的實施例中,此第一磊晶層包括Si1-xGex,其中0.01<X<0.4。在一個或多個前述或下述的實施例中,此第一磊晶層更包括5.0×1019原子/立方公分至1.0×1021原子/立方公分的量的硼及鎵中的至少一種。在一個或多個前述或下述的實施例中,此第二磊晶層包括Si1-yGey,其中0.2<Y<0.8。在一個或多個前述或下述的實施例中,此第二磊晶層更包括1.0×1020原子/立方公分 至3.0×1021原子/立方公分的量的硼及鎵中的至少一種。在一個或多個前述或下述的實施例中,此第一磊晶層與此第二磊晶層更包括硼及鎵中的至少一種,且在此第一磊晶層中的硼及鎵中的至少一種之含量小於在此第二磊晶層中的硼及鎵中的至少一種之含量。在一個或多個前述或下述的實施例中,進一步形成由半導體材料所組成之蓋層於此第二磊晶層之上。在一個或多個前述或下述的實施例中,此蓋層是磊晶層,此磊晶層包括Si1-zGez,其中0Z<0.4,其中Z<Y。在一個或多個前述或下述的實施例中,此蓋層更包括5.0×1019原子/立方公分至1.0×1021原子/立方公分的量的硼及鎵中的至少一種。在一個或多個前述或下述的實施例中,此鰭式場效電晶體是N型,且此第一磊晶層包括Si1-xCx,此第二磊晶層包括Si1-yCy,其中X<Y。在一個或多個前述或下述的實施例中,此第一磊晶層與此第二磊晶層更包括磷及砷中的至少一種,且在此第一磊晶層中的磷及砷中的至少一種之含量小於在此第二磊晶層中的磷及砷中的至少一種之含量。在一個或多個前述或下述的實施例中,此第一磊晶層包括5.0×1019原子/立方公分至2.0×1021原子/立方公分的量的磷及砷中的至少一種。在一個或多個前述或下述的實施例中,此第二磊晶層包括Si1-yGey,其中0.2<Y<0.8。在一個或多個前述或下述的實施例中,此第二磊晶層更包括1.0×1020原子/立方公分至8.0×1021原子/立方公分的量的磷及砷中的至少一種。在一個或多個前述或下述的實施例中,進一步形成由半導體材料所組成之蓋層於此第二磊晶層之上。在一個或多個前述或下述的實施例中,此蓋層是磊晶層,此磊晶層包括 Si1-zGez。在一個或多個前述或下述的實施例中,此蓋層更包括5.0×1020原子/立方公分至8.0×1021原子/立方公分的量的磷及砷中的至少一種。在一個或多個前述或下述的實施例中,此第一磊晶層的縱橫比H/W為4至20的範圍內,其中H是從此隔離絕緣層之此上表面起算的這些第一磊晶層之高度,且W是此第一磊晶層沿著一方向之最大寬度,其中此閘極結構在此方向上延伸。
依據本揭露之另一實施例,一種包括鰭式場效電晶體的半導體裝置被提供。此鰭式場效電晶體包括:第一鰭狀結構及第二鰭狀結構設置於基板之上,使得第一及第二鰭狀結構的上部分突出於隔離絕緣層之上;閘極結構位於部分的第一及第二鰭狀結構之上;第一磊晶層分別設置於第一及第二鰭狀結構的源極/汲極區域之上,且這些第一磊晶層彼此分離;第二磊晶層設置於此第一磊晶層之上,此第二磊晶層具有不同於此第一磊晶層的材料組成;層間介電層設置於第一及第二磊晶層之上;導電接觸層接觸第一及第二磊晶層。導電接觸層之底部位於此第一磊晶層之最上部分之下。在一個或多個前述或下述的實施例中,空隙形成於此第二磊晶層與此隔離絕緣層之上表面之間。在一個或多個前述或下述的實施例中,此第一磊晶層包括Si1-xGex,其中0.01<X<0.4,且此第二磊晶層包括Si1-yGey,其中0.2<Y<0.8。
依據本揭露之另一實施例,一種包括鰭式場效電晶體的半導體裝置被提供。此鰭式場效電晶體包括:三個或是三個以上的鰭狀結構設置於基板之上,使得這些鰭狀結構的上 部分突出於隔離絕緣層之上;閘極結構位於部分的鰭狀結構之 上;第一磊晶層分別設置於這些鰭狀結構的源極/汲極區域之 上,且這些第一磊晶層彼此分離;第二磊晶層設置於此第一磊 晶層之上,此第二磊晶層具有不同於此第一磊晶層的材料組 成;第三磊晶層設置於此第二磊晶層之上,此第三磊晶層具有 不同於此第二磊晶層的材料組成;以及接觸開口被配置為與第 一、第二及第三磊晶層接觸。導電接觸層接觸這些第一磊晶層 的上表面及側表面。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神及範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。

Claims (20)

  1. 一種製造包括一鰭式場效電晶體的一半導體裝置之方法,包括:形成一第一磊晶層在用於該鰭式場效電晶體的一鰭式結構之一源極/汲極區域;形成一第二磊晶層於該第一磊晶層之上,其中該第二磊晶層具有不同於該第一磊晶層的一材料組成;形成一層間介電層於該第一磊晶層與該第二磊晶層之上;以及藉由蝕刻該層間介電層之一部分與該第二磊晶層之一部分,以形成一接觸開口;其中該第一磊晶層延伸突出該接觸開口之一底部。
  2. 如申請專利範圍第1項所述之方法,更包括形成一導電接觸層於該接觸開口中且接觸該第一磊晶層與該第二磊晶層;其中該導電接觸層之一底部位於該第一磊晶層之最上部分之下。
  3. 如申請專利範圍第1項所述之方法,其中:該鰭式場效電晶體是P型;以及該第一磊晶層包括Si 1-xGe x,且該第二磊晶層包括Si 1-yGe y,其中X<Y。
  4. 如申請專利範圍第3項所述之方法,其中該第一磊晶層包括Si 1-xGe x,其中0.01<X<0.4。
  5. 如申請專利範圍第4項所述之方法,其中該第一磊晶層更 包括5.0×10 19原子/立方公分至1.0×10 21原子/立方公分的量的硼及鎵中的至少一種。
  6. 如申請專利範圍第3項所述之方法,其中該第二磊晶層包括Si 1-yGe y,其中0.2<Y<0.8。
  7. 如申請專利範圍第4項所述之方法,其中該第二磊晶層更包括1.0×10 20原子/立方公分至3.0×10 21原子/立方公分的量的硼及鎵中的至少一種。
  8. 如申請專利範圍第3項所述之方法,其中該第一磊晶層與該第二磊晶層更包括硼及鎵中的至少一種;以及在該第一磊晶層中的硼及鎵中的至少一種之含量小於在該第二磊晶層中的硼及鎵中的至少一種之含量。
  9. 如申請專利範圍第3項所述之方法,更包括:形成由一半導體材料所組成之一蓋層於該第二磊晶層之上。
  10. 如申請專利範圍第9項所述之方法,其中該蓋層是一磊晶層,該磊晶層包括Si 1-zGe z,其中0 Z<0.4,其中Z<Y。
  11. 如申請專利範圍第10項所述之方法,其中該蓋層更包括5.0×10 19原子/立方公分至1.0×10 21原子/立方公分的量的硼及鎵中的至少一種。
  12. 一種製造包括一鰭式場效電晶體的一半導體裝置之方法,包括:形成一第一鰭式結構與一第二鰭式結構於一基板之上,使得該第一鰭式結構與該第二鰭式結構突出於一隔離絕緣層之上; 形成一閘極結構於該第一鰭式結構與該第二鰭式結構之部分之上;形成一間隔物材料層在突出於該隔離絕緣層之上且未被該閘極結構所覆蓋之該第一鰭式結構與該第二鰭式結構之側壁上,並且位於該隔離絕緣層之一上表面上;凹陷化該第一鰭式結構與該第二鰭式結構之上部分,而形成一凹陷的第一鰭式結構與一凹陷的第二鰭式結構;形成複數個第一磊晶層分別位於該凹陷的第一鰭式結構與該凹陷的第二鰭式結構之上,該等第一磊晶層彼此分離;形成一第二磊晶層於該等第一磊晶層之上,該第二磊晶層具有不同於該第一磊晶層的一材料組成;形成一層間介電層於該第一磊晶層與該第二磊晶層之上;以及藉由蝕刻該層間介電層之一部分與該第二磊晶層之一部分,以形成一接觸開口;其中該第一磊晶層延伸突出於該接觸開口之一底部。
  13. 如申請專利範圍第12項所述之方法,其中形成一空隙位於該第二磊晶層與該隔離絕緣層之該上表面之間。
  14. 如申請專利範圍第12項所述之方法,其中:在凹陷化該第一鰭式結構與該第二鰭式結構時,設置於該第一鰭式結構與該第二鰭式結構的側壁上的該間隔物材料層的複數個下部分以及設置於該隔離絕緣層之該上表面上的該間隔物材料層殘留;以及形成一空隙於該第二磊晶層與設置於該隔離絕緣層之該上 表面上的殘留的該間隔物材料層之間。
  15. 如申請專利範圍第12項所述之方法,更包括形成一導電接觸層於該接觸開口中且接觸該第一磊晶層與該第二磊晶層;其中該導電接觸層之一底部位於該第一磊晶層之最上部分之下。
  16. 如申請專利範圍第15項所述之方法,其中:該鰭式場效電晶體是P型;以及該第一磊晶層包括Si 1-xGe x,且該第二磊晶層包括Si 1-yGe y,其中X<Y。
  17. 如申請專利範圍第15項所述之方法,其中:該第一磊晶層包括Si 1-xGe x,其中0.01<X<0.4;且該第二磊晶層包括Si 1-yGe y,其中0.2<Y<0.8。
  18. 如申請專利範圍第17項所述之方法,其中:該第一磊晶層更包括5.0×10 19原子/立方公分至1.0×10 21原子/立方公分的量的硼及鎵中的至少一種;該第二磊晶層更包括1.0×10 20原子/立方公分至3.0×10 21原子/立方公分的量的硼及鎵中的至少一種;以及在該第一磊晶層中的硼及鎵中的至少一種之含量小於在該第二磊晶層中的硼及鎵中的至少一種之含量。
  19. 如申請專利範圍第12項所述之方法,其中:該等第一磊晶層的縱橫比H/W為4至20的範圍內,其中H是從該隔離絕緣層之該上表面起算的該等第一磊晶層之高度,且W是該第一磊晶層沿著一方向之最大寬度,其中該 閘極結構在該方向上延伸。
  20. 一種半導體裝置,包括:一閘極結構,形成於該半導體裝置之一通道區域之上;一源極/汲極區域,相鄰於該通道區域;以及一導電接觸層,位於該源極/汲極區域之上;其中該源極/汲極區域包括:一第一磊晶層,具有一第一材料組成;一第二磊晶層,形成於該第一磊晶層之上,該第二磊晶層具有不同於該第一材料組成的一第二材料組成;以及一導電接觸層,接觸該第一磊晶層與該第二磊晶層;且該導電接觸層之一底部位於該第一磊晶層之最上部分之下。
TW106141146A 2016-12-30 2017-11-27 半導體裝置及其製造方法 TWI671808B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662441028P 2016-12-30 2016-12-30
US62/441,028 2016-12-30
US15/725,091 2017-10-04
US15/725,091 US10297690B2 (en) 2016-12-30 2017-10-04 Method of forming a contact structure for a FinFET semiconductor device

Publications (2)

Publication Number Publication Date
TW201841232A true TW201841232A (zh) 2018-11-16
TWI671808B TWI671808B (zh) 2019-09-11

Family

ID=62711996

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106141146A TWI671808B (zh) 2016-12-30 2017-11-27 半導體裝置及其製造方法

Country Status (4)

Country Link
US (1) US10297690B2 (zh)
KR (1) KR102063233B1 (zh)
CN (1) CN108269850B (zh)
TW (1) TWI671808B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11677013B2 (en) 2020-03-30 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain epitaxial layers for transistors
TWI823057B (zh) * 2020-03-30 2023-11-21 台灣積體電路製造股份有限公司 半導體結構和製造半導體結構的方法

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10269648B1 (en) * 2017-11-17 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating a semiconductor device structure
US10658362B2 (en) * 2017-11-27 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor component and fabricating method thereof
US10510883B2 (en) 2017-11-28 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Asymmetric source and drain structures in semiconductor devices
US11404536B2 (en) * 2018-03-30 2022-08-02 Intel Corporation Thin-film transistor structures with gas spacer
US10586872B2 (en) * 2018-07-03 2020-03-10 International Business Machines Corporation Formation of wrap-around-contact to reduce contact resistivity
US11101347B2 (en) * 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Confined source/drain epitaxy regions and method forming same
US11177346B2 (en) 2019-01-07 2021-11-16 Samsung Electronics Co., Ltd. Semiconductor device
CN111627815B (zh) * 2019-02-28 2023-10-10 中芯国际集成电路制造(上海)有限公司 非平面型场效应晶体管的形成方法
US11189728B2 (en) 2019-09-05 2021-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11289541B2 (en) * 2019-11-14 2022-03-29 Winbond Electronics Corp. Resistive random access memory devices and methods for forming the same
US11728223B2 (en) * 2019-12-20 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US11769820B2 (en) * 2020-02-27 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing a FinFET by forming a hollow area in the epitaxial source/drain region
US11469305B2 (en) 2020-07-22 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain structure for semiconductor device
US11652105B2 (en) * 2020-07-22 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxy regions with large landing areas for contact plugs
KR20220022507A (ko) 2020-08-18 2022-02-28 삼성전자주식회사 반도체 소자
KR20220049088A (ko) 2020-10-13 2022-04-21 삼성전자주식회사 반도체 장치
US20230207655A1 (en) * 2021-12-24 2023-06-29 Intel Corporation Formation of metal contacts to silicon germanium layers with etch resistive cap layers

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8362575B2 (en) * 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
KR102068980B1 (ko) * 2013-08-01 2020-01-22 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8878300B1 (en) * 2013-09-18 2014-11-04 Stmicroelectronics, Inc. Semiconductor device including outwardly extending source and drain silicide contact regions and related methods
KR102216511B1 (ko) * 2014-07-22 2021-02-18 삼성전자주식회사 반도체 소자
US9530661B2 (en) 2014-08-06 2016-12-27 Applied Materials, Inc. Method of modifying epitaxial growth shape on source drain area of transistor
US9385197B2 (en) * 2014-08-29 2016-07-05 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor structure with contact over source/drain structure and method for forming the same
US9324820B1 (en) 2014-10-28 2016-04-26 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming semiconductor structure with metallic layer over source/drain structure
KR102251060B1 (ko) 2015-04-06 2021-05-14 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
KR20160125208A (ko) 2015-04-21 2016-10-31 삼성전자주식회사 핀 액티브 영역들을 갖는 반도체 소자 및 그 제조 방법
US10483262B2 (en) 2015-05-15 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dual nitride stressor for semiconductor device and method of manufacturing
US9680020B2 (en) * 2015-07-09 2017-06-13 Globalfoundries Inc. Increased contact area for FinFETs

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11677013B2 (en) 2020-03-30 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain epitaxial layers for transistors
TWI823057B (zh) * 2020-03-30 2023-11-21 台灣積體電路製造股份有限公司 半導體結構和製造半導體結構的方法

Also Published As

Publication number Publication date
CN108269850A (zh) 2018-07-10
KR102063233B1 (ko) 2020-01-07
KR20180079179A (ko) 2018-07-10
US10297690B2 (en) 2019-05-21
TWI671808B (zh) 2019-09-11
US20180190810A1 (en) 2018-07-05
CN108269850B (zh) 2021-05-25

Similar Documents

Publication Publication Date Title
TWI671808B (zh) 半導體裝置及其製造方法
KR102259706B1 (ko) 반도체 디바이스 및 그 제조 방법
US20210035806A1 (en) Semiconductor device having a uniform and thin silicide layer on an epitaxial source/ drain structure and manufacturing method thereof
TWI638459B (zh) 半導體裝置及其製造方法
US10269968B2 (en) Semiconductor device including fin structures and manufacturing method thereof
US10158007B2 (en) Semiconductor device and manufacturing method thereof
US11239084B2 (en) Semiconductor device and manufacturing method thereof
US11309418B2 (en) Contact structure for FinFET semiconductor device
CN110660742A (zh) 制造半导体装置的方法
US20210351041A1 (en) Method of manufacturing semiconductor devices and semiconductor devices
TWI785518B (zh) 製造半導體裝置的方法和半導體裝置
TWI719168B (zh) 以原子層沉積形成鎢層的方法及半導體裝置與其形成方法