TW201822221A - 藉由對於低氧環境中之真空紫外光的受控暴露而達成表面及埋入式基板電荷的降低 - Google Patents

藉由對於低氧環境中之真空紫外光的受控暴露而達成表面及埋入式基板電荷的降低 Download PDF

Info

Publication number
TW201822221A
TW201822221A TW106130208A TW106130208A TW201822221A TW 201822221 A TW201822221 A TW 201822221A TW 106130208 A TW106130208 A TW 106130208A TW 106130208 A TW106130208 A TW 106130208A TW 201822221 A TW201822221 A TW 201822221A
Authority
TW
Taiwan
Prior art keywords
substrate
vuv
reducing
buried charge
lamp
Prior art date
Application number
TW106130208A
Other languages
English (en)
Other versions
TWI753004B (zh
Inventor
拉法爾 戴勒威茲
萊霍 史沃森巴契
夏 曼
佐野健一
羅大宇
米蘭 普利斯卡
Original Assignee
奧地利商蘭姆研究股份公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 奧地利商蘭姆研究股份公司 filed Critical 奧地利商蘭姆研究股份公司
Publication of TW201822221A publication Critical patent/TW201822221A/zh
Application granted granted Critical
Publication of TWI753004B publication Critical patent/TWI753004B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/14Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using charge exchange devices, e.g. for neutralising or changing the sign of the electrical charges of beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/20Masks or mask blanks for imaging by charged particle beam [CPB] radiation, e.g. by electron beam; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/0231Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to electromagnetic radiation, e.g. UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

一種降低在基板表面及埋入式電荷的系統,包含一基板支架,用以支撐一基板。 一真空紫外光(VUV)組件,其配置係與該基板支架相鄰,且其包含一外殼以及一連接至該外殼的VUV燈,且該VUV燈產生紫外光(UV光)並將該UV光導向該基板。一移動裝置,用以在該基板暴露至該UV光以降低在該基板之表面及埋入式電荷的期間,移動該VUV組件及該基板支架至少其中之一。

Description

藉由對於低氧環境中之真空紫外光的受控暴露而達成表面及埋入式基板電荷的降低
本發明係關於一種基板處理系統,尤其是關於降低基板表面及埋入式電荷的系統及方法。
此處之發明背景說明乃為了大體呈現本揭露之內容而提供。在此發明內容所描述的程度中,目前所列之發明人的貢獻以及在提出申請時並未具有先前技術資格之本發明說明之態樣,均未明示或暗示承認視為對本發明揭露之先前技術。
在處理期間,在例如半導體晶圓的基板上可能產生殘餘物表面及內嵌電荷。例如,殘餘物表面及內嵌電荷可能在乾式蝕刻期間產生。用以實施乾式蝕刻之基板處理系統典型上包含一處理室、一例如噴淋頭之氣體分配裝置以及一基板支架。例如半導體晶圓之基板可以配置於該基板支架上。在某些例子中,基板支架包含一靜電夾頭(ESC)。在處理期間,可導入不同的氣體混合物至處理室中。在處理期間,基板支架可藉由DC源或射頻(RF)源而偏壓。
在處理期間,基板常需受到濕式旋轉清潔製程,以移除處理前或處理後的化學物以及/或微粒。在濕式清潔期間,基板可能在旋轉時受到配送至基板上之例如沖洗液體的液體處理。良率的損耗可直接與在具有殘餘物表面及嵌入式電荷的基板上實施的濕式清潔處理相關連。電弧相關的缺陷(或火山狀殘留物缺陷 volcano defect)是良率下降背後最可能的作用機制。
為了避免在後續處理中對基板的損害以及/或缺陷,吾人已致力於降低或消除殘餘物表面以及嵌入的電荷。降低殘餘物表面電荷的技術包含電暈放電、軟性X射線光游離法以及 α粒子游離法。例如離子輔助晶圓放電會在靠近基板表面處產生正電荷及負電荷離子。自由電荷載體(離子)因基板上之電荷的出現而被吸引至該基板表面。自由電荷載體便抵銷基板表面處之電荷。然而離子並無法穿透進入基板而降低內嵌式電荷。
用以降低基板上之表面及內嵌電荷的系統包含用以支撐基板之基板支架。一真空紫外光(VUV)組件配置於與該基板支架相鄰,且其包含一外殼以及一連接至該外殼的VUV燈,該VUV燈產生紫外光(UV光)並將該UV光導向該基板。一移動裝置,用以在該基板暴露至該UV光以降低在該基板之表面及內嵌電荷的期間,移動該VUV組件及該基板支架至少其中之一。
在其他的特徵中,該外殼係用以將一氧還原沖洗氣體引導至該VUV燈及該基板之間的一間隙。一氣體輸送系統,用以供應該氧還原沖洗氣體。該氧還原沖洗氣體具有之含氧量少於5%質量百分比。
在其他的特徵中,該外殼包含一第一入口,以接收沖洗氣體; 一第一流體通道,與該第一入口流體相通; 以及一第一出口,與該第一流體通道流體相通。該第一出口乃將該氧還原沖洗氣體引導至該VUV燈及該基板之間的該間隙。
在其他的特徵中,該外殼包含一第二入口,以接收周圍氣體; 一第二流體通道,與該第二入口流體相通; 以及一第二出口,與該第二流體通道以及一真空源流體相通。
在其他的特徵中,該外殼係用以在真空下將周圍氣體通過該外殼抽出以冷卻該VUV組件,該真空係由遠離該VUV組件之一真空源供應。
在其他的特徵中,該移動裝置包含一旋轉裝置,用以在該基板暴露於該VUV燈產出之該UV光期間相對於該VUV組件而旋轉該基板支架。該移動裝置係用以在該VUV燈產出該UV光的同時,將該VUV組件相對於該基板支架旋轉。
在其他的特徵中,該移動裝置包含一基板旋轉裝置,用以在該基板暴露於該VUV燈產出之該UV光期間旋轉該基板支架; 以及一徑向移動裝置,用以在該基板支架旋轉及該VUV燈產出該UV光的同時,將該VUV組件以相對於該基板支架而徑向方式移動。
在其他的特徵中,該VUV燈之暴露期間介於5秒至15秒之間。
在其他的特徵中,該第一流體通道具有一環形。該第一出口包含複數個相隔的噴嘴從該第一流體通道穿過該外殼之一面向基板的表面。
在其他的特徵中,該第一流體通道具有一環形而外接該VUV燈。該第一出口包含一環形狹縫從該第一流體通道通過該外殼之一面向基板的表面。
在其他的特徵中,一VUV陣列包含複數個該VUV組件。該移動裝置包含一掃瞄裝置,用以使該VUV陣列掃瞄該基板之一整個表面。
一種將基板暴露至液體的處理系統,包含該降低表面及埋入式電荷的系統。至少一液體分配器,用以將液體分配至該基板上。
在其他的特徵中,一銷夾頭及一旋轉夾頭兩者其中之一包含該基板支架以及該移動裝置。
在其他的特徵中,該VUV燈及該基板支架乃位於一處理室中。該處理室為一封閉式處理室以及對潔淨室環境開放之一開放式處理室兩者其中之一。該處理室中的周圍氣體具有大於或等於800 hPa之壓力。
一種降低基板表面及嵌入電荷的方法,其步驟包含:將一基板配置於一基板支架上; 將包含一外殼以及一VUV燈之一真空紫外光(VUV)組件配置在與該基板相鄰的位置; 以及在該基板暴露至紫外光(UV)以降低該基板表面及嵌入電荷期間,將該UV光導向該基板並同時移動該VUV組件及該基板支架兩者至少其中之一。
在其他的特徵中,本方法之步驟更包含將一氧還原沖洗氣體引導至該VUV燈及該基板之間的一間隙。
在其他的特徵中,本方法之步驟包含在該VUV燈之5秒到15秒之間的暴露期間,將該VUV燈放電。
在其他的特徵中,本方法之步驟包含將該基板支架及該VUV組件配置於一處理室中。該處理室為一封閉式處理室以及對潔淨室環境開放之一開放式處理室兩者其中之一。本方法之步驟包含供應一氣體至該處理室,該氣體具有之含氧量少於5%質量百分比。
在其他的特徵中,本方法之步驟包含在真空下透過該外殼而抽取周圍氣體以冷卻該VUV組件,該真空乃由一遠離該VUV組件之真空源所供應。
在其他的特徵中,該移動步驟包含在該基板暴露至該UV光期間旋轉該基板支架,並同時以相對於該基板支架而徑向移動該VUV組件,其中該UV光係由該VUV燈所產生。
在其他的特徵中,本方法之步驟包含將該VUV組件配置於一VUV陣列中,該VUV陣列包含複數個該VUV組件; 以及使該VUV陣列掃瞄配置在該基板支架上之該基板的一整個表面。
本發明揭露內容之進一步適用領域將在參照詳細發明內容、發明申請專利範圍以及圖示之後變得更加明顯。詳細發明內容以及特定範例僅係說明之用,並非意圖用於限制本發明揭露內容之範圍。
根據本發明內容揭露之系統與方法乃中和基板上之表面電荷以及基板內之嵌入電荷。此處所描述之系統及方法使用一包含VUV燈的VUV組件當作離子發生器(ionizer)以降低殘留物表面及內嵌電荷。
內嵌電荷的減少係基於VUV燈所產生之光子的吸收。被吸收的光子會在基板內部靠近現存內嵌電場處產生自由電荷載體。該自由電荷載體包含具有負電荷及正電荷之電洞對。光子能量便被轉移至基板材料的原子中。該等光子便將電子自價能帶激發至傳導帶。因此,光子誘發電荷載體(電子及電洞)便可在基板中取得並轉移至基板中的內嵌電場。
現在參照圖1,顯示基板電荷降低系統50。基板60係配置於基板支架64上。在某些範例中,基板支架64可以配置在基板處理室66中,例如單一晶圓濕式處理裝置。真空紫外光(VUV)組件70包含VUV燈72以及VUV外殼74。該VUV燈72係配置於與該基板60之一上表面相距一預定距離內(標示為”g”)之處。該VUV燈72產生UV光束76衝擊在基板60上。
移動裝置78用以在電荷降低期間提供VUV組件70及基板支架64之間的相對移動。在某些例子中,移動裝置78會將VUV組件70相對於基板支架64移動。在某些例子中,移動裝置78會將VUV組件70以中心到邊緣、邊緣到中心、邊緣到邊緣或其他模式的方向移動。在某些例子中,該移動裝置78為一旋轉手臂,俾使該VUV組件沿著一圓弧而從中心到邊緣的移動。旋轉裝置80係用以在電荷降低期間旋轉基板支架64及基板60。
在某些例子中,基板支架64及旋轉裝置80可以包含一旋轉夾頭,如2015年11月17日核准之共同受讓的美國專利號第9,190,310中顯示及說明者,其整體乃一併包含於此以供參照。在某些例子中,基板支架64及旋轉裝置80可以包含一銷夾頭,其利用夾持銷而碰觸到基板的邊緣。銷夾頭的例子包含Bernoulli夾頭(如美國專利號第4,903,717以及5,513,668中說明)或環形夾頭(如共同受讓的美國專利號第8,833,380中說明),其整體乃一併包含於此以供參照。
遠端真空源84係利用閥門86或其他適當的流動控制裝置而選擇性地連接至VUV組件70。該遠端真空源84係被選擇性地用來透過VUV外殼74來抽取周圍氣體,以在VUV燈72運作期間冷卻VUV組件70。使用遠端真空源84而非局部風扇會降低可能導致缺陷的微粒產生及再循環。
沖洗氣體源90係使用閥門92或其他適當流動控制裝置而選擇性地供應沖洗氣體至VUV組件70。在某些例子中,沖洗氣體包含氮分子(N2 )。在某些例子中,含氧量係低於5%質量百分比。在電荷降低期間,VUV組件70乃將該清洗氣體導向VUV組件70以及基板60之間。
控制器94與下列各者連通並協調其操作:VUV燈72、旋轉裝置80的旋轉、移動裝置78的移動以及閥門86及92的狀態。
沖洗VUV燈72以及基板60之間間隙的另一個選擇是可在一低氧環境中執行基板電荷移除系統。舉例來說,該基板電荷移除系統可以在基板處理室中使用一惰性氣體或其他低氧處理氣體、而非使用周圍氧氣。可以使用氣體輸送系統95、閥門97以及幫浦99來抽空基板處理室,並供應具有小於或等於5%質量百分比的氧氣。例如處理氣體可以包含一惰性氣體。
使用上,基板60乃配置在基板支架64上。控制器94在VUV組件70及基板60之間供應沖洗氣體。控制器94乃利用連接遠端真空源84至VUV外殼74而冷卻VUV組件70。控制器94利用旋轉裝置80來旋轉基板支架64。控制器94開啟VUV燈72,並利用移動裝置78而使VUV組件70相對於基板支架64移動,以使UV光束76掃瞄基板60之整個表面。
一個以上的液體分配器101可以配置於基板支架64上方,以便在基板被旋轉裝置80旋轉時從液體源103配送液體至基板60上。在某些例子中,當液體配送時,該等液體分配器101中至少其中之一位於基板60之中心上方。在某些例子中,該液體包含清洗流體、沖洗流體、例如旋塗式薄膜(spin-on film)的旋塗液體等。在某些例子中,基板支架64及旋轉裝置80包含一銷夾頭及一旋轉夾頭。控制器94亦可控制來自液體分配器101所配送的液體。。
現在參照圖2~4,進一步詳細顯示VUV組件70之VUV外殼74。在圖2中,VUV外殼74包含下外殼部110、中外殼部120以及上外殼部130。在某些例子中,下外殼部110具有一環形。下外殼部110界定一環形凹槽112以及複數個隔開的洞114,該等洞乃貫穿至環形凹槽112之面向基板的表面。在某些例子中,中外殼部120具有一柱形。中外殼部120包含外壁122、內壁124以及界定於其兩者之間的流體通道126。流體入口127乃定義位於中外殼部120以及下外殼部110交界處。流體入口127可包含一環形開口、複數個洞等或其他種類的流體入口。流體入口127乃與中外殼部120內的流體通道126流體相通。VUV外殼74之上外殼部130界定一與流體通道126流體相通之凹槽134。上外殼部130之出口136係與該凹槽134流體相通。出口136乃連接至圖1中之閥門86及遠端真空源84。
在操作期間,閥門86係開啟,遠端真空源84便透過流體入口127、中外殼部120之流體通道126、上外殼部130之凹槽134以及出口136抽取周圍氣體。藉由與VUV組件70交換熱,周圍氣體便冷卻了VUV組件70。使用遠端真空源84會降低可能產生缺陷的微粒生成以及/或散佈。
在圖3中,VUV外殼74之下外殼部110更包含一入口150,其界定了到環形凹槽112之流體通道154。入口150乃選擇性地由閥門92而連接至沖洗氣體源90。在操作期間,閥門92係開啟,來自沖洗氣體源90之沖洗氣體便被引導通過入口150之流體通道154而到達環形凹槽112。沖洗氣體乃以向下的方向流經該複數個相隔的洞114而往基板60去。在圖4中,該複數個相隔的洞114可以被環形狹縫180取代或加以補充。
現在參照圖5,顯示降低表面及內嵌之基板電荷的方法200。在步驟210中,將一基板配置於一基板支架上。在步驟214中,旋轉基板。在步驟218,將沖洗氣體供應至VUV組件並將其導向該VUV組件及該基板之間。於步驟222中,連接一真空源至該VUV組件以抽取該處之周圍氣體,以冷卻該VUV組件。在步驟230中,於一暴露期間,將該VUV組件相對於該基板支架移動。在某些例子中,隨著基板的旋轉,該VUV燈係從基板中心至邊緣線性掃瞄整個基板。當暴露結束之後,VUV組件之VUV燈便在步驟234中關閉。在步驟238中,關閉該真空源。在步驟242,關閉沖洗氣體。在步驟246,基板乃從基板支架移除。
現在參照圖6,顯示另一種降低電荷的系統280,其包含一VUV陣列290,該VUV陣列290包含複數個VUV燈72-1、72-2、….及72-V(統稱為複數個VUV燈72),其中V為大於1的整數。在某些例子中,V等於3、4、5或6。在某些例子中,基板支架並不旋轉。VUV陣列290之複數個VUV燈72在基板保持不動時掃瞄整個基板。
現在參照圖7A~7C,顯示一對無塵室環境開放之處理室之一例。顯示於圖7A中之集流器組件300係配置於圖7B顯示之銷夾頭302周圍。銷夾頭302包含複數個銷304,其選擇性地嚙合基板之徑向外緣。位於銷夾頭302之一上表面之中心的氣體噴嘴(未顯示)乃引導氣體朝上。位於銷夾頭302之上表面之徑向外部的氣體噴嘴(未顯示)則引導氣體向上及徑向向外。結果,基板在處理期間係置於一氣墊上而並沒有與銷夾頭302之上表面接觸(除了與銷304接觸之外)。在某些例子中,銷夾頭302係選擇性地被中空桿306所旋轉,該中空桿306係連接至一驅動馬達(未顯示)。供應至該噴嘴的氣體可通過中空桿306來供應。
在某些例子中,集流器組件300包含底部元件310、頂部元件320、第一中間元件330以及第二中間元件340,雖然可以使用額外的元件或更少的元件。在某些例子中,由元件320、330及340所界定的不同階層可用來使用不同種類的流體來處理基板。該集流器組件300的另外一半在圖7A中並未顯示,其大致為一鏡像。該集流器組件300也可選擇性地包含上導流片350、中導流片360以及下導流片370。
在某些例子中,一通風道(未顯示)可以配置於頂部元件320上方,以將來自無塵室環境之周圍氣體(例如空氣)引導至集流器組件300中。在某些例子中,該通風道可包含一或多個垂直的狹縫(未顯示)以讓手臂伸入放置基板以及/或移動VUV組件70。
銷夾頭302可相對於集流器組件300而在一上裝卸載位置以及對應於頂部元件320、第一中間元件330和第二中間元件340之不同階層之間移動。集流器組件300及銷夾頭302之間的相對移動可透過使銷夾頭302相對於集流器組件300升高或降低、或使集流器組件300相對於銷夾頭302升高或降低、或者使兩者以相對方向或同一方向不同速度同時升高或降低。與集流器組件300相關之額外細節乃顯示於2016年9月24日公開之共同受讓之美國專利公開號第2014/0283935,其完整內容係併入於此以供參照。
在圖7C中,移動裝置78乃將該VUV組件70從一非操作位置移動到位於基板上方之操作位置,以如此處所說明的降低表面及內嵌電荷。在某些例子中,銷夾頭302係於表面及內嵌電荷降低期間移動至該上裝卸載位置。在其他例子中,銷夾頭302於表面及內嵌電荷降低期間可以位於該等階層其中之一處。在某些例子中,移動裝置78係上下移動以及/或橫向旋轉,以讓VUV組件70就定位於銷夾頭302上方的操作位置。在降低表面及內嵌電荷之後,VUV組件70便可移動回非操作位置處(不會阻礙操作或基板的裝載以及/或卸載)。雖然此處顯示一單一移動裝置78,也可使用兩個或更多的移動裝置來移動VUV組件70。例如,操作位置以及非操作位置之間的移動可以藉由一或多個移動裝置來執行,表面及內嵌電荷降低期間的掃瞄移動可以藉由一或多個移動裝置來執行。
現在參照圖8,顯示一降低表面及內嵌基板電荷的方法400。在步驟410中,將一基板配置於一基板支架上。在步驟418,將沖洗氣體供應至VUV陣列並使其導向該VUV陣列及該基板之間。於步驟422中,連接一真空源至該VUV組件以抽取周圍氣體,以冷卻該VUV陣列。於步驟426中,啟動該VUV陣列之該VUV燈。在步驟430中,於一暴露期間,該VUV陣列掃瞄至整個基板支架。在某些例子中,該VUV燈之光線係從基板此邊緣至彼邊緣掃瞄了整個基板。當暴露結束之後,VUV組件之VUV燈便在步驟434中關閉。在步驟438中,關閉該真空源。在步驟442,關閉沖洗氣體。在步驟446,基板乃從基板支架移除。
在某些例子中,基板支架係以20rpm至500rpm之間的速度旋轉。在某些例子中,包含複數個分隔洞(或環形狹縫)的下外殼部與基板之間的距離g係介於0.5mm至50mm之間。在其他例子中,距離g係介於2mm至30mm之間。在某些例子中,VUV燈與基板之間的間隙係介於2mm至50mm之間。在某些例子中,VUV燈在基板上產生的光點直徑從10mm到100mm
在某些例子中,VUV燈具有在UV C帶(波長從118nm~400nm,峰值波長為160nm)中之寬波段UV放射光譜。在某些例子中,VUV燈之線性掃瞄速度係介於5~100 mm/秒之間。在某些例子中,依據之前的處理,VUV燈可能在某些位置上具有高電荷程度的位置執行駐留時間增加。
在一例中,使用本降低電荷系統之晶圓放電或降低內嵌晶圓電荷都是在1 kÅ-厚的介電熱氧化基板(矽(Si)基板上的ThOx )上執行。該基板係利用旋轉清潔製程以配送至旋轉基板上之去離子水(DIW)預先充電。暴露的結果可在基板的一中心區域觀察到高度負電荷等級(高至-23V)。在基板的邊緣處則觀察到正電荷(高至+5V)。在執行降低電荷之後,基板便完全放電,其電荷等級回復至+/- 0.5V(與新進的基板在DIW預充電之前相同)。
根據本發明揭露之降低電荷系統係降低表面及內嵌兩者之基板電荷。根據本發明揭露之降低電荷系統抵銷了基板中心區域的負電荷及基板邊緣的正電荷。根據本發明揭露之電荷降低系統能夠在很短的暴露時間內降低基板高程度電荷。在某些例子中,每基板的暴露時間介於5~15秒之間。例如,暴露時間可以是10秒。根據本發明揭露之降低電荷系統係省時且晶圓產出中性。
根據本發明揭露之電荷降低系統可與單一晶圓旋轉清潔系統整合(例如一或多個專門用於晶圓放電之腔室)。交替性地,根據本發明揭露之電荷降低系統可依據客戶需求而安裝為獨立式放電工具或放電站。
在某些例子中,VUV燈具有寬的UV放射光譜而包含波長185nm的存在。此特定波長可有效地分解氧原子及合成臭氧(O3 )。為了在放電時保護基板以及最小化O3 的衝擊,沖洗氣體可以供應至VUV燈以及基板之間。在某些例子中,沖洗氣體包含原子氮(N2 )或其他適合的沖洗氣體。在VUV燈以及基板之間創造的低氧環境因氧氣較不易取得而有助於降低O3 的產生。因為O2 在N2 /大氣混合氣體的吸收,進而較少光線喪失,因此沖洗氣體可讓更多的VUV放射到達基板。在表面(非旋轉)的測試中,N2 沖洗氣體可以降低O2 含量從21%質量百分比(標準空氣)至低於5%質量百分比(低O2 狀況)。在某些例子中,係使用具有氘燈的 Hamamatus VUV靜電消除器(L12542)在20mm 的源頭-到-晶圓的距離。缺少氧氣乃避免了VUV的吸收,因此強化了電荷移除裝置的效能。
在某些例子中,使用本降低電荷系統以降低靜電及內嵌晶圓電荷係於壓力大於或等於800百帕(hPa)下執行。在其他例子中,使用本降低電荷系統以降低靜電及內嵌晶圓電荷係於環境壓力(1013 hPa +/- 10%)下執行。
前述之描述僅限於說明性質,並未意圖限制本揭露內容、應用以及使用。本揭露內容之廣泛教示可應用於各種不同形式。因此,雖然本揭露內容包含特定範例,本揭露內容之真實範圍並不受限於此,因在研究圖示、說明書以及接下來的申請專利範圍後,其他的修改變形便會變得明顯。吾人應瞭解,方法中的一或多個步驟可以不同的順序(或同時)進行而不需變更本揭露內容之原則。且,雖然上述的每一個實施例均具有特定特徵,針對本揭露內容之任意實施例中所描述的這些特徵的任一或多個均可與/或任意其他實施例之特徵合併而加以實施,即使該合併並未明確描述出。換句話說,所描述之實施例並非互斥,一或多個實施例與另一個的置換仍落在本揭露內容的範圍內。
元件(例如模組之間、電路元件之間、半導體層之間等)之間的空間與功能關係乃利用各種不同的術語來描述,其包含:「連接」、「接合」、「耦合」、「相鄰」、「隔壁」、「在其上方」、「上方」、「下方」以及「配置」。除非當上述揭露內容中第一及第二元件的關係明確的描述為「直接」,該關係可以是沒有其他中間元件存在於該第一及第二元件之間的直接關係,但也可以是有一個以上的中間元件存在(空間上或功能上)於該第一及第二元件之間的間接關係。如此處所使用之說法:A、B及C中至少其中之一,其應該被解釋為一邏輯用法(A或B或C)而使用非排他性邏輯OR,不應該被解釋為:A的至少其中之一、B的至少其中之一以及C的至少其中之一。
在某些實施中,控制器為系統的一部份,而系統可以是上述範例的一部份。此類系統可包含半導體處理設備,其包含單一處理工具或複數處理工具、單一處理室或複數處理室、單一處理平台或複數處理平台,以及/或特定處理元件(晶圓基座、氣體流動系統等)。這些系統可以與電子裝置整合以在半導體晶圓處理前、中、後控制它們的操作。這些電子裝置可以稱之為控制器,其控制這系統或這些系統的各種元件或子零件。視製程處理需求以及/或系統種類,該控制器可經程式化而控制上述揭露的任一處理,包含輸送處理氣體、溫度設定(例如加溫以及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、定位及操作設定、自工具以及其他傳送工具及/或連接至特定系統或與特定系統接合之裝載鎖的晶圓移入移出。
廣泛來說,該控制器可定義為具有各種不同積體電路、邏輯、記憶體以及/或軟體(接收指令、發出指令、控制操作、使清潔操作、使端點量測等類似者)的電子裝置。該等積體電路可包含以韌體形式呈現的晶片而儲存了程式指令、數位信號處理器(DSPs)、定義為特定應用積體電路(ASICs)的晶片以及/或一或多個微處理器或是執行程式指令的微控制器(例如軟體)。程式指令可以是以各種獨立設定(或程式檔案)的形式而與該控制器溝通的指令,界定操作參數以在一半導體晶圓上實現特定處理、或用於半導體晶圓、或提供至一系統。該等操作參數在某些實施例中可以是製程工程師所定義的配方的一部份,以在製造下列者的期間完成一或多個處理步驟:一或多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路以及/或晶圓的晶粒。
在某些實施中,控制器可以是電腦的一部份或是耦合至一電腦,該電腦與該系統整合在一起、耦合至該系統或者是網路連接至該系統、或前述之各種組合。例如,該控制器可以位於「雲端」或者可以是工廠主電腦系統的整體或是一部份,而可讓晶圓處理遠端存取。該電腦可遠端存取至該系統,以監控製造操作的目前進度、檢視過去製造操作的歷史、檢視來自複數製造操作的趨勢或效能指標,進而變更目前製程處理的參數、設定處理步驟以接續目前處理、或開始一新的處理。在某些例子中,一遠端電腦(例如一伺服器)可以在網路上提供處理配方給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可輸入參數以及/或設定、或編寫參數以及/或設定的程式的使用者介面,之後再透過遠端電腦而與該系統溝通。在某些例子中,控制器接收資料形式的指令,其將一或多個操作期間欲執行的每一處理步驟之參數都界定出來。吾人應瞭解該等參數係特定用於欲執行的處理類型以及該控制器用以與其接合或控制的工具類型。因此如上所述,該控制器可以分散,例如藉由包含以網路連接在一起的一或多個分開的控制器而針對一共同目的工作,例如此處描述之處理及控制。用於此等目的之分散控制器的一例是在處理室上的一或多個積體電路與位於遠端(例如在平台階層或是遠端電腦的一部份)的一或多個積體電路相連通,而合併控制處理室上的處理。
非限制性的,系統的範例可以包含電漿蝕刻室或模組、沉積處理室或模組、旋轉沖洗室或模組、金屬電鍍室或模組、清洗室或模組、斜角邊緣蝕刻室或模組、物理氣相沉積(PVD)室或模組、化學氣相沉積(CVD)室或模組、原子層沉積(ALD)室或模組、原子層蝕刻(ALE)室或模組、離子植入室或模組、徑跡室或模組、以及其他半導體處理系統而與半導體晶圓相關連或用於製造以及/或大量生產半導體晶圓。
如上所述,依照工具欲執行的單一處理步驟或複數處理步驟,該控制器可以與下列一或多個相連通:其他工具電路或模組、其他工具元件、群組工具、其他工具介面、相鄰工具、附近工具、位於工廠各處的工具、主要電腦、另一控制器、或用於運送材料的工具而將晶圓容器運送來去半導體生產工廠內之工具所在地以及/或裝載埠。
g‧‧‧距離
50‧‧‧基板電荷降低系統
60‧‧‧基板
64‧‧‧基板支架
66‧‧‧基板處理室
70‧‧‧真空紫外光(VUV)組件
72、72-1、72-V‧‧‧VUV燈
74‧‧‧VUV外殼
76、76-1、76-V‧‧‧UV光束
78‧‧‧移動裝置
80‧‧‧旋轉裝置
84‧‧‧遠端真空源
86‧‧‧閥門
90‧‧‧沖洗氣體源
92‧‧‧閥門
94‧‧‧控制器
95‧‧‧氣體輸送系統
97‧‧‧閥門
99‧‧‧幫浦
101‧‧‧液體分配器
103‧‧‧液體源
110‧‧‧下外殼部
112‧‧‧環形凹槽
114‧‧‧洞
120‧‧‧中外殼部
122‧‧‧外壁
124‧‧‧內壁
126‧‧‧流體通道
127‧‧‧流體入口
130‧‧‧上外殼部
134‧‧‧凹槽
136‧‧‧出口
150‧‧‧入口
154‧‧‧流體通道
180‧‧‧環形狹縫
200‧‧‧方法
210、214、218、222、226、230、234、238、242、246‧‧‧步驟
280‧‧‧降低電荷系統
290‧‧‧VUV陣列
300‧‧‧集流器組件
302‧‧‧銷夾頭
304‧‧‧銷
306‧‧‧中空桿
310‧‧‧底部元件
320‧‧‧頂部元件
330‧‧‧第一中間元件
340‧‧‧第二中間元件
350‧‧‧上導流片
360‧‧‧中導流片
370‧‧‧下導流片
400‧‧‧方法
410、418、422、426、430、434、438、442、446‧‧‧步驟
本發明揭露內容在參照詳細發明內容及所附圖示之後變得更完整理解,其中:
圖1顯示一功能性方塊圖,說明根據本發明揭露內容中之基板電荷降低系統;
圖2顯示一部分透視圖,說明根據本發明揭露內容中之真空紫外光(VUV)組件之一例;
圖3顯示一旋轉部分透視圖,說明圖2之VUV組件;
圖4顯示一部分透視圖,說明根據本發明揭露內容中之另一VUV組件;
圖5顯示一流程圖,說明根據本發明揭露內容中之降低基板表面及嵌入式電荷的方法之一例;
圖6顯示一功能性方塊圖,說明根據本發明揭露內容中包含多個VUV燈之VUV陣列之一例;
圖7A~7C說明一集流器組件、一銷夾頭以及一VUV組件之一例; 以及
圖8顯示一流程圖,說明使用圖6之該系統降低基板表面及嵌入式電荷的方法;
在圖示中,參照號碼可以再度使用以指出相似以及/或相同的元件。

Claims (23)

  1. 一種降低基板表面及埋入式電荷的系統,包含: 一基板支架,用以支撐一基板; 一真空紫外光(VUV)組件,其配置係與該基板支架相鄰,且其包含一外殼以及一連接至該外殼的VUV燈,且該VUV燈產生紫外光(UV光)並將該UV光導向該基板; 以及 一移動裝置,用以在該基板暴露至該UV光以降低在該基板之表面及埋入式電荷的期間,移動該VUV組件及該基板支架至少其中之一。
  2. 如申請專利範圍第1項之降低基板表面及埋入式電荷的系統,其中該外殼係用以將一氧還原沖洗氣體引導至該VUV燈及該基板之間的一間隙。
  3. 如申請專利範圍第2項之降低基板表面及埋入式電荷的系統,其中更包含一氣體輸送系統,用以供應該氧還原沖洗氣體,其中該氧還原沖洗氣體具有之含氧量少於5%質量百分比。
  4. 如申請專利範圍第2項之降低基板表面及埋入式電荷的系統,其中該外殼包含: 一第一入口,以接收沖洗氣體; 一第一流體通道,其與該第一入口流體相通; 以及 一第一出口,其與該第一流體通道流體相通,其中該第一出口乃將該氧還原沖洗氣體引導至該VUV燈及該基板之間的該間隙。
  5. 如申請專利範圍第4項之降低基板表面及埋入式電荷的系統,其中該外殼包含: 一第二入口,以接收周圍氣體; 一第二流體通道,其與該第二入口流體相通; 以及 一第二出口,其與該第二流體通道以及一真空源流體相通。
  6. 如申請專利範圍第1項之降低基板表面及埋入式電荷的系統,其中該外殼係用以在真空下將周圍氣體通過該外殼抽出以冷卻該VUV組件,該真空係由遠離該VUV組件之一真空源供應。
  7. 如申請專利範圍第1項之降低基板表面及埋入式電荷的系統,其中該移動裝置包含一旋轉裝置,用以在該基板暴露於該VUV燈產出之該UV光期間相對於該VUV組件而旋轉該基板支架。
  8. 如申請專利範圍第1項之降低基板表面及埋入式電荷的系統,其中該移動裝置係用以在該VUV燈產出該UV光的同時,將該VUV組件相對於該基板支架旋轉。
  9. 如申請專利範圍第1項之降低基板表面及埋入式電荷的系統,其中該移動裝置包含: 一基板旋轉裝置,用以在該基板暴露於該VUV燈產出之該UV光期間旋轉該基板支架; 以及 一徑向移動裝置,用以在該基板支架旋轉及該VUV燈產出該UV光的同時,將該VUV組件相對於該基板支架而以徑向方式移動。
  10. 如申請專利範圍第1項之降低基板表面及埋入式電荷的系統,其中該VUV燈之暴露期間介於5秒至15秒之間。
  11. 如申請專利範圍第5項之降低基板表面及埋入式電荷的系統,其中該第一流體通道具有一環形,且其中該第一出口包含複數個相隔的噴嘴從該第一流體通道穿過該外殼之一面向基板的表面。
  12. 如申請專利範圍第5項之降低基板表面及埋入式電荷的系統,其中該第一流體通道具有一環形而外接該VUV燈,且其中該第一出口包含一環形狹縫從該第一流體通道通過該外殼之一面向基板的表面。
  13. 如申請專利範圍第1項之降低基板表面及埋入式電荷的系統,其中更包含: 一VUV陣列,其包含複數個該VUV組件,其中該移動裝置包含一掃瞄裝置,用以使該VUV陣列掃瞄該基板之一整個表面。
  14. 一種將基板暴露至液體的處理系統,包含: 如申請專利範圍第1項之用以降低表面及埋入式電荷的該系統; 以及 至少一液體分配器,用以將液體分配至該基板上。
  15. 如申請專利範圍第14項之將基板暴露至液體的處理系統,其中更包含一旋轉夾頭及包含該基板支架之一銷夾頭兩者其中之一。
  16. 如申請專利範圍第1項之降低基板表面及埋入式電荷的系統,其中該VUV燈及該基板支架乃位於一處理室中,其中該處理室為一封閉式處理室以及對潔淨室環境開放之一開放式處理室兩者其中之一,且其中該處理室中的周圍氣體具有大於或等於800 hPa之壓力。
  17. 一種降低基板表面及埋入式電荷的方法,其步驟包含: 將一基板配置於一基板支架上; 將包含一外殼以及一VUV燈之一真空紫外光(VUV)組件配置在與該基板相鄰的位置; 以及 在該基板暴露至紫外光(UV)以降低該基板表面及埋入式電荷期間,將該UV光導向該基板並同時移動該VUV組件及該基板支架兩者至少其中之一。
  18. 如申請專利範圍第17項之降低基板表面及埋入式電荷的方法,其步驟更包含將一氧還原沖洗氣體引導至該VUV燈及該基板之間的一間隙。
  19. 如申請專利範圍第17項之降低基板表面及埋入式電荷的方法,其步驟更包含在該VUV燈之5秒到15秒之間的暴露期間,將該VUV燈放電。
  20. 如申請專利範圍第17項之降低基板表面及埋入式電荷的方法,其步驟更包含: 將該基板支架及該VUV組件配置於一處理室中,其中該處理室為一封閉式處理室以及對潔淨室環境開放之一開放式處理室兩者其中之一; 供應一氣體至該處理室,該氣體具有之含氧量少於5%質量百分比。
  21. 如申請專利範圍第17項之降低基板表面及埋入式電荷的方法,其步驟更包含在真空下透過該外殼而抽取周圍氣體以冷卻該VUV組件,該真空乃由一遠離該VUV組件之真空源所供應。
  22. 如申請專利範圍第17項之降低基板表面及埋入式電荷的方法,其中該移動步驟包含在該基板暴露至該UV光期間旋轉該基板支架,並同時以相對於該基板支架而徑向移動該VUV組件,其中該UV光係由該VUV燈所產生。
  23. 如申請專利範圍第17項之降低基板表面及埋入式電荷的方法,其步驟更包含: 將該VUV組件配置於一VUV陣列中,該VUV陣列包含複數個該VUV組件; 以及 使該VUV陣列掃瞄配置在該基板支架上之該基板的一整個表面。
TW106130208A 2016-09-07 2017-09-05 藉由對於低氧環境中之真空紫外光的受控暴露而達成表面及埋入式基板電荷的降低 TWI753004B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/258,397 US10483010B2 (en) 2016-09-07 2016-09-07 Reduction of surface and embedded substrate charge by controlled exposure to vacuum ultraviolet (VUV) light in low-oxygen environment
US15/258,397 2016-09-07

Publications (2)

Publication Number Publication Date
TW201822221A true TW201822221A (zh) 2018-06-16
TWI753004B TWI753004B (zh) 2022-01-21

Family

ID=61281436

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106130208A TWI753004B (zh) 2016-09-07 2017-09-05 藉由對於低氧環境中之真空紫外光的受控暴露而達成表面及埋入式基板電荷的降低

Country Status (4)

Country Link
US (1) US10483010B2 (zh)
KR (1) KR102454525B1 (zh)
CN (1) CN107833845B (zh)
TW (1) TWI753004B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6655418B2 (ja) * 2016-02-17 2020-02-26 株式会社Screenホールディングス 基板処理装置および基板処理方法
JP7058177B2 (ja) * 2018-05-22 2022-04-21 東京エレクトロン株式会社 基板処理装置
US20220221799A1 (en) * 2019-05-22 2022-07-14 The Board of Tristees of th University of Illinois Photoresist-free deposition and patterning with vacuum ultraviolet lamps
US11864299B2 (en) * 2022-05-10 2024-01-02 Applied Materials, Inc. System and method for dissipating workpiece charge build up

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4041313A (en) 1975-11-03 1977-08-09 The United States Of America As Represented By The Secretary Of The Navy Emittance calorimetric method
AT389959B (de) 1987-11-09 1990-02-26 Sez Semiconduct Equip Zubehoer Vorrichtung zum aetzen von scheibenfoermigen gegenstaenden, insbesondere von siliziumscheiben
ATE174155T1 (de) 1993-02-08 1998-12-15 Sez Semiconduct Equip Zubehoer Träger für scheibenförmige gegenstände
JP3872535B2 (ja) * 1996-03-14 2007-01-24 株式会社オーク製作所 ワークの電荷の消去中和装置
US6440756B2 (en) * 1999-12-13 2002-08-27 Wisconsin Alumni Research Foundation Reduction of plasma charge-induced damage in microfabricated devices
US6813026B2 (en) * 2001-04-11 2004-11-02 Therma-Wave, Inc. Purge system for optical metrology tool
US7179423B2 (en) * 2001-06-20 2007-02-20 Cytonome, Inc. Microfluidic system including a virtual wall fluid interface port for interfacing fluids with the microfluidic system
JP3893451B2 (ja) * 2001-11-30 2007-03-14 大学共同利用機関法人 高エネルギー加速器研究機構 荷電変換膜、荷電変換膜の製造方法、及び荷電変換膜の製造装置
US20040011464A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Promotion of independence between degree of dissociation of reactive gas and the amount of ionization of dilutant gas via diverse gas injection
US7198677B2 (en) * 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
US7777198B2 (en) * 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
JP4851132B2 (ja) * 2005-07-20 2012-01-11 株式会社ディスコ 加工装置及び加工方法
JP4361538B2 (ja) * 2006-02-16 2009-11-11 株式会社フューチャービジョン ガラス基板の除電方法
SG136078A1 (en) * 2006-03-17 2007-10-29 Applied Materials Inc Uv cure system
US20070298167A1 (en) 2006-06-26 2007-12-27 Applied Materials, Inc. Ozone abatement in a re-circulating cooling system
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry
US7892445B1 (en) * 2007-09-12 2011-02-22 Lam Research Corporation Wafer electrical discharge control using argon free dechucking gas
KR101023069B1 (ko) * 2008-11-18 2011-03-24 세메스 주식회사 기판 처리 장치 및 방법
JP2010199239A (ja) * 2009-02-24 2010-09-09 Tokyo Electron Ltd 被処理基板の除電方法及び基板処理装置
TWI538094B (zh) 2009-03-31 2016-06-11 蘭研究公司 用以處理盤狀物品的裝置
ITMI20100407A1 (it) * 2010-03-12 2011-09-13 Rise Technology S R L Cella foto-voltaica con regioni di semiconduttore poroso per ancorare terminali di contatto
US9190310B2 (en) 2010-04-16 2015-11-17 Lam Research Ag Grounded chuck
US8679987B2 (en) * 2012-05-10 2014-03-25 Applied Materials, Inc. Deposition of an amorphous carbon layer with high film density and high etch selectivity
JP5865806B2 (ja) * 2012-09-05 2016-02-17 株式会社東芝 半導体装置の製造方法及び半導体製造装置
JP2014083470A (ja) * 2012-10-19 2014-05-12 Nikkiso Co Ltd 紫外線照射装置及び紫外線照射方法
US10134611B2 (en) 2013-03-22 2018-11-20 Lam Research Ag Collector for use with an apparatus for treating wafer-shaped articles

Also Published As

Publication number Publication date
KR20180028015A (ko) 2018-03-15
US20180068754A1 (en) 2018-03-08
TWI753004B (zh) 2022-01-21
CN107833845A (zh) 2018-03-23
KR102454525B1 (ko) 2022-10-13
CN107833845B (zh) 2023-06-30
US10483010B2 (en) 2019-11-19

Similar Documents

Publication Publication Date Title
US9704723B2 (en) Processing systems and methods for halide scavenging
TW201822221A (zh) 藉由對於低氧環境中之真空紫外光的受控暴露而達成表面及埋入式基板電荷的降低
JP6048043B2 (ja) 基板洗浄方法、基板洗浄装置及び真空処理システム
JP2004128495A (ja) ウェーハ乾燥装置
WO2021262371A1 (en) Surface modification for metal-containing photoresist deposition
CN114930519A (zh) 用于预清洁和加工晶片表面的方法和装置
JP2009117597A (ja) 基板処理装置および基板処理方法
JP6817821B2 (ja) 基板処理装置および基板処理方法
JP6521815B2 (ja) 被加工物の加工方法
US20210175098A1 (en) Substrate processing apparatus and substrate processing method
TWI816223B (zh) 電漿產生裝置、使用其之基板處理裝置及電漿產生方法
JP2021068718A (ja) 基板処理システム、基板処理装置及び基板処理方法
TW202316916A (zh) 用於電漿處理的電極-介電質噴嘴
JP2003001178A (ja) 基板処理装置および基板処理方法
JP2011151243A (ja) 基板処理装置のクリーニング方法