TW201803084A - A cell circuit of a semiconductor device - Google Patents

A cell circuit of a semiconductor device

Info

Publication number
TW201803084A
TW201803084A TW106134477A TW106134477A TW201803084A TW 201803084 A TW201803084 A TW 201803084A TW 106134477 A TW106134477 A TW 106134477A TW 106134477 A TW106134477 A TW 106134477A TW 201803084 A TW201803084 A TW 201803084A
Authority
TW
Taiwan
Prior art keywords
diffusion
gate electrode
fin
layout
pitch
Prior art date
Application number
TW106134477A
Other languages
Chinese (zh)
Inventor
法克斯達利爾
Original Assignee
泰拉創新股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 泰拉創新股份有限公司 filed Critical 泰拉創新股份有限公司
Publication of TW201803084A publication Critical patent/TW201803084A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7809Vertical DMOS transistors, i.e. VDMOS transistors having both source and drain contacts on the same surface, i.e. Up-Drain VDMOS transistors

Abstract

A first transistor has source and drain regions within a first diffusion fin. The first diffusion fin projects from a surface of a substrate. The first diffusion fin extends lengthwise in a first direction from a first end to a second end of the first diffusion fin. A second transistor has source and drain regions within a second diffusion fin. The second diffusion fin projects from the surface of the substrate. The second diffusion fin extends lengthwise in the first direction from a first end to a second end of the second diffusion fin. The second diffusion fin is positioned next to and spaced apart from the first diffusion fin. Either the first end or the second end of the second diffusion fin is positioned in the first direction between the first end and the second end of the first diffusion fin.

Description

半導體裝置的元件電路Element circuit of semiconductor device

本發明係關於一種半導體裝置。The present invention relates to a semiconductor device.

吾人已知光學微影在193 nm光波長和1.35數值孔徑(NA, numerical aperture)浸沒系統已達到其能力的終點。這個設備的最小直線解析能力係大約40 nm以及大約80 nm的特徵部間節距。低於約80 nm的特徵部間節距需求,則需要一給定晶片階層內一給定結構型態的多重圖案化步驟。此外,隨著將微影推向其解析度的極限,線端解析度變得更具挑戰性。在半導體裝置佈局中,於32 nm關鍵尺寸之典型金屬線節距係大約100 nm。為了達到特徵部縮放(feature scaling)的成本效益,期望有0.7至0.75的縮放因數(scaling factor)。為達到22 nm關鍵尺寸之約0.75的縮放因數,將需要約75 nm的金屬線節距,這節距低於目前單一曝光微影系統和技術的能力。在此背景下產生本發明。I know that the optical lithography immersion system has reached the end of its capability at a light wavelength of 193 nm and a numerical aperture (NA) of 1.35. The minimum linear resolution capability of this device is about 40 nm and about 80 nm pitch between features. The requirement for pitch between features below about 80 nm requires multiple patterning steps for a given structure type within a given wafer level. In addition, as lithography is pushed to the limit of its resolution, line-end resolution becomes more challenging. In the layout of semiconductor devices, the typical metal line pitch at a critical size of 32 nm is about 100 nm. To achieve cost-effective feature scaling, a scaling factor of 0.7 to 0.75 is expected. To achieve a zoom factor of approximately 0.75 at a critical size of 22 nm, a metal wire pitch of approximately 75 nm will be required, which is lower than the capabilities of current single exposure lithography systems and technologies. The present invention was made against this background.

在一實施例中,一半導體裝置包含一基板、一第一電晶體、及一第二電晶體。該第一電晶體具有在一第一擴散鰭部之內的一源極區域及一汲極區域。該第一擴散鰭部建構成自該基板的一表面突出。該第一擴散鰭部建構成自該第一擴散鰭部的一第一端部至該第一擴散鰭部的一第二端部在一第一方向上縱向延伸。該第二電晶體具有在一第二擴散鰭部之內的一源極區域及一汲極區域。該第二擴散鰭部建構成自該基板的該表面突出。該第二擴散鰭部建構成自該第二擴散鰭部的一第一端部至該第二擴散鰭部的一第二端部在該第一方向上縱向延伸。該第二擴散鰭部配置成緊鄰該第一擴散鰭部且與該第一擴散鰭部分隔開。此外,該第二擴散鰭部的該第一端部或該第二端部至少其中一者係配置於該第一方向上介於該第一擴散鰭部的該第一端部和該第二端部之間。In one embodiment, a semiconductor device includes a substrate, a first transistor, and a second transistor. The first transistor has a source region and a drain region within a first diffusion fin. The first diffusion fin is configured to protrude from a surface of the substrate. The first diffusion fin is constructed to extend longitudinally in a first direction from a first end of the first diffusion fin to a second end of the first diffusion fin. The second transistor has a source region and a drain region within a second diffusion fin. The second diffusion fin is configured to protrude from the surface of the substrate. The second diffusion fin is constructed to extend longitudinally in the first direction from a first end of the second diffusion fin to a second end of the second diffusion fin. The second diffusion fin is configured to be adjacent to the first diffusion fin and to be spaced apart from the first diffusion fin. In addition, at least one of the first end or the second end of the second diffusion fin is disposed in the first direction between the first end of the first diffusion fin and the second Between the ends.

在一個實施例中,揭露一種製造半導體裝置的方法。該方法包含提供一基板。該方法亦包含在該基板上形成一第一電晶體,使得該第一電晶體具有在一第一擴散鰭部之內的一源極區域及一汲極區域,且使得該第一擴散鰭部建構成自該基板的一表面突出,且使得該第一擴散鰭部建構成自該第一擴散鰭部的一第一端部至該第一擴散鰭部的一第二端部在一第一方向上縱向延伸。該方法亦包含在該基板上形成一第二電晶體,使得該第二電晶體具有在一第二擴散鰭部之內的一源極區域及一汲極區域,且使得該第二擴散鰭部建構成自該基板的該表面突出,且使得該第二擴散鰭部建構成自該第二擴散鰭部的一第一端部至該第二擴散鰭部的一第二端部在該第一方向上縱向延伸,且使得該第二擴散鰭部係在緊鄰該第一擴散鰭部且與該第一擴散鰭部分隔開的位置處形成。此外,該第一和第二電晶體係形成為,該第二擴散鰭部的該第一端部或該第二端部至少其中一者係在該第一方向上介於該第一擴散鰭部的該第一端部和該第二端部之間的位置處形成。In one embodiment, a method of manufacturing a semiconductor device is disclosed. The method includes providing a substrate. The method also includes forming a first transistor on the substrate such that the first transistor has a source region and a drain region within a first diffusion fin, and the first diffusion fin The structure is configured to protrude from a surface of the substrate, and the first diffusion fin is configured to form a first end from a first end of the first diffusion fin to a second end of the first diffusion fin Extend longitudinally in the direction. The method also includes forming a second transistor on the substrate, such that the second transistor has a source region and a drain region within a second diffusion fin, and the second diffusion fin It is constructed to protrude from the surface of the substrate, and the second diffusion fin is constructed from a first end of the second diffusion fin to a second end of the second diffusion fin at the first It extends longitudinally in the direction such that the second diffusion fin is formed immediately adjacent to the first diffusion fin and spaced apart from the first diffusion fin. In addition, the first and second transistor systems are formed such that at least one of the first end or the second end of the second diffusion fin is interposed between the first diffusion fin in the first direction The portion is formed at a position between the first end and the second end.

在一個實施例中,一種資料儲存裝置具有用於提供半導體裝置佈局之電腦可執行程式指令儲存於其上。該資料儲存裝置包含定義在一基板上形成的一第一晶體的電腦程式指令,使得該第一電晶體定義成具有在一第一擴散鰭部之內的一源極區域及一汲極區域,且使得該第一擴散鰭部定義成自該基板的一表面突出,且使得該第一擴散鰭部定義成自該第一擴散鰭部的一第一端部至該第一擴散鰭部的一第二端部在一第一方向上縱向延伸。該資料儲存裝置亦包含定義在該基板上形成的一第二晶體的電腦程式指令,使得該第二電晶體定義成具有在一第二擴散鰭部之內的一源極區域及一汲極區域,且使得該第二擴散鰭部定義成自該基板的該表面突出,且使得該第二擴散鰭部定義成自該第二擴散鰭部的一第一端部至該第二擴散鰭部的一第二端部在該第一方向上縱向延伸,且使得該第二擴散鰭部定義成與該第一擴散鰭部緊鄰且分隔開而加以配置,且使得該第二擴散鰭部定義成其第一端部或其第二端部至少其中一者係配置在該第一方向上介於該第一擴散鰭部的該第一端部和該第二端部之間。In one embodiment, a data storage device has computer executable program instructions for providing a layout of a semiconductor device stored thereon. The data storage device includes computer program instructions that define a first crystal formed on a substrate so that the first transistor is defined as having a source region and a drain region within a first diffusion fin, And the first diffusion fin is defined as protruding from a surface of the substrate, and the first diffusion fin is defined as a first end of the first diffusion fin to the first diffusion fin The second end extends longitudinally in a first direction. The data storage device also includes computer program instructions that define a second crystal formed on the substrate so that the second transistor is defined as having a source region and a drain region within a second diffusion fin And the second diffusion fin is defined to protrude from the surface of the substrate, and the second diffusion fin is defined to extend from a first end of the second diffusion fin to the second diffusion fin A second end portion extends longitudinally in the first direction, and the second diffusion fin is defined to be disposed adjacent to and spaced apart from the first diffusion fin, and the second diffusion fin is defined as At least one of the first end or the second end is disposed between the first end and the second end of the first diffusion fin in the first direction.

在以下說明中,描述多個特定細節以提供對本發明的完整理解。然而,對熟習此技藝者顯而易見的是,本發明可在不具備若干或全部這些特定細節下加以實施。在其他方面,眾所周知的製程操作不再詳細描述,以免不必要混淆本發明。此外,應理解的是,在此處展示之一特定圖示中所描繪的各種電路及/或佈局特徵部,可與在此處展示之其他圖示中所描繪的其他電路及/或佈局特徵部組合而運用。In the following description, a number of specific details are described to provide a complete understanding of the present invention. However, it is obvious to those skilled in the art that the present invention can be implemented without some or all of these specific details. In other respects, well-known process operations are not described in detail so as not to unnecessarily obscure the present invention. In addition, it should be understood that the various circuit and / or layout features depicted in a particular illustration shown here may be different from other circuit and / or layout features depicted in other drawings shown here Department combination.

「鰭式場效電晶體」係由垂直的矽島(即鰭部)所建構的電晶體。鰭式場效電晶體亦可稱作三閘極電晶體。此處所使用之術語「鰭式場效電晶體」係關於包含自下層基板向上突出之擴散結構的任何電晶體構造。圖1A及1B顯示根據本發明若干實施例之鰭式場效電晶體100的例示佈局視圖。鰭式場效電晶體100係由擴散鰭部102和閘極電極層104所構成。擴散鰭部102由基板105垂直向上突出,如圖1B所顯示。閘極氧化物層106係配置於擴散鰭部102和閘極電極層104之間。擴散鰭部102可加以摻雜,以形成p型電晶體或n型電晶體。覆蓋擴散鰭部102之閘極電極層104的部分,形成鰭式場效電晶體100的閘極電極。因此,相對於在非鰭式場效電晶體中由一側提供通道的控制,鰭式場效電晶體100的閘極電極可存在於擴散鰭部102的三個以上側邊,藉此提供由三個以上側邊之鰭式場效電晶體通道的控制。此外,在若干實施例中,鰭式場效電晶體形成為「環繞式」電晶體,其中閘極氧化物層106和閘極電極層104亦在擴散鰭部102下方延伸。"Fin field effect transistors" are transistors constructed from vertical silicon islands (ie fins). Fin-type field effect transistors can also be called three-gate transistors. The term "fin field effect transistor" as used herein refers to any transistor structure including a diffusion structure protruding upward from the underlying substrate. 1A and 1B show an exemplary layout view of a fin field effect transistor 100 according to several embodiments of the present invention. The fin field effect transistor 100 is composed of a diffused fin portion 102 and a gate electrode layer 104. The diffusion fin 102 protrudes vertically upward from the substrate 105, as shown in FIG. 1B. The gate oxide layer 106 is disposed between the diffusion fin 102 and the gate electrode layer 104. The diffusion fin 102 may be doped to form a p-type transistor or an n-type transistor. The portion of the gate electrode layer 104 covering the diffusion fin 102 forms the gate electrode of the fin field effect transistor 100. Therefore, relative to the control provided by one side in a non-fin field effect transistor, the gate electrode of the fin field effect transistor 100 may exist on more than three sides of the diffusion fin 102, thereby providing three The control of the fin field effect transistor channel on the above side. In addition, in some embodiments, the fin-type field effect transistor is formed as a “wrap-around” transistor, in which the gate oxide layer 106 and the gate electrode layer 104 also extend under the diffusion fin 102.

應理解的是,在圖1A及1B中所描繪的例示鰭式場效電晶體100,係以例示為目的而提供,不代表對此處所參照之鰭式場效電晶體的設計及/或製造方式的任何限制。具體而言,在若干實施例中,擴散鰭部(如102)可形成為不同材料的成層,其包含但不限定於Si(矽)、SiGe(矽鍺)、Ge(鍺)、InP(磷化銦)、CNT(奈米碳管)、SiNT(矽奈米管)、或其任何組合。閘極氧化物層106可由許多不同類型的介電材料加以形成。舉例來說,在若干實施例中,閘極氧化物層106可形成為在一二氧化矽層上的一鉿氧化物層。在其他實施例中,閘極氧化物層106可由一種以上其他介電材料加以形成。在若干實施例中,閘極電極層104可由任何數量之導電材料加以形成。舉例來說,在若干實施例中,閘極電極層104可形成為由多晶矽所覆蓋之一TiN(鈦氮化物)膜或一TaN(鉭氮化物)膜。然而,應理解的是,在其他實施例中,閘極電極層104可由其他材料所形成。It should be understood that the exemplary fin field effect transistor 100 depicted in FIGS. 1A and 1B is provided for the purpose of illustration, and does not represent the design and / or manufacturing method of the fin field effect transistor referred to herein. Any restrictions. Specifically, in several embodiments, the diffusion fins (such as 102) may be formed as a layer of different materials, including but not limited to Si (silicon), SiGe (silicon germanium), Ge (germanium), InP (phosphorus Indium), CNT (carbon nanotube), SiNT (silicon nanotube), or any combination thereof. The gate oxide layer 106 can be formed from many different types of dielectric materials. For example, in some embodiments, the gate oxide layer 106 may be formed as a hafnium oxide layer on a silicon dioxide layer. In other embodiments, the gate oxide layer 106 can be formed from more than one other dielectric material. In some embodiments, the gate electrode layer 104 may be formed of any number of conductive materials. For example, in some embodiments, the gate electrode layer 104 may be formed as a TiN (titanium nitride) film or a TaN (tantalum nitride) film covered with polysilicon. However, it should be understood that in other embodiments, the gate electrode layer 104 may be formed of other materials.

此外,雖然將圖1B的例示擴散鰭部102在該垂直剖面視圖A-A中顯示為具有相對於基板105的實質上垂直突出矩形結構,應理解的是,在半導體晶片上於「製造後(as-fabricated)」狀態的擴散鰭部102可能具有或可能不具有相對於基板105的實質上垂直突出矩形結構。舉例來說,在若干實施例中,於其「製造後(as-fabricated)」狀態的擴散鰭部102在垂直剖面視圖A-A中可能具有更為三角形或角錐形的形狀。圖1C顯示鰭式場效電晶體100的變化,其中在垂直剖面視圖A-A中擴散鰭部102係更為角錐形。如圖1C所描繪,在若干實施例中,自基板105向上延伸的擴散鰭部102的側邊,可能以相對於基板105的一個角度自基板向上延伸,以致不垂直於基板105。此外,應理解的是,基板105與自基板105向上延伸之擴散鰭部102側邊之間的此非垂直關係,可能係經設計或者可能係製造的結果。In addition, although the exemplary diffusion fin 102 of FIG. 1B is shown in this vertical cross-sectional view AA as having a substantially vertically projecting rectangular structure with respect to the substrate 105, it should be understood that on a semiconductor wafer The diffused fin 102 in the "fabricated" state may or may not have a rectangular structure that protrudes substantially vertically with respect to the substrate 105. For example, in some embodiments, the diffusion fin 102 in its "as-fabricated" state may have a more triangular or pyramidal shape in the vertical cross-sectional view A-A. FIG. 1C shows a variation of the fin-type field effect transistor 100 in which the diffusion fin 102 is more pyramidal in the vertical cross-sectional view A-A. As depicted in FIG. 1C, in some embodiments, the side of the diffusion fin 102 extending upward from the substrate 105 may extend upward from the substrate at an angle relative to the substrate 105 so as not to be perpendicular to the substrate 105. In addition, it should be understood that this non-vertical relationship between the substrate 105 and the side of the diffusion fin 102 extending upward from the substrate 105 may be the result of design or manufacturing.

此外,在若干實施例中,在基板105上方擴散鰭部102的垂直突出距離,在半導體晶片的一個區域範圍內係實質上相等。然而,在其他實施例中,可將若干擴散鰭部102設計成和製造成在半導體晶片的一或多個區域的範圍內於基板105上方具有多個不同的垂直突出距離。因為鰭式場效電晶體100的通道面積係基板105上方擴散鰭部102垂直突出距離的函數,在基板105上方擴散鰭部102垂直突出距離的此變化可用以調整選定鰭式場效電晶體100相對半導體晶片上其他者的驅動強度。在一個範例中,在擴散鰭部102高度的選擇性變化可藉由在製造期間選擇性蝕刻/過蝕刻擴散鰭部102結構而加以提供。In addition, in some embodiments, the vertical protrusion distance of the diffusion fin 102 above the substrate 105 is substantially equal within a region of the semiconductor wafer. However, in other embodiments, several diffusion fins 102 may be designed and manufactured to have a plurality of different vertical protrusion distances above the substrate 105 within one or more regions of the semiconductor wafer. Because the channel area of the fin field effect transistor 100 is a function of the vertical protrusion distance of the diffusion fin 102 above the substrate 105, this change in the vertical protrusion distance of the diffusion fin 102 above the substrate 105 can be used to adjust the selected fin field effect transistor 100 relative to the semiconductor The driving strength of others on the wafer. In one example, the selective change in the height of the diffusion fin 102 may be provided by selectively etching / over-etching the diffusion fin 102 structure during manufacturing.

圖1D顯示根據本發明若干實施例之具有數個鰭式場效電晶體100形成於其上的基板105的簡化垂直剖面圖。在鰭式場效電晶體100的製造期間,形成一系列核心部107,以促進該等核心部107每一者的側間隔部109的形成。側間隔部109係用以作為遮罩特徵以促進下方鰭式場效電晶體100的形成。應理解的是,核心部107、側間隔部109、及鰭式場效電晶體100係以平行方式縱向延伸,即如圖1D所示之進入頁面方向延伸。應理解的是,核心部107和側間隔部109最終被移除,而不存在於最終製造後(as-fabricated)半導體晶片/裝置之中。鰭式場效電晶體100彼此間相對間距係核心部107和側間隔部109之尺寸和間距的函數。FIG. 1D shows a simplified vertical cross-sectional view of a substrate 105 having a plurality of fin-type field effect transistors 100 formed thereon according to some embodiments of the present invention. During the manufacture of the fin field effect transistor 100, a series of cores 107 are formed to facilitate the formation of the side spacers 109 of each of the cores 107. The side spacer 109 is used as a mask feature to promote the formation of the lower fin field effect transistor 100. It should be understood that the core 107, the side spacer 109, and the fin field effect transistor 100 extend longitudinally in a parallel manner, that is, extend into the page direction as shown in FIG. 1D. It should be understood that the core 107 and the side spacer 109 are finally removed and do not exist in the as-fabricated semiconductor wafer / device. The relative spacing of the fin-type field effect transistor 100 to each other is a function of the size and spacing of the core 107 and the side spacer 109.

圖1D將核心部107顯示為具有寬度Wb和節距Pb。此外,圖1D將側間隔部109顯示為具有寬度Ws。如此鰭式場效電晶體100可被特徵化為具有一對交替的鰭部節距Ps1、Ps2,其中Ps1係一給定核心部107的側間隔部109之間的平均中心線至中心線節距(Ps1被稱作內鰭部節距),且其中Ps2係相鄰配置的核心部107的相鄰側間隔部109之間的平均中心線至中心線節距(Ps2被稱作外鰭部節距)。假設各個核心部107的寬度Wb、核心部107節距Pb、及側間隔部109寬度Ws係一致的,內鰭部節距Ps1係等於核心部107寬度Wb和側間隔部109寬度Ws的和。並且,外鰭部節距Ps2係等於核心部107節距Pb減去核心部107寬度Wb及側間隔部109寬度Ws的和。因此,內鰭部節距Ps1和外鰭部節距Ps2二者將隨核心部107節距Pb、核心部107寬度Wb、及/或側間隔部109寬度Ws每一者改變而變化。因此,應理解的是,提及一特定的「鰭部節距」,係指一特定鰭部節距的平均,亦即是鰭部節距Ps_ave係等於內鰭部節距Ps1和外鰭部節距Ps2的平均,其中內鰭部節距Ps1和外鰭部節距Ps2每一者本身亦為平均值。圖1D中寬度和節距關係如下: Ps1 = Wb + Ws Ps2 = Pb – Wb – Ws Pb = Ps1 + Ps2 若Ps1 = Ps2 (即一相等鰭部節距),則: Wb + Ws = Pb – Wb – Ws → Pb = 2 (Wb+Ws) Ps1和Ps2可隨Pb、Wb、及/或Ws變化而改變。 因此提及一特定的「鰭部節距」,係指一特定鰭部節距的平均,亦即是Ps_ave係等於平均Ps1、和平均Ps2。FIG. 1D shows the core 107 as having a width Wb and a pitch Pb. In addition, FIG. 1D shows the side spacer 109 as having a width Ws. In this way, the fin-type field effect transistor 100 can be characterized as having a pair of alternating fin pitches Ps1, Ps2, where Ps1 is the average centerline-to-centerline pitch between the side spacers 109 of a given core 107 (Ps1 is called the inner fin pitch), and Ps2 is the average centerline-to-centerline pitch between adjacent side spacers 109 of the adjacently arranged cores 107 (Ps2 is called the outer fin pitch distance). Assuming that the width Wb of each core 107, the pitch Pb of the core 107, and the width Ws of the side spacer 109 are the same, the inner fin pitch Ps1 is equal to the sum of the width Wb of the core 107 and the width Ws of the side spacer 109. In addition, the outer fin pitch Ps2 is equal to the sum of the pitch Pb of the core 107 minus the width Wb of the core 107 and the width Ws of the side spacer 109. Therefore, both the inner fin pitch Ps1 and the outer fin pitch Ps2 will change as each of the core 107 pitch Pb, the core 107 width Wb, and / or the side spacer 109 width Ws changes. Therefore, it should be understood that referring to a specific "fin pitch" refers to the average of a specific fin pitch, that is, the fin pitch Ps_ave is equal to the inner fin pitch Ps1 and the outer fin pitch The average of the pitch Ps2, wherein each of the inner fin pitch Ps1 and the outer fin pitch Ps2 is itself an average value. The relationship between width and pitch in Figure 1D is as follows: Ps1 = Wb + Ws Ps2 = Pb – Wb – Ws Pb = Ps1 + Ps2 If Ps1 = Ps2 (that is, an equal fin pitch), then: Wb + Ws = Pb – Wb – Ws → Pb = 2 (Wb + Ws) Ps1 and Ps2 can change as Pb, Wb, and / or Ws changes. Therefore, referring to a specific "fin pitch" refers to the average of a specific fin pitch, that is, Ps_ave is equal to the average Ps1 and the average Ps2.

圖1E顯示根據本發明若干實施例的鰭部節距關係的示圖,其中內鰭部節距Ps1係實質上等於外鰭部節距Ps2。元件高度Hc係等於平均鰭部節距乘上一有理數,即乘以整數x和y的比例,其中x係有理數的分子而y係有理數的分母。在圖1E的實例中,其中內鰭部節距Ps1和外鰭部節距Ps2係相等,平均鰭部節距係等於Ps1和Ps2每一者。因此,元件高度Hc係等於內鰭部節距Ps1或外鰭部節距Ps2任一者乘以該有理數。應理解的是,有理數的分母(y)表示一元件的數量,當該數量的元件以鄰接方式配置於元件高度Hc的方向(即垂直於鰭部縱向方向之方向)時需要該數量元件取得鰭部至元件邊界間距的重複。此外,當有理數的分子(x)可被有理數分母(y)整除時,頂部和底部元件邊界在內鰭部節距Ps1和/或外鰭部節距Ps2係對齊(指向至(indexed to))於元件邊界時可具有相同的鰭部至元件邊界間距。圖1E中高度和節距關係如下: Hc = (x/y)(Ps1) 或 Hc = (x/y)(Ps2),其中x和y係整數 在圖1E的範例中, Ps1 = Ps2 → Pb = 2(Wb+Ws) x=9, y=1 → Hc = (9/1)(Ps1) 或 Hc = (9/1)(Ps2) 因為y=1,鰭部至元件邊界間距係每一元件高度重複一次,並且由於x被y整除,當至少一個鰭部節距(Ps1或Ps2)係與一元件邊界對齊時,頂部和底部元件邊界可具有相同的鰭部至元件邊界間距。FIG. 1E shows a diagram of fin pitch relationships according to several embodiments of the present invention, where the inner fin pitch Ps1 is substantially equal to the outer fin pitch Ps2. The element height Hc is equal to the average fin pitch multiplied by a rational number, which is multiplied by the ratio of integers x and y, where x is the numerator of the rational number and y is the denominator of the rational number. In the example of FIG. 1E, where the inner fin pitch Ps1 and the outer fin pitch Ps2 are equal, the average fin pitch is equal to each of Ps1 and Ps2. Therefore, the element height Hc is equal to either the inner fin pitch Ps1 or the outer fin pitch Ps2 multiplied by the rational number. It should be understood that the denominator (y) of the rational number represents the number of an element. When the number of elements is arranged in the direction of the element height Hc (ie, the direction perpendicular to the longitudinal direction of the fin) in an abutting manner, the number of elements is required to obtain Repeat the distance from the part to the component boundary. In addition, when the numerator (x) of the rational number is divisible by the rational denominator (y), the top and bottom element boundaries are aligned at the inner fin pitch Ps1 and / or outer fin pitch Ps2 (indexed to) The device boundary may have the same fin-to-device boundary spacing. The relationship between height and pitch in Figure 1E is as follows: Hc = (x / y) (Ps1) or Hc = (x / y) (Ps2), where x and y are integers in the example of Figure 1E, Ps1 = Ps2 → Pb = 2 (Wb + Ws) x = 9, y = 1 → Hc = (9/1) (Ps1) or Hc = (9/1) (Ps2) Because y = 1, the distance from the fin to the device boundary is every The element height is repeated once, and since x is divided by y, when at least one fin pitch (Ps1 or Ps2) is aligned with an element boundary, the top and bottom element boundaries may have the same fin-to-element boundary spacing.

圖1F顯示根據本發明若干實施例之圖1E的鰭部節距關係示圖的變化,其中有理數的分母(y)係二。因此,在圖1F中,鰭部至元件邊界間距每二個元件高度Hc會重複。此外,在圖1F的範例中,有理數分子(x)不被有理數分母(y)所整除。因此,當內鰭部節距Ps1和/或外鰭部節距Ps2係對齊(指向(indexed to))於元件邊界時,頂部和底部鰭部至元件邊界間距將會不同。圖1F中高度和節距關係如下: Hc = (x/y)(Ps1) 或 Hc = (x/y)(Ps2),其中x和y係整數 在圖1F的範例中, Ps1 = Ps2 → Pb = 2(Wb+Ws) x=17, y=2 → Hc = (17/2)(Ps1) 或 Hc = (17/2)(Ps2) 因為y=2,鰭部至元件邊界間距係每二元件高度重複一次,並且由於x無法被y整除,當至少一個鰭部節距(Ps1或Ps2)係與一元件邊界對齊時,頂部和底部元件邊界將具有不同的鰭部至元件邊界間距。FIG. 1F shows a variation of the relationship diagram of the fin pitch of FIG. 1E according to several embodiments of the present invention, in which the denominator (y) of the rational number is two. Therefore, in FIG. 1F, the pitch from the fin to the element boundary repeats every two element heights Hc. In addition, in the example of FIG. 1F, the rational number numerator (x) is not divisible by the rational number denominator (y). Therefore, when the inner fin pitch Ps1 and / or the outer fin pitch Ps2 are aligned (indexed to) at the element boundary, the top and bottom fin to element boundary spacing will be different. The relationship between height and pitch in Figure 1F is as follows: Hc = (x / y) (Ps1) or Hc = (x / y) (Ps2), where x and y are integers in the example of Figure 1F, Ps1 = Ps2 → Pb = 2 (Wb + Ws) x = 17, y = 2 → Hc = (17/2) (Ps1) or Hc = (17/2) (Ps2) Because y = 2, the distance from the fin to the device boundary is every two The element height is repeated once, and because x is not divisible by y, when at least one fin pitch (Ps1 or Ps2) is aligned with an element boundary, the top and bottom element boundaries will have different fin-to-element boundary spacing.

圖1G顯示根據本發明若干實施例之圖1E的鰭部節距關係示圖的變化,其中有理數的分母(y)係三。因此,在圖1G中,鰭部至元件邊界間距每三個元件高度Hc會重複。此外,在圖1G的範例中,有理數分子(x)不被有理數分母(y)所整除。因此,當內鰭部節距Ps1和/或外鰭部節距Ps2係對齊(指向(indexed to))於元件邊界時,頂部和底部鰭部至元件邊界間距將會不同。應理解的是,有理數可由用以取得在元件高度Hc方向任何所欲鰭部至元件邊界間距重複頻率及/或任何所欲鰭部至元件邊界間距規格所需的任何方式加以定義。FIG. 1G shows a change in the diagram of the pitch relationship of the fin of FIG. 1E according to several embodiments of the present invention, in which the denominator (y) of the rational number is three. Therefore, in FIG. 1G, the pitch from the fin to the element boundary repeats every three element heights Hc. In addition, in the example of FIG. 1G, the rational number numerator (x) is not divisible by the rational number denominator (y). Therefore, when the inner fin pitch Ps1 and / or the outer fin pitch Ps2 are aligned (indexed to) at the element boundary, the top and bottom fin to element boundary spacing will be different. It should be understood that the rational number can be defined in any way necessary to obtain any desired fin-to-device boundary pitch repetition frequency and / or any desired fin-to-device boundary pitch specification in the device height Hc direction.

圖1H顯示根據本發明若干實施例之圖1E的鰭部節距關係示圖的更廣義版本,其中內鰭部節距Ps1和外鰭部節距Ps2係不同。在這個範例中,外鰭部節距Ps2係大於內鰭部節距Ps1。應理解的是,元件高度Hc係等於平均鰭部節距Ps_ave 乘以有理數(x/y),其中x和y係整數。此外,應理解的是,整數y表示在元件高度Hc方向上鰭部至元件邊界間距的重複頻率。此外,應理解的是,當有理數(x/y)化簡為一整數值(即當x被y整除)時,頂部和底部鰭部至元件邊界間距可彼此相等。如果有理數(x/y)不能化簡為一整數值,一特定元件的不同鰭部相位變化可定義於元件庫,其中各個鰭部相位變化對應該特定元件的不同可能的鰭部至元件邊界間距關係。此外,一特定元件的可能鰭部相位變化數量將等於在數學上最簡型式之有理數(x/y)的分母(y)。圖1G中高度和節距關係如下: Hc = (x/y)(Ps1) 或 Hc = (x/y)(Ps2),其中x和y係整數 在圖1G的範例中, Ps1 = Ps2 → Pb = 2(Wb+Ws) x=25, y=3 → Hc = (25/3)(Ps1) 或 Hc = (25/3)(Ps2) 因為y=3,鰭部至元件邊界間距係每三元件高度重複一次,並且由於x無法被y整除,當至少一個鰭部節距(Ps1或Ps2)係與一元件邊界對齊時,頂部和底部元件邊界將具有不同的鰭部至元件邊界間距。1H shows a more generalized version of the fin pitch relationship diagram of FIG. 1E according to several embodiments of the present invention, where the inner fin pitch Ps1 and the outer fin pitch Ps2 are different. In this example, the outer fin pitch Ps2 is greater than the inner fin pitch Ps1. It should be understood that the element height Hc is equal to the average fin pitch Ps_ave times the rational number (x / y), where x and y are integers. In addition, it should be understood that the integer y represents the repetition frequency of the pitch from the fin to the element boundary in the element height Hc direction. In addition, it should be understood that when the rational number (x / y) is reduced to an integer value (that is, when x is divided by y), the top and bottom fins to the element boundary spacing may be equal to each other. If the rational number (x / y) cannot be reduced to an integer value, the phase change of different fins of a specific device can be defined in the device library, where the phase change of each fin corresponds to the different possible fin-to-device boundary spacing of the specific device relationship. In addition, the number of possible fin phase changes for a particular element will be equal to the denominator (y) of the mathematically simplest rational number (x / y). The relationship between height and pitch in Figure 1G is as follows: Hc = (x / y) (Ps1) or Hc = (x / y) (Ps2), where x and y are integers. In the example of Figure 1G, Ps1 = Ps2 → Pb = 2 (Wb + Ws) x = 25, y = 3 → Hc = (25/3) (Ps1) or Hc = (25/3) (Ps2) Because y = 3, the fin-to-component boundary spacing is every three The element height is repeated once, and because x cannot be divided by y, when at least one fin pitch (Ps1 or Ps2) is aligned with a device boundary, the top and bottom device boundaries will have different fin-to-device boundary spacing.

如以上所探討的,圖1H顯示根據本發明若干實施例使用二個不同的擴散鰭部節距Ps1和Ps2。更具體而言,在圖1H中每隔一對相鄰配置的擴散鰭部結構依據較小的節距Ps1加以配置。在若干實施例中,較大的擴散鰭部節距Ps2係約80奈米(nm)且較小的擴散鰭部節距Ps1係約60 nm。然而,應理解的是,在其他實施例中,較小的擴散鰭部節距Ps1可為任何尺寸,且較大的擴散鰭部Ps2可為任何尺寸。應理解的是,若干實施例在一特定元件或區塊之內可利用超過二個擴散鰭部節距。並且,若干實施例在一特定元件或區塊之內可利用單一擴散鰭部節距。此外,應理解的是,半導體裝置的任何層或其部分,可以類似於此處所述關於擴散鰭部節距的方式加以形成。舉例來說,半導體裝置的一局部內連線層或一高階層內連線層或其部分,可包含以類似於此處所述關於擴散鰭部節距之方式依一個以上對應節距所形成的內連線傳導結構。圖1H中高度和節距關係如下: Hc = (x/y)(Ps_ave),其中x和y係整數,且  Ps_ave係平均鰭部節距,例如[(Ps1+Ps2)/2] 在圖1H的範例中, Ps2 > Ps1 x=10, y=1 → Hc = (10/1)(Ps_ave) 因為y=1,鰭部至元件邊界間距係每一元件高度重複一次,並且由於x被y整除,當至少一個鰭部節距(Ps1或Ps2)係與一元件邊界對齊時,頂部和底部元件邊界可具有相同的鰭部至元件邊界間距。As discussed above, FIG. 1H shows the use of two different diffusion fin pitches Ps1 and Ps2 according to several embodiments of the present invention. More specifically, in FIG. 1H, every other pair of adjacently arranged diffusion fin structures are arranged according to a smaller pitch Ps1. In several embodiments, the larger diffusion fin pitch Ps2 is about 80 nanometers (nm) and the smaller diffusion fin pitch Ps1 is about 60 nm. However, it should be understood that in other embodiments, the smaller diffusion fin pitch Ps1 may be any size, and the larger diffusion fin Ps2 may be any size. It should be understood that several embodiments may utilize more than two diffusion fin pitches within a particular element or block. Furthermore, several embodiments may utilize a single diffused fin pitch within a specific device or block. In addition, it should be understood that any layer or part of the semiconductor device may be formed in a manner similar to the diffusion fin pitch described herein. For example, a local interconnect layer or a high-level interconnect layer of a semiconductor device, or a portion thereof, may be formed by more than one corresponding pitch in a manner similar to that described herein regarding the pitch of the diffusion fins The internal conductive structure. The relationship between height and pitch in Figure 1H is as follows: Hc = (x / y) (Ps_ave), where x and y are integers, and Ps_ave is the average fin pitch, for example [(Ps1 + Ps2) / 2] in Figure 1H In the example, Ps2> Ps1 x = 10, y = 1 → Hc = (10/1) (Ps_ave) Because y = 1, the distance from the fin to the device boundary is repeated once for each device height, and because x is divided by y When at least one fin pitch (Ps1 or Ps2) is aligned with an element boundary, the top and bottom element boundaries may have the same fin-to-element boundary spacing.

由於閘極氧化物限制及/或源極/汲極漏流定比問題,電晶體縮放在45奈米(nm)關鍵尺寸以下已減緩。鰭式場效電晶體藉由從三側控制鰭式場效電晶體的通道減緩這些問題。在鰭式場效電晶體的通道中增加的電場增進I-on(開啟驅動電流)及I-off(次臨界漏電流)之間的關係。鰭式場效電晶體可應用於22 nm及以下之關鍵尺寸。然而,由於其垂直的突出,鰭式場效電晶體在各種電路佈局中可能有受限制的配置。舉例來說,可能有必要的鰭式場效電晶體之間最小間距、和/或必要的鰭式場效電晶體之間的最小節距等等。此處揭露的元件佈局的實施例,其以改進佈局縮放的方式運用鰭式場效電晶體。Due to gate oxide limitations and / or source / drain leakage current ratio issues, transistor scaling has slowed below the critical size of 45 nanometers (nm). Fin field effect transistors alleviate these problems by controlling the channels of the fin field effect transistors from three sides. The increased electric field in the channel of the fin field effect transistor improves the relationship between I-on (on drive current) and I-off (subcritical leakage current). Fin-type field effect transistors can be applied to critical dimensions of 22 nm and below. However, due to its vertical protrusion, the fin field effect transistor may have a limited configuration in various circuit layouts. For example, there may be a necessary minimum spacing between fin field effect transistors, and / or a necessary minimum pitch between fin field effect transistors, etc. The embodiment of the device layout disclosed herein uses fin field effect transistors in a manner that improves layout scaling.

此處提及之元件(cell),代表邏輯功能的抽象名稱(abstraction),且囊括用以實施此邏輯功能之較低階的積體電路佈局。應理解的是,一特定邏輯功能可以多個元件變型來代表,其中該多個元件變型可以特徵部尺寸、效能以及製程補償技術(PCT)(process compensation technique)處理加以區分。例如,特定邏輯功能用之多個元件變型,係可以藉由功率消耗、訊號時序、漏電流、晶片面積、OPC(光學鄰近修正)、RET(光罩增強技術)等等加以區分。此外,多重元件變化可藉由於此所描述之次佈局序列組合(sub-layout sequence combination)加以區分。也應明瞭的是,每個元件的描述係包括於晶片相關聯的垂直欄之內在晶片之每個階層(或層)中的元件用之佈局,此為執行元件之邏輯功能所需要的。更具體而言,元件之描述係包括,由基板層次延伸通過特定之內連線階層的每一晶片階層中的元件佈局。The cell mentioned here represents the abstract name of the logic function (abstraction) and includes the lower-order integrated circuit layout used to implement this logic function. It should be understood that a specific logic function can be represented by multiple device variants, where the multiple device variants can be distinguished by feature size, performance, and process compensation technique (PCT) processing. For example, multiple device variants for specific logic functions can be distinguished by power consumption, signal timing, leakage current, chip area, OPC (optical proximity correction), RET (reticle enhancement technology), etc. In addition, multiple component changes can be distinguished by the sub-layout sequence combination described herein. It should also be understood that the description of each element is included in the layout of the element in each level (or layer) of the chip within the associated vertical column of the chip, which is required to perform the logic function of the element. More specifically, the description of the device includes the layout of the device in each chip level that extends from the substrate level through a specific interconnect level.

圖2A顯示根據本發明若干實施例之包含鰭式場效電晶體的例示元件佈局。該元件佈局包含一擴散階層,在該階層內定義數個擴散鰭部201A/201B,其用於鰭式場效電晶體和相關聯接線的後續形成。在若干實施例中,在佈局圖(as-drawn layout)狀態中,擴散鰭部201A/201B係線形的。擴散鰭部201A/201B係定向成彼此平行,使得其長度在一第一方向(x)上延伸,且使得其寬度在垂直於該第一方向(x)的一第二方向(y)上延伸。2A shows an exemplary device layout including fin field effect transistors according to several embodiments of the invention. The device layout includes a diffusion hierarchy in which a plurality of diffusion fins 201A / 201B are defined, which are used for the subsequent formation of fin field effect transistors and related connecting lines. In several embodiments, in the as-drawn layout state, the diffusion fins 201A / 201B are linear. The diffusion fins 201A / 201B are oriented parallel to each other so that their length extends in a first direction (x) and their width extends in a second direction (y) perpendicular to the first direction (x) .

在若干實施例中,例如圖2A所顯示者,擴散鰭部201A/201B係根據一固定的縱向中心線至縱向中心線節距203而加以配置,節距203係在第二方向(y)上測得。在這個實施例中,擴散鰭部201A/201B的節距203可能相關於在第二方向(y)上測得的元件高度,使得擴散鰭部節距203可跨越元件邊界而持續。在圖2A中,元件毗鄰邊緣係代表平行於擴散鰭部201A/201B的元件邊界。在若干實施例中,多個相鄰的元件的擴散鰭部將根據共同的全域擴散鰭部節距而加以配置,藉此協助在多個元件中擴散鰭部的晶片階層製造。In some embodiments, such as shown in FIG. 2A, the diffuser fins 201A / 201B are configured according to a fixed longitudinal centerline to longitudinal centerline pitch 203, which is in the second direction (y) Measured. In this embodiment, the pitch 203 of the diffusion fins 201A / 201B may be related to the element height measured in the second direction (y) so that the diffusion fin pitch 203 can continue across the element boundary. In FIG. 2A, the element adjacent edge represents the element boundary parallel to the diffusion fins 201A / 201B. In several embodiments, the diffusion fins of multiple adjacent elements will be configured according to a common global diffusion fin pitch, thereby assisting wafer level fabrication of the diffusion fins in multiple elements.

應理解的是,其他實施例可能在一特定元件之內或一群元件之間使用多個擴散鰭部節距。舉例來說,圖2H顯示根據本發明若干實施例之圖2A的元件的變化,其中使用二個不同的擴散鰭部節距203和205。應理解的是,在若干實施例中,擴散鰭部201A/201B可根據一個以上縱向中心線至縱向中心線節距而配置,或者可以相對於縱向中心線至縱向中心線間距無限制的方式加以配置。此外,在若干實施例中,擴散鰭部201A/201B可根據一特定的節距加以配置,且若干節距位置可能關於擴散鰭部配置係未使用的。此外,在若干實施例中,可將擴散鰭部以分隔開、端到端的方式配置於一元件之內的特定擴散鰭部節距位置。It should be understood that other embodiments may use multiple diffusion fin pitches within a particular element or between a group of elements. For example, FIG. 2H shows a variation of the element of FIG. 2A according to several embodiments of the present invention, in which two different diffusion fin pitches 203 and 205 are used. It should be understood that in several embodiments, the diffusion fins 201A / 201B may be configured according to more than one longitudinal centerline to longitudinal centerline pitch, or may be configured in an unlimited manner with respect to the longitudinal centerline to longitudinal centerline spacing Configuration. In addition, in some embodiments, the diffusion fins 201A / 201B may be configured according to a specific pitch, and several pitch positions may be unused with respect to the diffusion fin configuration. In addition, in some embodiments, the diffuser fins may be separated and arranged end-to-end at specific diffuser fin pitch positions within an element.

在此處所示各圖形中,各擴散鰭部(例如圖2A中的擴散鰭部201A/201B)係為n型擴散材料或p型擴散材料。此外,取決於特定的元件實現方式,擴散鰭部的材料類型可加以交換,以取得不同的元件邏輯功能。因此,在圖示中使用類型1擴散鰭部和類型2擴散鰭部標示,以表示擴散鰭部的不同材料類型。舉例來說,若類型1擴散鰭部材料係n型材料,則類型2擴散鰭部材料係p型材料,反之亦然。In each pattern shown here, each diffusion fin (for example, diffusion fin 201A / 201B in FIG. 2A) is an n-type diffusion material or a p-type diffusion material. In addition, depending on the specific device implementation, the material types of the diffusion fins can be exchanged to achieve different device logic functions. Therefore, the type 1 diffusion fin and type 2 diffusion fin designations are used in the illustration to indicate the different material types of the diffusion fin. For example, if the type 1 diffusion fin material is an n-type material, the type 2 diffusion fin material is a p-type material, and vice versa.

元件佈局亦包含數個線形閘極電極結構207。線形閘極電極結構207在實質上垂直於擴散鰭部201A/201B的方向延伸,即在第二方向(y)延伸。當製造時,線形閘極電極結構207覆蓋於擴散鰭部201A/201B的上方,以形成鰭式場效電晶體的閘極電極。應理解的是,適當的閘極氧化物材料係佈置(即配置/沉積)於擴散鰭部201A/201B和形成於其上的閘極電極結構207之間。The device layout also includes several linear gate electrode structures 207. The linear gate electrode structure 207 extends in a direction substantially perpendicular to the diffusion fins 201A / 201B, that is, in the second direction (y). When manufacturing, the linear gate electrode structure 207 covers the diffusion fins 201A / 201B to form the gate electrode of the fin field effect transistor. It should be understood that a suitable gate oxide material is arranged (ie, configured / deposited) between the diffusion fins 201A / 201B and the gate electrode structure 207 formed thereon.

在若干實施例中,將線形閘極電極結構207根據在第一方向(x)上相鄰配置的閘極電極結構207之縱向中心線之間所測得的一固定的閘極節距209加以配置。在若干實施例中,閘極節距209係相關於在第一方向(x)上所測得的元件寬度,使得閘極節距可跨越元件邊界而持續。因此,在若干實施例中,多個相鄰元件的閘極電極結構207可根據一共同的全域閘極節距而加以配置,藉此協助在多個元件中的線形閘極電極結構207的晶片階層製造。In some embodiments, the linear gate electrode structure 207 is added according to a fixed gate pitch 209 measured between the longitudinal centerlines of the gate electrode structures 207 adjacently arranged in the first direction (x) Configuration. In several embodiments, the gate pitch 209 is related to the element width measured in the first direction (x), so that the gate pitch can continue across the element boundary. Therefore, in some embodiments, the gate electrode structures 207 of a plurality of adjacent elements can be configured according to a common global gate pitch, thereby assisting the chip of the linear gate electrode structure 207 among the multiple elements Class manufacturing.

應理解的是,在一特定元件中若干閘極節距位置可由閘極電極結構207所佔用,而在該特定元件中的其他閘極節距位置則維持未占用。因此,應理解的是,多個閘極電極結構207可以分隔開、端到端的方式在一特定元件之內沿著任何閘極電極節距位置加以配置。更應理解的是,在若干實施例中,可將閘極電極結構207根據一個以上閘極節距加以配置,或者可以相對於閘極節距無限制的方式加以配置。It should be understood that several gate pitch positions in a particular element can be occupied by the gate electrode structure 207, while other gate pitch positions in the particular element remain unoccupied. Therefore, it should be understood that the plurality of gate electrode structures 207 may be arranged along any gate electrode pitch position within a specific element in a separated, end-to-end manner. It should be further understood that in several embodiments, the gate electrode structure 207 may be configured according to more than one gate pitch, or may be configured in an unlimited manner with respect to the gate pitch.

元件佈局亦可包含數個線形水平局部內連線結構(lih)211、及/或數個線形垂直局部內連線結構(liv)213。垂直局部內連線結構213係定向成平行於閘極電極結構207。水平局部內連線結構211係定向成平行於擴散鰭部201A/201B。在若干實施例中,垂直局部內連線結構213的配置,係定義成以半個閘極節距而與閘極電極結構207的配置異相。因此,在這個實施例中,當各垂直局部內連線結構213的相鄰閘極電極結構207係配置於閘極節距上,該垂直局部內連線結構213係位於其相鄰閘極結構207之間的中心。因此,在這個實施例中,相鄰配置的垂直局部內連線結構213將具有與一局部閘極節距或一全域閘極節距相等的中心到中心間距,其中局部閘極節距係適用於一特定元件之內,而全域閘極節距係適用在遍及多個元件的範圍內。The device layout may also include several linear horizontal local interconnect structures (lih) 211, and / or several linear vertical local interconnect structures (liv) 213. The vertical partial interconnect structure 213 is oriented parallel to the gate electrode structure 207. The horizontal partial interconnect structure 211 is oriented parallel to the diffusion fins 201A / 201B. In some embodiments, the configuration of the vertical partial interconnect structure 213 is defined to be out of phase with the configuration of the gate electrode structure 207 at a half-gate pitch. Therefore, in this embodiment, when the adjacent gate electrode structures 207 of each vertical partial interconnection structure 213 are arranged on the gate pitch, the vertical partial interconnection structure 213 is located on its adjacent gate structure Center between 207. Therefore, in this embodiment, the adjacently arranged vertical local interconnect structures 213 will have a center-to-center spacing equal to a local gate pitch or a global gate pitch, where the local gate pitch is applicable Within a specific element, the global gate pitch is applicable over a range of elements.

在若干實施例中,水平局部內連線結構211的配置,係定義成以半個擴散鰭部節距而與擴散鰭部201A/201B的配置異相。因此,在這個實施例中,當水平局部內連線結構211的相鄰擴散鰭部201A/201B係配置於擴散鰭部節距上,該水平局部內連線結構211可位於其相鄰擴散鰭部201A/201B之間的中心。因此,在這個實施例中,相鄰配置的水平局部內連線結構211將具有與一局部擴散鰭部節距或一全域擴散鰭部節距相等的中心到中心間距,其中局部擴散鰭部節距係適用於一特定元件之內,而全域擴散鰭部節距係適用在遍及多個元件的範圍內。In some embodiments, the configuration of the horizontal local interconnect structure 211 is defined to be out of phase with the configuration of the diffusion fins 201A / 201B at half the pitch of the diffusion fins. Therefore, in this embodiment, when the adjacent diffusion fins 201A / 201B of the horizontal partial interconnection structure 211 are disposed on the pitch of the diffusion fins, the horizontal partial interconnection structure 211 may be located on the adjacent diffusion fins The center between 201A / 201B. Therefore, in this embodiment, adjacently arranged horizontal local interconnect structures 211 will have a center-to-center spacing equal to a local diffusion fin pitch or a global diffusion fin pitch, where the local diffusion fin sections The pitch is suitable for a specific element, and the global diffusion fin pitch is suitable for a range of multiple elements.

在若干實施例中,元件佈局亦包含數個線形金屬層1(met1)內連線結構215。此met1內連線結構215係定向成平行於擴散鰭部201A/201B且垂直於閘極電極結構207。在若干實施例中,met1內連線結構215的配置,係定義成以半個擴散鰭部節距而與擴散鰭部201A/201B的配置異相。因此,在這個實施例中,雖然在較高的晶片階層內,當各met1內連線結構215的相鄰擴散鰭部係配置於擴散鰭部節距上,該met1內連線結構215係位於其相鄰擴散鰭部之間的中心。因此,在這個實施例中,相鄰配置的met1內連線結構215將具有與一局部擴散鰭部節距或一全域擴散鰭部節距相等的中心到中心間距,其中局部擴散鰭部節距係適用於一特定元件之內,而全域擴散鰭部節距係適用在遍及多個元件的範圍內。在若干實施例中,met1內連線結構215節距,以及由此之擴散軌道節距,係設定於單一曝光微影限制,例如1.35 NA及193 nm波長光用之80 nm。在這個實施例中,不需要雙重曝光微影,即多重圖案化,來製造met1內連線結構215。應理解的是,其他實施例可使用定向成垂直於擴散鰭部201A/201B且平行於閘極電極結構207的met1內連線結構215。In some embodiments, the device layout also includes several linear metal layer 1 (met1) interconnect structures 215. The met1 interconnect structure 215 is oriented parallel to the diffusion fins 201A / 201B and perpendicular to the gate electrode structure 207. In some embodiments, the configuration of the met1 interconnect structure 215 is defined to be out of phase with the configuration of the diffusion fins 201A / 201B at half the pitch of the diffusion fins. Therefore, in this embodiment, although at a higher wafer level, when the adjacent diffusion fins of each met1 interconnect structure 215 are arranged on the diffusion fin pitch, the met1 interconnect structure 215 is located at The center between its adjacent diffusion fins. Therefore, in this embodiment, the adjacently configured met1 interconnect structure 215 will have a center-to-center spacing equal to a local diffusion fin pitch or a global diffusion fin pitch, where the local diffusion fin pitch It is suitable for a specific element, and the global diffusion fin pitch is suitable for a range of multiple elements. In some embodiments, the 215 pitch of the met1 interconnect structure, and thus the diffusion track pitch, is set at a single exposure lithography limit, such as 80 nm for 1.35 NA and 193 nm wavelength light. In this embodiment, double exposure lithography, that is, multiple patterning is not required to fabricate the met1 interconnect structure 215. It should be understood that other embodiments may use the met1 interconnect structure 215 oriented perpendicular to the diffusion fins 201A / 201B and parallel to the gate electrode structure 207.

元件佈局亦包含數個接觸窗217,其用以將各種met1內連線結構215連接至各種局部內連線結構211/213及閘極電極結構207,藉此提供實行元件邏輯功能所需的各種鰭式場效電晶體之間的電連接。在若干實施例中,接觸窗217係定義成滿足單一曝光微影限制。舉例來說,在若干實施例中,接觸窗217所需連接的佈局特徵部係分隔開到足以進行接觸窗217的單一曝光製造。舉例來說,met1內連線結構215係定義成其用以接受接觸窗217的線端,係與亦接受接觸窗217之相鄰met1內連線結構215的線端足夠分隔開,俾使接觸窗217之間的空間距離大到足以進行接觸窗217的單一曝光微影製程。在若干實施例中,相鄰接觸窗217係以至少1.5倍閘極節距彼此分隔。應理解的是,藉由將met1內連線結構215的對向的線端足夠地分離,可消除雙重曝光微影製程之線端切割(line end cutting)及相關聯的增加費用。應理解的是,取決於在製造過程中的選擇,金屬層上的接觸窗間隔和線端間隔在若干實施例中可相互獨立。The device layout also includes a number of contact windows 217, which are used to connect various met1 interconnect structures 215 to various local interconnect structures 211/213 and gate electrode structures 207, thereby providing various functions required to implement the logic function of the device The electrical connection between fin field effect transistors. In several embodiments, the contact window 217 is defined to satisfy the single exposure lithography limit. For example, in several embodiments, the layout features required for the contact window 217 to be connected are separated enough for single exposure manufacturing of the contact window 217. For example, the met1 interconnect structure 215 is defined as its line end for receiving the contact window 217, and is sufficiently separated from the line end of the adjacent met1 interconnect structure 215 which also accepts the contact window 217, so that The spatial distance between the contact windows 217 is large enough to perform the single exposure lithography process of the contact windows 217. In several embodiments, adjacent contact windows 217 are separated from each other by at least 1.5 times the gate pitch. It should be understood that by sufficiently separating the opposite line ends of the met1 interconnect structure 215, the line end cutting of the double exposure lithography process and the associated increased costs can be eliminated. It should be understood that, depending on the selection in the manufacturing process, the contact window spacing and the wire end spacing on the metal layer may be independent of each other in several embodiments.

在若干實施例中,元件佈局也包含數個線形金屬層2(met2)內連線結構219。該met2內連線結構219係定向成平行於閘極電極207且垂直於擴散鰭部201A/201B。met2內連線結構219依實行元件邏輯功能的需要可透過介層窗結構(v1)221與met1內連線結構215物理性連接。雖然圖2A的例示元件顯示以縱向方式延伸垂直於閘極電極結構207的met1內連線結構215以及以縱向方式延伸平行於閘極電極結構207的met2內連線結構219,應理解的是在其他實施例中met1內連線結構215和met2內連線結構219可定義成以任何相對於閘極電極結構207的方向延伸。應理解的是,其他實施例可使用定向成垂直於閘極電極207且平行於擴散鰭部201A/201B的met2內連線結構219。In some embodiments, the device layout also includes several linear metal layer 2 (met2) interconnect structures 219. The met2 interconnect structure 219 is oriented parallel to the gate electrode 207 and perpendicular to the diffusion fins 201A / 201B. The met2 interconnect structure 219 can be physically connected to the met1 interconnect structure 215 through the via structure (v1) 221 according to the needs of implementing the logic function of the device. Although the example device of FIG. 2A shows a met1 interconnect structure 215 extending vertically to the gate electrode structure 207 in a longitudinal manner and a met2 interconnect structure 219 extending parallel to the gate electrode structure 207 in a longitudinal manner, it should be understood that In other embodiments, the met1 interconnect structure 215 and the met2 interconnect structure 219 may be defined to extend in any direction relative to the gate electrode structure 207. It should be understood that other embodiments may use the met2 interconnect structure 219 oriented perpendicular to the gate electrode 207 and parallel to the diffusion fins 201A / 201B.

圖2A的元件代表一多輸入邏輯閘,該多輸入邏輯閘具有實質上對齊的輸入閘極電極,亦即是在方向(y)上共同對齊的中心的三個閘極電極結構207。取決於分派至類型1和類型2擴散鰭部的擴散材料類型,圖2A的元件可具有不同的邏輯功能。舉例來說,圖2D顯示圖2A的佈局,其中擴散鰭部201A係由n型擴散材料所形成,而擴散鰭部201B係由p型擴散材料所形成。圖2D的佈局係2輸入NAND閘的佈局。圖2B顯示對應圖2D的2輸入NAND構造的電路圖。圖2E顯示圖2A的佈局,其中擴散鰭部201A係由p型擴散材料所形成,而擴散鰭部201B係由n型擴散材料所形成。圖2E的佈局係2輸入NOR閘的佈局。圖2C顯示對應圖2E的2輸入NOR構造的電路圖。在圖2B-2E中,P1和P2每一者標示各自的p型電晶體(如PMOS電晶體),N1和N2每一者標示各自的n型電晶體(如NMOS電晶體),A和B每一者標示各自的輸入節點,且Q標示一輸出節點。應理解的是,在此處其他圖示中亦使用p型電晶體、n型電晶體、輸入節點、及輸出節點的類似符號。The element of FIG. 2A represents a multi-input logic gate having substantially aligned input gate electrodes, that is, three gate electrode structures 207 centered together in the direction (y). Depending on the type of diffusion material assigned to Type 1 and Type 2 diffusion fins, the elements of FIG. 2A may have different logic functions. For example, FIG. 2D shows the layout of FIG. 2A, where the diffusion fin 201A is formed of n-type diffusion material and the diffusion fin 201B is formed of p-type diffusion material. The layout of FIG. 2D is the layout of the 2-input NAND gate. FIG. 2B shows a circuit diagram corresponding to the 2-input NAND structure of FIG. 2D. 2E shows the layout of FIG. 2A, in which the diffusion fin 201A is formed of p-type diffusion material, and the diffusion fin 201B is formed of n-type diffusion material. The layout of FIG. 2E is the layout of the 2-input NOR gate. FIG. 2C shows a circuit diagram corresponding to the 2-input NOR configuration of FIG. 2E. In Figures 2B-2E, P1 and P2 each indicate their respective p-type transistors (such as PMOS transistors), N1 and N2 each indicate their respective n-type transistors (such as NMOS transistors), A and B Each is marked with its own input node, and Q is marked with an output node. It should be understood that similar symbols for p-type transistors, n-type transistors, input nodes, and output nodes are also used in other illustrations here.

基於前述,應瞭解的是,特定元件佈局的邏輯功能可藉由將擴散鰭部的材料類型互換而加以改變。因此,對於此處所述的各個元件佈局,應理解的是,可取決於分派至擴散鰭部的n型及p型材料代表多個邏輯功能。Based on the foregoing, it should be understood that the logic function of a specific device layout can be changed by swapping the material types of the diffusion fins. Therefore, for the various element layouts described herein, it should be understood that the n-type and p-type materials that may be assigned to the diffusion fins represent multiple logic functions.

圖3至7及11至29顯示根據本發明若干實施例之圖2A佈局的變形。因此,在圖3至7及11至29之中所描繪的元件每一者,係取決於分派至類型1擴散鰭部及類型2擴散鰭部擴散鰭部的n型及p型材料而代表2輸入NAND閘或2輸入NOR閘。在圖2A至7及11至19中所顯示的元件佈局每一者具有以下特徵: l 一多輸入邏輯閘,所有其輸入電極實質上對齊, l 一局部擴散鰭部層電源, l 一全域較高階層內連線電源, l 一水平內連線,用以將閘極電極連接至垂直局部內連線,且用以藉由提供接觸窗配置更大的彈性而促進改善接觸層的可製造性。Figures 3 to 7 and 11 to 29 show variations of the layout of Figure 2A according to several embodiments of the invention. Therefore, each of the elements depicted in FIGS. 3 to 7 and 11 to 29 depends on the n-type and p-type materials assigned to the type 1 diffusion fins and type 2 diffusion fins to represent 2 Input NAND gate or 2 input NOR gate. Each of the device layouts shown in FIGS. 2A to 7 and 11 to 19 has the following characteristics: l a multi-input logic gate, all of its input electrodes are substantially aligned, l a local diffusion fin layer power supply, l a global comparison High-level interconnect power, a horizontal interconnect for connecting the gate electrode to a vertical local interconnect, and for improving the manufacturability of the contact layer by providing greater flexibility in the configuration of the contact window .

應瞭解的是,在圖2A至7及11至29的佈局每一者顯示相同邏輯功能的不同實施方式。圖2A的佈局顯示以下特徵: l 二個以上輸入用之閘極電極,該等閘極電極係實質上對齊, l 位於相同擴散類型的擴散鰭部之間的閘極電極的端線間隔, l 相同擴散類型的擴散鰭部之間的閘極電極接觸窗, l 用於局部電源之類型1及類型2擴散鰭部(亦即是至元件的局部內連線),其中met1係用於較高階層內連線(全域)電源,局部和全域電源二者係為相鄰元件所共用, l 類型1和類型2的擴散鰭部供應電流至一局部階層上的元件,且可以規定的間隔連接至較高階層的內連線,例如met1,以支援多重晶片功率對策, l 使用水平局部內連線,以連接至閘極電極, l 將垂直局部內連線層連接至閘極電極層的一實質上水平局部內連線,可用以平移閘極電極接觸窗的位置,藉此能夠增加在接觸窗遮罩圖案上的彈性,這可減輕可能的微影問題。It should be understood that the layouts in FIGS. 2A to 7 and 11 to 29 each show different implementations of the same logic function. The layout of FIG. 2A shows the following features: l two or more gate electrodes for input, the gate electrodes are substantially aligned, l the end line spacing of the gate electrode between diffusion fins of the same diffusion type, l Gate electrode contact window between diffusion fins of the same diffusion type, l Type 1 and type 2 diffusion fins for local power supply (that is, local interconnects to components), of which met1 is used for higher Hierarchical interconnection (global) power supply, both local and global power supplies are shared by adjacent components. L Type 1 and type 2 diffusion fins supply current to components on a local hierarchy and can be connected to Higher-level interconnects, such as met1, to support multiple chip power countermeasures, l use horizontal local interconnects to connect to the gate electrode, l connect the vertical local interconnect layer to the gate electrode layer The upper horizontal local interconnect can be used to translate the position of the gate electrode contact window, thereby increasing the flexibility on the contact window mask pattern, which can alleviate possible lithography problems.

根據本發明若干實施例,圖2F顯示圖2A佈局的變形,其中閘極電極結構端部在元件的頂部(如橢圓250所指示)以及在元件的底部(如橢圓251所指示)實質上對齊。According to several embodiments of the present invention, FIG. 2F shows a variation of the layout of FIG. 2A in which the gate electrode structure ends are substantially aligned at the top of the element (as indicated by ellipse 250) and at the bottom of the element (as indicated by ellipse 251).

根據本發明若干實施例,圖2G顯示圖2A佈局的變形,其中形成接觸窗,以在元件的頂部處(如圓260所指示)以及在元件的底部處(如圓261所指示),於電源軌(power rail)下方自met1內連線結構延伸至水平局部內連線結構。According to several embodiments of the present invention, FIG. 2G shows a variation of the layout of FIG. 2A, in which a contact window is formed so that the power supply The lower part of the power rail extends from the met1 interconnection structure to the horizontal partial interconnection structure.

如先前所提及,根據本發明若干實施例,圖2H顯示圖2A之元件的變形,其中使用兩個不同的擴散鰭部節距203和205。As previously mentioned, according to several embodiments of the present invention, FIG. 2H shows a variation of the element of FIG. 2A, in which two different diffusion fin pitches 203 and 205 are used.

應理解的是,在此處所描繪的各種佈局中於元件頂部和底部處在電源軌下方的擴散鰭部和水平局部內連線結構,係在水平方向(x)上持續地延伸,以應用於多個元件,該等元件係配置在一列之中以及可能配置在相鄰的列之中。為了說明此點,根據本發明若干實施例,圖2I顯示圖2A佈局的變形,其中將在元件頂部和底部處電源軌下方的擴散鰭部和水平局部內連線結構,延伸met1內連線結構215A/215B的全寬度,met1內連線結構215A/215B係作為電源軌。應理解的是,在作為電源軌之215A/215B下方的擴散鰭部和水平局部內連線結構、以及作為電源軌之215A/215B本身,係在(x)方向持續延伸,如箭頭270所示。It should be understood that in the various layouts described here, the diffusion fins and the horizontal local interconnect structure at the top and bottom of the element under the power rails continuously extend in the horizontal direction (x) to apply Multiple elements, which are arranged in one row and possibly in adjacent rows. To illustrate this, according to several embodiments of the present invention, FIG. 2I shows a variation of the layout of FIG. 2A, in which the diffused fins and the horizontal local interconnect structure under the power rails at the top and bottom of the device are extended to extend the interconnect structure of met1 The full width of 215A / 215B, met1 interconnect structure 215A / 215B is used as a power rail. It should be understood that the diffusion fins and the horizontal local interconnect structure under the 215A / 215B as the power rail, and the 215A / 215B itself as the power rail continue to extend in the (x) direction, as shown by arrow 270 .

圖3顯示根據本發明若干實施例之圖2A的佈局之變形,其中將met1電源軌連接至垂直局部內連線,俾使該met1電源軌作為局部電源。應理解的是,met1電源軌可基於元件庫需要而具可變寬度。如同圖2A的佈局,圖3的佈局使用輸入電極實質上對齊之多輸入邏輯閘。3 shows a variation of the layout of FIG. 2A according to several embodiments of the present invention, in which the met1 power rail is connected to a vertical local interconnect so that the met1 power rail serves as a local power source. It should be understood that the met1 power rail can have a variable width based on the needs of the component library. Like the layout of FIG. 2A, the layout of FIG. 3 uses multiple input logic gates with input electrodes substantially aligned.

圖4顯示根據本發明若干實施例之圖2A的佈局之變形,其中將二維變化的met1內連線結構在元件之內用於元件內繞線。如圖2A的佈局,圖4的佈局使用多輸入邏輯閘,其輸入電極實質上對齊且共用局部和全域電源。在若干實施例中,在met1中的彎曲,即在met1方向上的二維變化,係發生於一固定的格子(grid)。在若干實施例中,這個met1固定的格子可包含水平格線,該等水平格線係配置於該等擴散鰭部之間且平行於擴散鰭部延伸,並且以與擴散鰭部相同的節距加以配置。此外,在若干實施例中,此met1固定格子可包含垂直格線,其垂直於該等擴散鰭部延伸且配置成位於垂直局部內連線的中央。FIG. 4 shows a variation of the layout of FIG. 2A according to several embodiments of the present invention, in which a two-dimensionally changed met1 interconnect structure is used inside the device for internal wire routing. As in the layout of FIG. 2A, the layout of FIG. 4 uses a multi-input logic gate whose input electrodes are substantially aligned and share local and global power supplies. In several embodiments, the bending in met1, that is, the two-dimensional change in the direction of met1, occurs in a fixed grid. In some embodiments, this fixed grid of met1 may include horizontal grid lines, which are arranged between the diffusion fins and extend parallel to the diffusion fins, and have the same pitch as the diffusion fins To be configured. In addition, in some embodiments, the met1 fixed grid may include vertical grid lines that extend perpendicular to the diffusion fins and are configured to be located in the center of the vertical local interconnect.

圖5顯示根據本發明若干實施例之圖2A的佈局之變形,其中將met1電源軌連接至垂直局部內連線,俾使該等met1電源軌作為局部電源,且其中將二維變化met1內連線結構於元件之內用於元件內繞線。如同圖2A的佈局,圖5的佈局使用輸入電極實質上對齊之多輸入邏輯閘。FIG. 5 shows a variation of the layout of FIG. 2A according to several embodiments of the present invention, in which the met1 power rails are connected to vertical local interconnects, so that the met1 power rails are used as local power supplies, and in which two-dimensional changes are met1 The wire structure is used for winding inside the element. Like the layout of FIG. 2A, the layout of FIG. 5 uses multiple input logic gates with input electrodes substantially aligned.

圖6顯示根據本發明若干實施例之圖2A的佈局之變形,其中使用固定、最小寬度、共用的局部met1電源,以及在元件內用於元件內繞線之二維變化met1內連線結構。如圖2A的佈局,圖6的佈局使用輸入電極實質上對齊之多輸入邏輯閘。FIG. 6 shows a variation of the layout of FIG. 2A according to several embodiments of the present invention, in which a fixed, minimum width, common local met1 power supply is used, and a two-dimensional variation met1 interconnect structure used for in-device winding within the device. As with the layout of FIG. 2A, the layout of FIG. 6 uses multiple input logic gates with input electrodes substantially aligned.

圖7顯示根據本發明若干實施例之圖2A的佈局之變形,其具有於元件中具硬接線的共用的局部和全域電源,以及在元件內用於元件內繞線之二維變化met1內連線結構。如圖2A的佈局,圖7的佈局使用輸入電極實質上對齊之多輸入邏輯閘。7 shows a variation of the layout of FIG. 2A according to several embodiments of the present invention, which has a shared local and global power supply with hard wiring in the device, and a two-dimensional variation met1 for intra-component winding within the device.线 结构。 Line structure. As with the layout of FIG. 2A, the layout of FIG. 7 uses multiple input logic gates with input electrodes substantially aligned.

根據本發明若干實施例,圖8A顯示例示標準元件的佈局,其中將輸入接腳配置於相同類型的擴散鰭部之間以減輕繞線擁塞,且其中使用若干擴散鰭部作為內連線導體。圖8C顯示圖8A佈局的電路圖,包含輸入接腳8a、8b、8c、及8d。平面標準元件,即非鰭式場效電晶體的元件,通常具有位於相反類型(即n型相對p型)的擴散特徵部之間、或位於擴散特徵部和相鄰電源軌之間的輸入接腳,藉此在平面元件的局部區域中達到輸入接腳較高的密集度。如圖8A所顯示,藉由利用擴散鰭部以及將若干輸入接腳配置於相同擴散類型的擴散鰭部之間,該等輸入接腳可在一較大區域之上以更均勻的方式分隔開,從而減輕元件的繞線擁塞。此外,如圖8A所顯示,藉由選擇性地移除若干閘極電極結構,如區域8001中所顯示,可將擴散鰭部層運用作為實質水平繞線層,以連接至非相鄰的電晶體或局部內連線。舉例來說,在區域8001中,擴散鰭部8003係用以作為水平繞線導體。According to several embodiments of the present invention, FIG. 8A shows a layout illustrating an exemplary standard device, in which input pins are arranged between diffusion fins of the same type to alleviate winding congestion, and several diffusion fins are used as interconnect conductors. 8C shows a circuit diagram of the layout of FIG. 8A, including input pins 8a, 8b, 8c, and 8d. Planar standard components, ie, non-fin field-effect transistor components, usually have input pins between the diffused features of the opposite type (ie, n-type versus p-type), or between the diffused features and adjacent power rails In this way, a higher density of input pins is achieved in the local area of the planar element. As shown in FIG. 8A, by using diffusion fins and arranging several input pins between diffusion fins of the same diffusion type, the input pins can be separated in a more uniform manner over a larger area To reduce the winding congestion of the component. In addition, as shown in FIG. 8A, by selectively removing several gate electrode structures, as shown in area 8001, the diffusion fin layer can be used as a substantially horizontal winding layer to connect to non-adjacent Crystal or local interconnect. For example, in the region 8001, the diffusion fin 8003 is used as a horizontal wire-wound conductor.

根據本發明若干實施例,圖8B顯示圖8A的變化,其中使用二個不同的閘極電極節距p1及p2。更具體而言,在圖8B中每隔一對相鄰配置閘極電極結構依據較小的節距p2加以配置。在若干實施例中,較大的閘極電極節距p1係約80奈米(nm)且較小的閘極電極節距p2係約60 nm。應理解的是,若干實施例可利用超過二個閘極電極結構節距於一特定元件或區塊之內。並且,若干實施例可利用單一閘極電極結構節距於特定元件或區塊之內。此外,應理解的是,任何半導體裝置的層或其部分,可以類似於此處所述關於閘極電極節距的方式加以形成。例如,半導體裝置的局部內連線層或更高階層的內連線層或其部分,可包含以類似於此處所述關於閘極電極節距的方式以一個以上對應節距形成的內連線傳導結構。According to several embodiments of the present invention, FIG. 8B shows a variation of FIG. 8A in which two different gate electrode pitches p1 and p2 are used. More specifically, in FIG. 8B, every other pair of adjacently arranged gate electrode structures are arranged according to a smaller pitch p2. In several embodiments, the larger gate electrode pitch p1 is about 80 nanometers (nm) and the smaller gate electrode pitch p2 is about 60 nm. It should be understood that several embodiments may utilize more than two gate electrode structure pitches within a specific element or block. Moreover, some embodiments may utilize a single gate electrode structure pitch within a specific element or block. In addition, it should be understood that any semiconductor device layer or part thereof may be formed in a manner similar to the gate electrode pitch described herein. For example, a local interconnect layer of a semiconductor device or a higher level interconnect layer or a portion thereof may include interconnects formed at more than one corresponding pitch in a manner similar to the gate electrode pitch described herein Line conduction structure.

此外,在半導體裝置的不同層(亦稱階層)中的傳導結構或其部分,可依各自的節距排列而加以配置,其中在不同層的傳導結構節距排列之間存在一確定的關係。舉例來說,在若干實施例中,在擴散鰭部層中的擴散鰭部,係根據可包含一個以上擴散鰭部節距的一擴散鰭部節距排列而加以配置,且在met1層的金屬層1(met1)內連線結構係根據可包含一個以上met1節距的一met1節距排列加以配置,其中一個以上擴散鰭部節距係以有理數(x/y)而關聯於一個以上met1節距,其中x和y係整數。在若干實施例中,擴散鰭部節距和met1節距之間的關係由在(1/4)至(4/1)範圍之內的有理數加以定義。In addition, the conductive structures or their parts in different layers (also called hierarchies) of the semiconductor device can be configured according to their respective pitch arrangements, where there is a certain relationship between the pitch arrangements of the conductive structures of different layers. For example, in some embodiments, the diffusion fins in the diffusion fin layer are configured according to a diffusion fin pitch arrangement that may include more than one diffusion fin pitch, and the metal in the met1 layer The layer 1 (met1) interconnect structure is configured according to a met1 pitch arrangement that can contain more than one met1 pitch, where more than one diffusion fin pitch is related to more than one met1 node with a rational number (x / y) Distance, where x and y are integers. In several embodiments, the relationship between the pitch of the diffusion fin and met1 pitch is defined by a rational number in the range of (1/4) to (4/1).

此外,在若干實施例中,垂直局部內連線結構(liv)可依據實質上與閘極電極節距相等之垂直局部內連線節距而加以配置。在若干實施例中,閘極電極節距係小於100奈米。此外,以類似於上述關於擴散鰭部節距對於met1節距的關係之方式,在若干實施例中擴散鰭部節距排列可藉由有理數(x/y)而關聯於水平局部內連線節距排列,其中x和y係整數。亦即是,一個以上擴散鰭部節距可藉由有理數(x/y)而關聯於一個以上水平局部內連線節距。In addition, in some embodiments, the vertical local interconnect structure (liv) may be configured according to the vertical local interconnect pitch substantially equal to the gate electrode pitch. In several embodiments, the gate electrode pitch is less than 100 nanometers. In addition, in a manner similar to that described above regarding the relationship of the diffusion fin pitch to the met1 pitch, in some embodiments, the diffusion fin pitch arrangement can be related to the horizontal local interconnecting nodes by rational numbers (x / y) Distance arrangement, where x and y are integers. That is, more than one diffusion fin pitch can be related to more than one horizontal local interconnect pitch by rational numbers (x / y).

根據本發明若干實施例,圖9A顯示例示標準元件的佈局,其中將擴散鰭部使用作為內連線導體。圖9C顯示圖9A佈局的電路圖。圖9A的例示標準元件佈局包含在一單一軌道(track)中,例如在閘極電極軌道9001之中,包含多個閘極電極線端。圖9B顯示圖9A的佈局,其中標示三組交叉連接的電晶體。第一組交叉連接的電晶體係由對線cc1a及cc1b加以標示。第二組交叉連接電晶體係由對線cc2a及cc2b加以標示。第三組交叉連接電晶體係由對線cc3a及cc3b加以標示。According to several embodiments of the present invention, FIG. 9A shows a layout exemplifying a standard element, in which a diffusion fin is used as an interconnect conductor. 9C shows a circuit diagram of the layout of FIG. 9A. The exemplary standard device layout of FIG. 9A is included in a single track, for example, in the gate electrode track 9001, including multiple gate electrode terminals. 9B shows the layout of FIG. 9A, in which three sets of cross-connected transistors are marked. The first group of cross-connected crystal systems is marked by the lines cc1a and cc1b. The second group of cross-connected crystal systems is marked by the lines cc2a and cc2b. The third group of cross-connected crystal systems is marked by lines cc3a and cc3b.

根據本發明若干實施例,圖10顯示例示標準元件佈局,其中將閘極電極接觸窗實質上配置於擴散鰭部上方,而非擴散鰭部之間。圖10的例示標準元件佈局亦顯示可變寬度met1局部電源結構。在圖10的例示標準元件佈局中,接觸層係在擴散鰭部上方垂直對齊,而非於擴散鰭部之間。這個方法可在沒有虛設擴散鰭部(dummy diffusion fin)的狀況下能夠共用擴散鰭部結構之間的毗鄰邊緣,這提供更有效率的佈局。應理解的是,虛設擴散鰭部係不形成電晶體的擴散鰭部。此外,應瞭解的是,這個將接觸層垂直對齊於擴散鰭部上方的方法,可改變met1內連線結構和擴散鰭部之間的垂直對齊關係。According to several embodiments of the present invention, FIG. 10 shows an exemplary standard device layout in which the gate electrode contact window is substantially disposed above the diffusion fins, not between the diffusion fins. The exemplary standard component layout of FIG. 10 also shows a variable width met1 local power supply structure. In the exemplary standard device layout of FIG. 10, the contact layer is vertically aligned above the diffusion fins, not between the diffusion fins. This method can share the adjacent edges between the diffusion fin structures without dummy diffusion fins, which provides a more efficient layout. It should be understood that the dummy diffusion fin is a diffusion fin where transistors are not formed. In addition, it should be understood that this method of vertically aligning the contact layer above the diffusion fin can change the vertical alignment relationship between the interconnection structure of met1 and the diffusion fin.

根據本發明若干實施例,圖11顯示實現擴散鰭部的例示元件佈局。在圖11的例示佈局中,閘極電極層包含以下特徵: l 實質上線形閘極電極結構, l 在閘極電極層上的三個以上線形閘極電極結構,其中二者係虛設結構(dummy),即不形成電晶體的閘極電極之閘極電極階層結構, l 在閘極電極層上的三個以上閘極電極結構,其具有相同的垂直尺寸(長度),亦即在垂直於擴散鰭部縱向方向(x方向)的y方向上具相同的長度, l 在閘極電極層上的閘極電極結構,其以實質上相等的縱向中心線至縱向中心線節距實質上均勻地分隔開, l 虛設閘極電極結構,其係與在左方及/或右方的相鄰元件共用,及 l 虛設閘極電極結構,其於met1電源軌下方切割(cut)。According to several embodiments of the present invention, FIG. 11 shows an exemplary element layout implementing a diffusion fin. In the illustrated layout of FIG. 11, the gate electrode layer includes the following features: l substantially a linear gate electrode structure, l three or more linear gate electrode structures on the gate electrode layer, of which two are dummy structures (dummy ), That is, the gate electrode hierarchical structure of the gate electrode that does not form a transistor, l Three or more gate electrode structures on the gate electrode layer, which have the same vertical size (length), that is, perpendicular to the diffusion The fins have the same length in the y-direction in the longitudinal direction (x-direction), l The gate electrode structure on the gate electrode layer is substantially evenly divided by a substantially equal longitudinal centerline to longitudinal centerline pitch Separation, l dummy gate electrode structure, which is shared with adjacent components on the left and / or right, and l dummy gate electrode structure, which is cut below the power rail of met1.

在圖11的例示佈局中,擴散鰭部包含以下特徵: l 依據實質上相等節距實質上均勻分隔開的擴散鰭部,擴散鰭部可在一格子上,在若干實施例中擴散鰭部節距小於90 nm, l p型及n型每一者之一個以上擴散鰭部,圖11顯示二個n型的擴散鰭部及二個p型的擴散鰭部,但其他實施例可包含任何數量的任一型之擴散鰭部, l 相同數量之p型和n型擴散鰭部,其他實施例可具有p型相對n型不同數量的擴散鰭部, l 在電源軌下方刪除一個以上擴散鰭部 l 在p型和n型段之間刪除一個以上擴散鰭部,及 l 實質上相等寬度和長度的各擴散鰭部。In the exemplary layout of FIG. 11, the diffusion fins include the following features: l According to the diffusion fins that are substantially evenly spaced at substantially equal pitches, the diffusion fins can be on a grid, in several embodiments the diffusion fins Pitch is less than 90 nm, more than one diffusion fin of each of lp type and n type. Figure 11 shows two n type diffusion fins and two p type diffusion fins, but other embodiments may include any number Any type of diffusion fins, l the same number of p-type and n-type diffusion fins, other embodiments may have p-type and n-type diffusion fins of different numbers, l delete more than one diffusion fin under the power rail l Delete more than one diffusion fin between the p-type and n-type segments, and l Each diffusion fin with substantially equal width and length.

在圖11的例示佈局中,局部內連線包含以下特徵: l 閘極電極和擴散鰭部源極/汲極接線係在不同的導體層上,且這些不同的導體層係互相隔離, l 用於源極汲極接線之平行於閘極的實質上線形導體層;在若干實施例中,係與閘極層有相同節距;且在若干實施例中,這些線形導體層可偏移半個閘極節距。 l 局部內連線與擴散鰭部正重疊(positive overlap)。In the illustrated layout of FIG. 11, the local interconnection includes the following features: l The gate electrode and the diffusion fin source / drain wiring are on different conductor layers, and these different conductor layers are isolated from each other, l A substantially linear conductor layer parallel to the gate electrode connected to the source and drain electrodes; in some embodiments, the same pitch as the gate layer; and in several embodiments, these linear conductor layers can be offset by half Gate pitch. l Local overlap and positive overlap of the diffusion fins.

在圖11的例示佈局中,較高階層met1內連線層包含以下特徵: l 介於p型和n型擴散鰭部之間的閘極導體接觸窗, l 在二個方向上皆分格化(gridded)的接觸窗, l 接觸窗將局部內連線和閘極導體連接至上方金屬層, l 實質上線形的金屬層;金屬層係依一節距;金屬層依據與擴散鰭部節距相同的節距且具有垂直方向上半節距的偏移, l 在相同層上的輸入節點和輸出節點接腳, l 在頂部和底部邊緣上寬的電源軌,其每一者係被共用;電源軌係藉由毗鄰部(abutment)連接至左方和右方, l 在最高金屬階層上的輸出和輸入節點;配置於p型和n型擴散鰭部之間的接觸窗,及 l 在頂部和底部與毗鄰元件共用的至局部內連線的電源軌接觸窗。In the illustrated layout of FIG. 11, the higher-level met1 interconnect layer includes the following features: l the gate conductor contact window between the p-type and n-type diffusion fins, l is divided in both directions (Gridded) contact window, l the contact window connects the local interconnect and the gate conductor to the upper metal layer, l substantially linear metal layer; the metal layer is based on the pitch; the metal layer is based on the same pitch as the diffusion fin With a pitch of half the pitch in the vertical direction, l input node and output node pins on the same layer, l wide power rails on the top and bottom edges, each of which is shared; power The rails are connected to the left and right by abutments, l output and input nodes at the highest metal level; contact windows arranged between p-type and n-type diffusion fins, and l at the top and The power rail contact window at the bottom shared with the adjacent components to the local interconnect.

根據本發明若干實施例,圖12A/B顯示具有最小寬度met1電源軌的圖11佈局的變形。圖12B顯示與圖12A相同的佈局,其中為了清楚緣故佈局係以合併型式描繪。圖12A/B的例示佈局亦具有相同寬度、相同節距的所有met1,其包含電源軌。此外,在圖12/B的佈局中,met1係配置於與擴散鰭部節距相同的(y)方向位置。According to several embodiments of the present invention, FIGS. 12A / B show a variation of the layout of FIG. 11 having a minimum width met1 power rail. FIG. 12B shows the same layout as FIG. 12A, where the layout is depicted in a merged form for clarity. The illustrated layout of FIG. 12A / B also has all met1 of the same width and the same pitch, which includes the power rails. In addition, in the layout of FIG. 12 / B, met1 is arranged at the same (y) direction position as the pitch of the diffusion fins.

根據本發明若干實施例,圖13A/B顯示圖12A/B佈局的變形,其不具有自各個局部內連線和閘極電極結構至met1的接觸窗。圖13B顯示與圖13A相同的佈局,為了清楚緣故佈局係以合併型式描繪。在這個實施例中,met1係形成為與局部內連線結構和閘極電極結構直接連接。此外,在其他實施例中,局部內連線結構或閘極電極結構其中任一者,或局部內連線結構和閘極電極結構二者,可直接連接至met1。According to several embodiments of the present invention, FIG. 13A / B shows a variation of the layout of FIG. 12A / B, which does not have contact windows from various local interconnects and gate electrode structures to met1. FIG. 13B shows the same layout as FIG. 13A, and the layout is depicted in a merged form for clarity. In this embodiment, the met1 system is formed to be directly connected to the local interconnect structure and the gate electrode structure. In addition, in other embodiments, either the local interconnect structure or the gate electrode structure, or both the local interconnect structure and the gate electrode structure, may be directly connected to met1.

根據本發明若干實施例,圖14A/B顯示圖11佈局的變形,其具有最小寬度met1電源軌,且所有met1結構,包含電源軌,具相同的寬度和相同的節距。圖14B顯示與圖14A相同的佈局,為了清楚緣故佈局係以合併型式描繪。According to several embodiments of the present invention, FIG. 14A / B shows a variation of the layout of FIG. 11, which has a minimum width met1 power rail, and all met1 structures, including power rails, have the same width and the same pitch. FIG. 14B shows the same layout as FIG. 14A, and the layout is depicted in a merged form for clarity.

根據本發明若干實施例,圖15A/B顯示圖14A/B佈局的變形,其具有met1繞線結構,該繞線結構配置成各(y)位置具有一met1結構。圖15B顯示與圖15A相同的佈局,為了清楚緣故佈局係以合併型式描繪。According to several embodiments of the present invention, FIG. 15A / B shows a variation of the layout of FIG. 14A / B, which has a met1 winding structure configured to have a met1 structure at each (y) position. FIG. 15B shows the same layout as FIG. 15A, and the layout is depicted in a merged form for clarity.

根據本發明若干實施例,圖16A/B顯示圖11佈局的變形,其具有配置於p型擴散鰭部之間的閘極電極結構接觸窗。圖16B顯示與圖16A相同的佈局,為了清楚緣故佈局係以合併型式描繪。圖16A/B的例示佈局亦顯示配置於met1電源軌下方且連接至VSS/VDD的擴散鰭部。此外,擴散鰭部VDD/VSS結構係與上方及/或下方元件所共用。為了易於描述,在圖16A/B的佈局中不顯示接觸層。According to several embodiments of the present invention, FIGS. 16A / B show a variation of the layout of FIG. 11, which has a gate electrode structure contact window disposed between p-type diffusion fins. FIG. 16B shows the same layout as FIG. 16A, and the layout is depicted in a merged form for clarity. The example layout of FIGS. 16A / B also shows the diffusion fins disposed under the power rail of met1 and connected to VSS / VDD. In addition, the diffusion fin VDD / VSS structure is shared with the upper and / or lower elements. For ease of description, the contact layer is not shown in the layout of FIGS. 16A / B.

根據本發明若干實施例,圖17A/B顯示實現擴散鰭部的例示元件佈局。圖17B顯示與圖17A相同的佈局,為了清楚緣故佈局係以合併型式描繪。在圖17A/B的例示佈局中,閘極電極層包含以下特徵: l 實質上線形的閘極電極結構, l 在閘極電極層上的三個以上線形結構,其中至少二者係虛設結構(dummy), l 在閘極電極層上的虛設結構係為相同垂直尺寸(長度),即在垂直於擴散鰭部的縱向方向(x方向)之y方向上具相同的長度, l 在x方向上實質上均勻地分隔開及/或相等節距化的閘極電極層上的結構, l 虛設結構係與左方及/或右方相鄰元件共用, l 虛設結構以及閘極電極結構繪製為一單一線,且接著在電源軌下方以及所需之處加以切割;閘極電極結構切割係繪製於不同的層;在圖17A/B中顯示具經切割的最終結果的閘極電極層, l 三段以上的閘極電極,控制二個以上之p型和n型電晶體, l 在相同x位置之多個閘極電極結構,其每一者連接至一不同的連線;且連接至二個不同的輸入連線。According to several embodiments of the present invention, FIGS. 17A / B show exemplary element layouts that implement diffusion fins. FIG. 17B shows the same layout as FIG. 17A, and the layout is depicted in a merged form for clarity. In the illustrated layout of FIG. 17A / B, the gate electrode layer includes the following features: l a substantially linear gate electrode structure, l three or more linear structures on the gate electrode layer, at least two of which are dummy structures ( dummy), l the dummy structure on the gate electrode layer is the same vertical size (length), that is, the same length in the y direction perpendicular to the longitudinal direction (x direction) of the diffusion fin, l in the x direction A structure on the gate electrode layer that is substantially evenly spaced and / or equally pitched. The dummy structure is shared with the adjacent elements on the left and / or right. The dummy structure and the gate electrode structure are drawn as a single Wire, and then cut under the power rail and where needed; the gate electrode structure cut is drawn on different layers; the gate electrode layer with the final result of the cut is shown in Figure 17A / B, three segments The above gate electrode controls more than two p-type and n-type transistors, l multiple gate electrode structures at the same x position, each of which is connected to a different connection; and connected to two different Input connection.

在圖17A/B的例示佈局中,擴散鰭部包含以下特徵: l 依據實質上相等的節距而實質上均勻分隔的擴散鰭部,擴散鰭部可在一格子上,擴散鰭部節距在若干實施例中小於90 nm, l p型和n型每一者的一個以上擴散鰭部, l 相同數量的p型和n型擴散鰭部, l 電源軌下方共用的擴散鰭部, l 在p型和n型段之間可將擴散鰭部刪除或不刪除;圖17A/B顯示所有鰭部皆存在, l 擴散鰭部每一者係具有實質上相等寬度和長度,其中擴散鰭部寬度係在y方向上測得,而擴散鰭部長度係在x方向上測得, l 擴散鰭部係繪製為連續的線;個別的切割遮罩係被繪製以將擴散鰭部分割成數段;圖17A/B顯示分割後的擴散鰭部段;應理解的是,在若干實施例中,擴散鰭部線端可利用切割遮罩形成或繪製於擴散鰭部階層佈局。In the exemplary layout of FIG. 17A / B, the diffusion fins include the following features: l Diffusion fins that are substantially evenly spaced according to a substantially equal pitch. The diffusion fins may be on a grid, and the diffusion fins have a pitch of In some embodiments, less than 90 nm, more than one diffusion fin for each of lp and n-type, l the same number of p-type and n-type diffusion fins, l the common diffusion fin under the power rail, l in p-type The diffusion fins can be deleted or not between the n-type segments; Figure 17A / B shows that all fins are present. L Each of the diffusion fins has substantially equal width and length, and the width of the diffusion fins is at Measured in the y direction, and the length of the diffuser fin is measured in the x direction, l The diffuser fin is drawn as a continuous line; individual cutting masks are drawn to divide the diffuser fin into segments; Figure 17A / B shows the segmented diffused fin section; it should be understood that, in some embodiments, the line ends of the diffused fin section can be formed or drawn on the diffused fin layer layout using a cutting mask.

在圖17A/B的例示佈局中,局部內連線包含以下特徵: l 閘極電極和擴散鰭部源極/汲極接線係在不同的導體層上;這些不同的導體層在製造期間可加以合併; l 源極汲極接線用之平行於閘極的實質上線形導體層;在若干實施例中,係依與閘極層相同的節距;並且在若干實施例中,這些線形導體層可偏移半閘極節距。 l 局部內連線與擴散鰭部的正、零、或負重疊, l 將局部內連線直接連接至擴散鰭部源極/汲極和閘極電極結構, l 電源軌下方共用的局部內連線;電源軌下方的局部內連線在若干實施例中可刪除。In the illustrated layout of FIG. 17A / B, the local interconnection includes the following features: l The gate electrode and the diffusion fin source / drain wiring are on different conductor layers; these different conductor layers can be added during manufacturing Merge; l Source-drain wiring used for the substantially linear conductor layer parallel to the gate; in several embodiments, the same pitch as the gate layer; and in several embodiments, these linear conductor layers may Offset half gate pitch. l The positive, zero, or negative overlap of the local interconnects and the diffusion fins. l Direct connection of the local interconnects to the source / drain and gate electrode structures of the diffusion fins. l Shared local interconnection under the power rail Line; the partial interconnection line under the power rail can be deleted in several embodiments.

在圖17A/B的例示佈局中,較高階層met1內連線層包含以下特徵: l 擴散鰭部之間的閘極電極結構接觸窗, l 接觸窗係在x和y方向其中之一或二者上分格化(gridded), l 接觸窗將局部內連線和閘極導體連接至上方金屬層, l 金屬層位置可在x和y方向其中之一或二者上固定, l 在相同層之上的輸出節點和輸入節點接腳, l 在頂部和底部的寬電源軌係被共用的;電源軌藉由毗鄰部連接至左方和右方;至局部內連線的電源軌接觸窗係共用的, l 金屬層可具有彎曲部分。在若干實施例中,在金屬層內連線之中的彎曲部分可位在相鄰擴散鰭部之間的中心。此外,在若干實施例中,在y方向上延伸的金屬層內連線的垂直段可對齊於垂直局部內連線,以在y方向上沿著垂直局部內連線且在垂直局部內連線之上而延伸。In the illustrated layout of FIG. 17A / B, the interconnect layer of the higher-level met1 includes the following features: l The gate electrode structure contact window between the diffusion fins, l The contact window is one or both of the x and y directions Gridded, l contact windows connect local interconnects and gate conductors to the upper metal layer, l the position of the metal layer can be fixed in one or both of the x and y directions, l on the same layer The output node and input node pins above, l the wide power rails at the top and bottom are shared; the power rail is connected to the left and right by the adjacent part; to the power rail contact window system of the local interconnect Commonly, the metal layer may have a bent portion. In some embodiments, the curved portion in the interconnection of the metal layer may be located in the center between adjacent diffusion fins. In addition, in some embodiments, the vertical section of the interconnection in the metal layer extending in the y direction may be aligned with the vertical local interconnection to follow the vertical local interconnection in the y direction and the vertical local interconnection Extend from above.

根據本發明若干實施例,圖18A/B顯示圖17A/B佈局的變形,其中接觸窗連接至水平局部內連線,且其中水平局部內連線直接連接至垂直局部內連線。圖18B顯示與圖18A相同的佈局,為了清楚緣故將佈局以合併型式描繪。在圖18A/B的佈局中,未顯示在擴散鰭部、閘極電極、及局部內連線層上的切割。According to several embodiments of the present invention, FIG. 18A / B shows a variation of the layout of FIG. 17A / B, wherein the contact window is connected to the horizontal local interconnect, and wherein the horizontal local interconnect is directly connected to the vertical local interconnect. FIG. 18B shows the same layout as FIG. 18A, and the layout is depicted in a merged form for clarity. In the layout of FIG. 18A / B, the cuts on the diffusion fins, gate electrodes, and local interconnect layers are not shown.

根據本發明若干實施例,圖19A/B顯示圖17A/B佈局的變形,其中至局部內連線的電源軌接觸窗係不共用的,且其中在電源軌下方沒有共用的局部內連線。圖19B顯示與圖19A相同的佈局,為了清楚緣故將佈局以合併型式描繪。According to several embodiments of the present invention, FIG. 19A / B shows a variation of the layout of FIG. 17A / B, where the power rail contact window to the local interconnect is not shared, and where there is no shared local interconnect under the power rail. FIG. 19B shows the same layout as FIG. 19A, and the layout is depicted in a merged form for clarity.

根據本發明若干實施例,圖20A/B顯示圖19A/B佈局的變形,其中擴散鰭部係相對於元件邊界偏移半個擴散鰭部節距。圖20B顯示與圖20A相同的佈局,為了清楚緣故將佈局以合併型式描繪。圖20A/B的佈局亦包含與met1位置相同之擴散鰭部位置。此外,擴散鰭部在元件的頂部和底部係不共用。圖20A/B亦顯示配置於閘極電極和擴散鰭部之上的接觸窗。圖20A/B也顯示不同的擴散鰭部/局部內連線的重疊。應理解的是,在圖20A/B的特定佈局中,雖然顯示水平局部內連線lih和垂直局部內連線liv在區域2001中彼此重疊,水平局部內連線lih和垂直局部內連線liv在區域2001係不相互接觸。這也在以下的圖21A/B之中的區域2001中成立。然而,亦應理解的是,在若干其他佈局中,可使水平局部內連線lih和垂直局部內連線liv在其彼此交叉的位置處互相接觸。According to several embodiments of the present invention, FIG. 20A / B shows a variation of the layout of FIG. 19A / B, in which the diffusion fins are offset by half the diffusion fin pitch relative to the device boundary. FIG. 20B shows the same layout as FIG. 20A, and the layout is depicted in a merged form for clarity. The layout of FIG. 20A / B also includes the same diffusion fin position as met1. In addition, the diffusion fins are not shared at the top and bottom of the element. FIG. 20A / B also shows the contact window disposed on the gate electrode and the diffusion fin. Figures 20A / B also show the overlap of different diffusion fins / local interconnects. It should be understood that in the specific layout of FIG. 20A / B, although it is shown that the horizontal local interconnection lih and the vertical local interconnection liv overlap each other in the area 2001, the horizontal local interconnection lih and the vertical local interconnection liv In the region 2001, the department does not touch each other. This is also established in the area 2001 in FIG. 21A / B below. However, it should also be understood that in several other layouts, the horizontal local interconnect lih and the vertical local interconnect liv may be brought into contact with each other at positions where they cross each other.

根據本發明若干實施例,圖21A/B顯示圖20A/B佈局的變形,其具有最小寬度電源軌及擴散鰭部之負垂直局部內連線重疊。圖21B顯示與圖21A相同的佈局,為了清楚緣故將佈局以合併型式描繪。According to some embodiments of the present invention, FIG. 21A / B shows a variation of the layout of FIG. 20A / B, which has a minimum width power rail and a negative vertical partial interconnection of diffusion fins overlapping. FIG. 21B shows the same layout as FIG. 21A, and the layout is depicted in a merged form for clarity.

根據本發明若干實施例,圖22A/B顯示圖17A/B佈局的變形,其具有最小寬度電源軌、在電源軌下方不共用的局部內連線及擴散鰭部、及p鰭部和n鰭部之間較大的間距。圖22B顯示與圖22A相同的佈局,為了清楚緣故將佈局以合併型式描繪。According to some embodiments of the present invention, FIG. 22A / B shows a variation of the layout of FIG. 17A / B, which has a minimum width power rail, local interconnects and diffusion fins that are not shared under the power rail, and p-fin and n-fin Larger spacing between departments. FIG. 22B shows the same layout as FIG. 22A, and the layout is depicted in a merged form for clarity.

根據本發明若干實施例,圖23A/B顯示圖17A/B佈局的變形。圖23B顯示與圖23A相同的佈局,為了清楚緣故將佈局以合併型式描繪。圖23A/B的佈局具有以下特徵: l 單方向的金屬層內連線結構,即線形金屬層內連線結構, l 在電源軌下方無共用的局部內連線或鰭部, l 在最高金屬層上的一個輸入接腳,以及在下方金屬層的另一輸入接腳和輸出接腳, l 閘極電極接觸窗係與局部內連線分隔。According to several embodiments of the present invention, FIG. 23A / B shows a variation of the layout of FIG. 17A / B. FIG. 23B shows the same layout as FIG. 23A, and the layout is depicted in a merged form for clarity. The layout of Figure 23A / B has the following features: l Unidirectional metal layer interconnection structure, that is, linear metal layer interconnection structure, l No shared local interconnection or fin under the power rail, l In the highest metal One input pin on the layer, and another input pin and output pin on the metal layer below, l The gate electrode contact window is separated from the local interconnect.

此外,圖23A/B顯示在加以切割於左方和右方邊緣之前的擴散鰭部。In addition, FIGS. 23A / B show the diffusion fins before being cut on the left and right edges.

根據本發明若干實施例,圖24A/B顯示圖23A/B佈局的變形。圖24B顯示與圖24A相同的佈局,為了清楚緣故將佈局以合併型式描繪。圖24A/B的佈局具有以下特徵: l 擴散鰭部節距小於金屬層節距;擴散鰭部節距係金屬層節距的一半, l 顯示於擴散鰭部之間的閘極電極和局部內連線切割;一替代的實行方式可具有在擴散鰭部切割上方的切割;這將降低在一個以上電晶體中擴散鰭部的數量, l 在最高金屬層上的一個輸入接腳,在下方金屬層上的另一輸入接腳和輸出接腳, l 大於最低限度之p型和n型擴散鰭部之間的間距;在p型和n型擴散鰭部段之間刪除一個以上擴散鰭部, l 配置於擴散鰭部之上的閘極電極接觸窗, l 配置於擴散鰭部之上的局部內連線接觸窗,及 l 在元件之內垂直met2具有在x方向不同的偏移。According to several embodiments of the present invention, FIG. 24A / B shows a variation of the layout of FIG. 23A / B. FIG. 24B shows the same layout as FIG. 24A, and the layout is depicted in a merged form for clarity. The layout of FIG. 24A / B has the following features: l The pitch of the diffusion fin is smaller than the pitch of the metal layer; the pitch of the diffusion fin is half of the pitch of the metal layer, l The gate electrode and local area shown between the diffusion fins Wire cutting; an alternative implementation may have a cut above the diffusion fin cut; this will reduce the number of diffusion fins in more than one transistor, l an input pin on the highest metal layer, the metal below Another input pin and output pin on the layer, l is greater than the minimum spacing between the p-type and n-type diffusion fins; delete more than one diffusion fin between the p-type and n-type diffusion fin sections, l the gate electrode contact window disposed on the diffusion fin, l the local interconnect contact window disposed on the diffusion fin, and l the vertical met2 within the device has a different offset in the x direction.

根據本發明若干實施例,圖25A/B顯示圖23A/B佈局的變形,其中元件在高度上加倍。圖25B顯示與圖25A相同的佈局,為了清楚緣故將佈局以合併型式描繪。圖25A/B的佈局包含二倍於在圖23A/B佈局中總數量的擴散鰭部。在圖25A/B的佈局中顯示擴散鰭部切割。According to several embodiments of the present invention, FIGS. 25A / B show a variation of the layout of FIG. 23A / B, in which the elements are doubled in height. FIG. 25B shows the same layout as FIG. 25A, and the layout is depicted in a merged form for clarity. The layout of FIG. 25A / B includes twice the total number of diffusion fins in the layout of FIG. 23A / B. The diffusion fin cutting is shown in the layout of FIGS. 25A / B.

根據本發明若干實施例,圖26A/B顯示實現擴散鰭部的例示元件佈局。圖26B顯示與圖26A相同的佈局,為了清楚緣故將佈局以合併型式描繪。在圖26A/B的例示佈局中,閘極電極層包含以下特徵: l 實質上線形閘極電極結構, l 在閘極電極層上的三個以上線形結構,其中至少二者係虛設結構, l 在閘極電極層上的虛設結構係具有相同尺寸, l 在閘極電極層上的結構係在x方向上實質均勻分隔開及/或相等節距化, l 虛設結構係與在左方及/或右方的相鄰元件共用, l 在電源軌下方切割虛設結構, l 控制二個以上p型和n型電晶體的單一閘極電極結構,在製造過程中之後被分開而形成二個以上不同的閘極電極,例如閘極電極結構2601及2603所描繪, l 在相同x位置的閘極電極係連接至兩個以上不同連線,連接至兩個以上不同的輸入連線,例如由連接至輸入連線2605的閘極電極結構2601、及由連接至輸入連線2607之閘極電極結構2603所描繪者, l 在相同x位置的二個以上虛設段。According to several embodiments of the present invention, FIG. 26A / B shows an exemplary element layout for implementing a diffusion fin. FIG. 26B shows the same layout as FIG. 26A, and the layout is depicted in a merged form for clarity. In the illustrated layout of FIG. 26A / B, the gate electrode layer includes the following features: l a substantially linear gate electrode structure, l three or more linear structures on the gate electrode layer, at least two of which are dummy structures, l The dummy structure on the gate electrode layer has the same size, l the structure on the gate electrode layer is substantially evenly spaced and / or equal pitched in the x direction, l the dummy structure is on the left and / Or the adjacent components on the right are shared, l cut the dummy structure under the power rail, l control a single gate electrode structure of more than two p-type and n-type transistors, and be separated after the manufacturing process to form more than two Different gate electrodes, such as those depicted in gate electrode structures 2601 and 2603, l The gate electrodes at the same x position are connected to more than two different connections, to more than two different input connections, such as by connecting The gate electrode structure 2601 to the input connection 2605 and the one depicted by the gate electrode structure 2603 connected to the input connection 2607, l more than two dummy segments at the same x position.

在圖26A/B的例示佈局中,擴散鰭部包含以下特徵: l 依據實質上相等節距實質上均勻分隔開的擴散鰭部,擴散鰭部可在一格子上,擴散鰭部節距在若干實施例中小於90 nm, l p型和n型每一者的一個以上擴散鰭部, l 相同數量的p型和n型擴散鰭部, l 在電源軌下方刪除一個以上擴散鰭部, l 在p型和n型段之間無擴散鰭部被刪除, l 各擴散鰭部具實質上相等的寬度和長度,及 l 配置在n型擴散鰭部之間的p型擴散鰭部,反之亦然。In the exemplary layout of FIG. 26A / B, the diffusion fins include the following features: l According to the diffusion fins that are substantially evenly spaced apart at substantially equal pitches, the diffusion fins may be on a grid, and the diffusion fins have a pitch of In some embodiments, less than 90 nm, more than one diffusion fin of each of lp and n-type, l the same number of p-type and n-type diffusion fins, l delete more than one diffusion fin under the power rail, l in No diffusion fins are deleted between the p-type and n-type segments, l each diffusion fin has substantially equal width and length, and l the p-type diffusion fin is arranged between the n-type diffusion fins, and vice versa .

在圖26A/B的例示佈局中,局部內連線包含以下特徵: l 閘極電極和擴散鰭部源極/汲極接線係在不同的導體層;這些不同的導體層係彼此分隔開, l 用於源極汲極接線之平行於閘極的實質上線形導體層;在若干實施例中,具與閘極層相同的節距;且在若干實施例中,這個線形導體層可偏移半閘極節距,及 l 局部內連線與擴散鰭部的正重疊。In the illustrated layout of FIG. 26A / B, the local interconnection includes the following features: l The gate electrode and the source / drain wiring of the diffusion fin are on different conductor layers; these different conductor layers are separated from each other, l A substantially linear conductor layer parallel to the gate used for the source-drain wiring; in several embodiments, with the same pitch as the gate layer; and in several embodiments, this linear conductor layer can be offset The half-gate pitch, and the partial overlap of the local interconnect and the diffusion fin are positively overlapping.

在圖26A/B的例示佈局中,較高階層的met1內連線層包含以下特徵: l 擴散鰭部之間的閘極電極結構接觸窗, l 接觸窗係在x和y方向其中之一或二者分格化, l 接觸窗將局部內連線和閘極導體連接至上方金屬層, l 在輸出節點上實質上線形的導體, l 在不同層之上的輸出節點和輸入節點接腳, l 在中間的電源軌,與在頂部和底部處電源軌相對;頂部和底部電源軌係共用;所有電源軌藉由毗鄰部連接至左方和右方,及 l 在最高金屬層上的輸出節點。In the illustrated layout of FIG. 26A / B, the higher-level met1 interconnect layer includes the following features: l Gate electrode structure contact window between the diffusion fins, l The contact window is in one of the x and y directions or The two are divided, l the contact window connects the local interconnect and the gate conductor to the upper metal layer, l the substantially linear conductor on the output node, l the output node and input node pins on different layers, l The power rail in the middle is opposite to the power rails at the top and bottom; the top and bottom power rails are shared; all power rails are connected to the left and right by the adjacent part, and l the output node on the highest metal layer .

根據本發明若干實施例,圖27A/B顯示圖26A/B佈局的變形。圖27B顯示與圖27A相同的佈局,為了清楚緣故將佈局以合併型式描繪。圖27A/B的佈局包含以下特徵: l 將閘極電極繪製成具有一切割層,例如包含切割形狀部2701的切割層, l 在相同x位置處二個閘極導體段,其各自連接至一不同的連線,閘極導體段各自連接至一輸入連線,閘極導體段各自控制以多個鰭部建構之一p型和一n型電晶體,例如閘極導體2703及2705,及 l 在最高金屬層上的一個輸入接腳,在下方金屬層上的另一輸入接腳和輸出接腳。According to several embodiments of the present invention, FIG. 27A / B shows a variation of the layout of FIG. 26A / B. FIG. 27B shows the same layout as FIG. 27A, and the layout is depicted in a merged form for clarity. The layout of FIG. 27A / B includes the following features: l The gate electrode is drawn to have a cut layer, for example, a cut layer including a cut shape portion 2701, l Two gate conductor segments at the same x position, each of which is connected to a Different connections, the gate conductor segments are each connected to an input connection, the gate conductor segments each control a p-type and an n-type transistor constructed with multiple fins, such as gate conductors 2703 and 2705, and One input pin on the highest metal layer, another input pin and output pin on the lower metal layer.

根據本發明若干實施例,圖28A/B顯示實現擴散鰭部的例示元件佈局。圖28B顯示與圖28A相同的佈局,為了清楚緣故將佈局以合併型式描繪。在圖28A/B的例示佈局中,閘極電極層包含以下特徵: l 實質上線形的閘極電極結構, l 在閘極電極層上三個以上的線形結構,其中至少二者係虛設結構, l 三個以上閘極電極結構具有相同的尺寸, l 在閘極電極層上的結構在x方向上實質上均勻地分隔開及/或相等地節距化, l 虛設結構係與在左方及/或右方的相鄰元件共用, l 虛設結構在電源軌下方加以切割,According to several embodiments of the present invention, FIGS. 28A / B show exemplary element layouts that implement diffusion fins. FIG. 28B shows the same layout as FIG. 28A, and the layout is depicted in a merged form for clarity. In the exemplary layout of FIG. 28A / B, the gate electrode layer includes the following features: l a substantially linear gate electrode structure, l three or more linear structures on the gate electrode layer, at least two of which are dummy structures, l Three or more gate electrode structures have the same size, l The structures on the gate electrode layer are substantially evenly spaced and / or equally pitched in the x direction, l The dummy structure is on the left And / or the adjacent components on the right are shared, l the dummy structure is cut under the power rail,

應理解的是,任何此處所顯示的圖示,包含圖28A/B的例示佈局,取決於特定實行實施例,可具有定義成p型擴散鰭部的類型1擴散鰭部、及定義成n型擴散鰭部的類型2擴散鰭部,或者可具有定義成n型擴散鰭部的類型1擴散鰭部、及定義成p型擴散鰭部的類型2擴散鰭部。在圖28A/B的例示佈局中,擴散鰭部包含以下特徵: l 根據實質上相等的節距而實質上均勻分隔開的擴散鰭部,擴散鰭部可在一格子上,在若干實施例中擴散鰭部節距係小於90 nm, l p型和n型每一者的一個以上擴散鰭部, l 不同數量的p型和n型擴散鰭部, l 在電源軌下方將一個以上擴散鰭部刪除, l 在p型和n型段之間刪除一個以上擴散鰭部, l 各擴散鰭部具有實質上相等的寬度和長度。It should be understood that any of the illustrations shown here, including the illustrated layout of FIGS. 28A / B, may have a type 1 diffusion fin defined as a p-type diffusion fin, and an n-type definition depending on the particular implementation embodiment The type 2 diffusion fin of the diffusion fin, or may have a type 1 diffusion fin defined as an n-type diffusion fin, and a type 2 diffusion fin defined as a p-type diffusion fin. In the illustrated layout of FIG. 28A / B, the diffusion fins include the following features: l Diffusion fins that are substantially evenly spaced according to a substantially equal pitch, the diffusion fins can be on a grid, in several embodiments The pitch of the intermediate diffusion fin is less than 90 nm, more than one diffusion fin of each of lp and n-type, l different number of p-type and n-type diffusion fins, l more than one diffusion fin under the power rail Delete, l delete more than one diffusion fin between the p-type and n-type segments, l each diffusion fin has substantially equal width and length.

在圖28A/B的例示佈局中,局部內連線包含以下特徵: l 閘極電極和擴散鰭部源極/汲極接線係直接自一傳導層, l 用於源極汲極接線之平行於閘極的實質上線形傳導層;在若干實施例中,具與閘極層相同的節距;且在若干實施例中,這個線形導體層可偏移半閘極節距, l 局部內連線與擴散鰭部及閘極電極結構之間的零或負重疊, l 局部內連線可以二個步驟建構,首先為垂直局部內連線結構,接著是水平局部內連線結構;各個步驟建立一組線形、單方向的局部內連線結構,及 l 或者是,二個獨立的局部內連線層,即一個垂直局部內連線層,和一水平局部內連線層。In the illustrated layout of FIG. 28A / B, the local interconnection includes the following features: l The gate electrode and the diffusion fin source / drain wiring are directly from a conductive layer, l The source drain wiring is parallel to The substantially linear conductive layer of the gate; in some embodiments, the same pitch as the gate layer; and in several embodiments, the linear conductor layer can be offset by half the gate pitch, l partially interconnected Zero or negative overlap with the diffusion fin and gate electrode structure. L The local interconnect can be constructed in two steps, firstly the vertical local interconnect structure, then the horizontal local interconnect structure; each step creates a Group of linear, unidirectional local interconnect structures, and l or, two separate local interconnect layers, namely a vertical local interconnect layer and a horizontal local interconnect layer.

在圖28A/B的例示佈局中,較高階層met1內連線層包含以下技術特徵: l 擴散鰭部可配置於電源軌下方 l 在x和y方向其中之一或二者上將接觸窗分格化(gridded), l 接觸窗將所有局部內連線連接至上方金屬層,及 l 可在任何位置配置接觸窗。In the illustrated layout of FIG. 28A / B, the higher-level met1 interconnect layer includes the following technical features: l The diffusion fin can be disposed under the power rail l The contact window is divided in one or both of the x and y directions Gridded, l the contact window connects all local interconnects to the upper metal layer, and l the contact window can be placed at any location.

根據本發明若干實施例,圖29A/B顯示圖28A/B佈局的變形,其中在兩個n型電晶體的閘極電極結構之間沒有局部內連線結構。圖29B顯示與圖29A相同的佈局,為了清楚緣故將佈局以合併型式描繪。According to several embodiments of the present invention, FIG. 29A / B shows a variation of the layout of FIG. 28A / B, in which there is no local interconnect structure between the gate electrode structures of two n-type transistors. FIG. 29B shows the same layout as FIG. 29A, and the layout is depicted in a merged form for clarity.

根據本發明若干實施例,圖30A/B顯示實現擴散鰭部的例示元件佈局。圖30B顯示與圖30A相同的佈局,為了清楚緣故將佈局以合併型式描繪。在圖30A/B的例示佈局中,閘極電極層包含以下特徵: l 實質上線形閘極電極結構, l 在閘極電極層上的三個以上線形結構,其中至少二者係虛設結構, l 三個以上閘極電極結構具有相同尺寸, l 於閘極電極層上的結構在x方向上實質上均勻分隔開及/或相等節距化, l 虛設結構係與在左方及/或右方的相鄰元件共用, l 虛設結構在電源軌下方加以切割。According to several embodiments of the present invention, FIGS. 30A / B show exemplary element layouts implementing diffusion fins. FIG. 30B shows the same layout as FIG. 30A, and the layout is depicted in a merged form for clarity. In the exemplary layout of FIG. 30A / B, the gate electrode layer includes the following features: l a substantially linear gate electrode structure, l three or more linear structures on the gate electrode layer, at least two of which are dummy structures, l More than three gate electrode structures have the same size, l The structures on the gate electrode layer are substantially evenly spaced and / or equally pitched in the x direction, l The dummy structure is on the left and / or right The adjacent components of the square are shared, and the dummy structure is cut under the power rail.

在圖30A/B的例示佈局中,擴散鰭部包含以下特徵: l 依據實質上相等節距實質上均勻分隔開的擴散鰭部,擴散鰭部可在一格子上,在若干實施例中擴散鰭部節距小於90 nm, l p型和n型各者之一個以上的擴散鰭部, l 相同數量的p型和n型擴散鰭部, l 在電源軌下方將一個以上擴散鰭部刪除, l 在p型和n型段之間將一個以上擴散鰭部刪除, l 擴散鰭部每一者具實質上相等的寬度和長度。In the exemplary layout of FIG. 30A / B, the diffusion fins include the following features: l According to the diffusion fins that are substantially evenly spaced apart at substantially equal pitches, the diffusion fins can be diffused on a grid in several embodiments Fin pitch is less than 90 nm, one or more diffuse fins of lp and n-type, l The same number of p-type and n-type diffuse fins, l Delete more than one diffuse fin under the power rail, l More than one diffusion fin is deleted between the p-type and n-type segments, l Each of the diffusion fins has substantially equal width and length.

在圖30A/B的例示佈局中,局部內連線包含以下特徵: l 閘極電極和擴散鰭部源極/汲極接線係直接來自一導體層, l 源極汲極接線用之平行於閘極的實質上線形導體層;在若干實施例中,具與閘極層相同的節距;且在若干實施例中,這個線形導體層可偏移半個閘極節距, l 局部內連線與擴散鰭部及閘極電極結構的零或負重疊, l 局部內連線可由二步驟建立,首先係垂直局部內連線結構,接著係水平局部內連線結構;各步驟建立一組線形、單方向局部內連線結構,及 l 在若干實施例中,垂直和水平局部內連線結構可形成為彼此交叉和連接,藉此形成一二維變化局部內連線結構,亦即是具有彎曲的局部內連線結構, l 或者是,二個獨立的局部內連線層――一個垂直局部內連線層、及一個水平局部內連線層。In the illustrated layout of FIG. 30A / B, the local interconnection includes the following features: l The gate electrode and the source / drain wiring of the diffusion fin are directly from a conductor layer, l The source-drain wiring is parallel to the gate The substantially linear conductor layer of the pole; in several embodiments, with the same pitch as the gate layer; and in several embodiments, this linear conductor layer can be offset by half the gate pitch, l partially interconnected Zero or negative overlap with the diffusion fin and gate electrode structure. L The local interconnect can be established in two steps, firstly the vertical local interconnect structure, then the horizontal local interconnect structure; each step establishes a set of lines, Unidirectional local interconnection structure, and l In some embodiments, vertical and horizontal local interconnection structures can be formed to cross and connect with each other, thereby forming a two-dimensionally varying local interconnection structure, that is, having a curvature Local interconnect structure, or alternatively, two independent local interconnect layers—a vertical local interconnect layer and a horizontal local interconnect layer.

在圖30A/B的例示佈局中,較高階層met1內連線層包含以下特徵: l 擴散鰭部可配置於電源軌下方 l 在x和y方向其中之一或二者上將接觸窗分格化(gridded), l 依據與閘極電極結構相同的節距配置met1內連線結構, l 接觸窗將所有局部內連線連接至上方金屬層,及 l 可在任何位置配置接觸窗。In the exemplary layout of FIG. 30A / B, the interconnect layer of the higher-level met1 includes the following features: l The diffusion fin can be disposed under the power rail l The contact window is divided in one or both of the x and y directions Gridded, l configure the met1 interconnect structure according to the same pitch as the gate electrode structure, l the contact window connects all local interconnects to the upper metal layer, and l can configure the contact window at any position.

根據本發明若干實施例,圖31A顯示例示sdff元件佈局,其中閘極電極和局部內連線線端間隙位於擴散鰭部之間的實質上中心。在圖31A中,將閘極電極線端間隙圈出。圖31B顯示圖31A的例示sdff元件佈局,其中將位在擴散鰭部之間的實質上中心的局部內連線線端間隙圈出。基於圖31A至31B,應理解的是,可產生一元件庫結構,其中所有閘極電極和垂直內連線線端間隙實質上位於擴散鰭部之間的中心。根據本發明若干實施例,圖31C顯示具有兩個相鄰閘極電極結構之間的區域3105之標註的圖31A和31B的例示sdff元件佈局,其中擴散鰭部端部在x方向彼此重疊。According to several embodiments of the present invention, FIG. 31A shows an exemplary sdff device layout in which the gate electrode and the local interconnect line end gap are located at the substantial center between the diffusion fins. In FIG. 31A, the gate electrode wire end gap is circled. FIG. 31B shows the example sdff device layout of FIG. 31A, in which a partial inner wire end gap located at the substantially center between the diffusion fins is circled. Based on FIGS. 31A to 31B, it should be understood that a cell library structure may be generated in which all gate electrodes and vertical interconnect line end gaps are located substantially in the center between the diffusion fins. According to several embodiments of the present invention, FIG. 31C shows the example sdff device layout of FIGS. 31A and 31B labeled with the region 3105 between two adjacent gate electrode structures, in which the ends of the diffusion fins overlap each other in the x direction.

根據本發明若干實施例,圖32-34顯示標準元件電路佈局的一部分之三個範例。圖32顯示一例示佈局,其中所有將所有接觸層結構配置於擴散鰭部之間。圖33和34顯示例示佈局,其中將所有接觸層結構配置於擴散鰭部之上。在圖32的例子中,閘極電極線端間隙在若干實例中係實質上位於擴散鰭部上方的中心,如圓3201所標示,且在若干實例中,閘極電極線端間隙係實質上位於擴散鰭部之間的中心,如圓3203所標示。藉由利用將所有接觸層結構配置於擴散鰭部上方的一元件結構,所有閘極電極線端間隙可實質上位於擴散鰭部之間的中心,如在圖33和34之中的圓3301所標示。此處的一個優點係閘極電極線端間隙係皆具一固定的節距。從製造的觀點,閘極電極線端間隙是否在擴散鰭部上或擴散鰭部之間的中心處是不要緊的。然而,閘極電極線端間隙沒有混雜則是要緊的,如圖32的範例所示。使閘極電極線端間隙皆具相同節距會導致閘極電極製造過程較不昂貴、或更可靠、或滿足以上二者。According to some embodiments of the present invention, FIGS. 32-34 show three examples of a part of the circuit layout of a standard device. FIG. 32 shows an example layout in which all contact layer structures are arranged between diffusion fins. 33 and 34 show an exemplary layout in which all contact layer structures are arranged on the diffusion fins. In the example of FIG. 32, the gate electrode line end gap is substantially located at the center above the diffusion fins in some examples, as indicated by circle 3201, and in some examples, the gate electrode line end gap is substantially located in The center between the diffusion fins is indicated by circle 3203. By using a device structure in which all contact layer structures are arranged above the diffusion fins, all gate electrode terminal gaps can be located substantially in the center between the diffusion fins, as indicated by circle 3301 in FIGS. 33 and 34 Mark. An advantage here is that the gate electrode end gaps all have a fixed pitch. From a manufacturing point of view, it does not matter whether the gate electrode line end gap is on the center of the diffusion fins or between the diffusion fins. However, it is important that the gap between the gate electrode ends is not mixed, as shown in the example of FIG. 32. Making the gate electrode line gaps all have the same pitch will cause the gate electrode manufacturing process to be less expensive, more reliable, or satisfy both.

圖35A-69A顯示各種元件佈局,其展示可利用鰭式場效電晶體實施交叉連接電晶體配置之不同方式的範例。圖35A-69A的交叉連接佈局係以二輸入多工器電路(MUX2)的背景加以顯示。根據本發明若干實施例,圖35C顯示圖35A/B至47A/B及63A/B至67A/B的佈局的電路圖。根據本發明若干實施例,圖48C顯示圖48A/B至58A/B的佈局的電路圖。根據本發明若干實施例,圖59C顯示圖59A/B的佈局的電路圖。根據本發明若干實施例,圖60C顯示圖60A/B至62A/B及68A/B至69A/B的佈局的電路圖。根據本發明若干實施例,圖71C顯示圖71A/B及77A/B的佈局的電路圖。根據本發明若干實施例,圖72C顯示圖72A/B至76A/B的佈局的電路圖。在左方和右方邊緣上的電晶體被加入至該交叉連接以達成MUX2功能。對具有交叉連接電路的其他功能,這些可能係不同的。圖35B-69B分別顯示與圖35A-69A相同的佈局,為了清楚的緣故將佈局以合併型式描繪,且基於元件佈局的電路圖標示電路的節點。此外,在圖35A-69A中的交叉連接電晶體接線以線cc1和cc2加以標示。35A-69A show various device layouts showing examples of different ways in which cross-connected transistor configurations can be implemented using fin field effect transistors. The cross-connect layout of Figures 35A-69A is shown in the background of the two-input multiplexer circuit (MUX2). According to several embodiments of the present invention, FIG. 35C shows a circuit diagram of the layout of FIGS. 35A / B to 47A / B and 63A / B to 67A / B. According to several embodiments of the present invention, FIG. 48C shows a circuit diagram of the layout of FIGS. 48A / B to 58A / B. According to several embodiments of the present invention, FIG. 59C shows a circuit diagram of the layout of FIG. 59A / B. According to several embodiments of the present invention, FIG. 60C shows a circuit diagram of the layout of FIGS. 60A / B to 62A / B and 68A / B to 69A / B. According to some embodiments of the present invention, FIG. 71C shows a circuit diagram of the layout of FIGS. 71A / B and 77A / B. According to several embodiments of the present invention, FIG. 72C shows a circuit diagram of the layout of FIGS. 72A / B to 76A / B. Transistors on the left and right edges are added to the cross connection to achieve the MUX2 function. For other functions with cross-connect circuits, these may be different. FIGS. 35B-69B show the same layouts as those in FIGS. 35A-69A, respectively. The layouts are depicted in a merged form for clarity, and circuit icons based on the component layouts show the nodes of the circuit. In addition, the cross-connect transistor wiring in FIGS. 35A-69A is marked with lines cc1 and cc2.

圖35A/B至47A/B及63A/B至67A/B顯示在邏輯路徑二者上具有傳輸閘極的交叉連接電晶體配置,其需要所有內部節點具有p型和n型之間的連接。圖48A/B至57A/B顯示顯示交叉連接電晶體配置,其中具有使用較大電晶體之在邏輯路徑上的傳輸閘極,以及在其他路徑上的三態閘極。三態閘極不需要於內部節點上之p型擴散和n型擴散之間的接線。Figures 35A / B to 47A / B and 63A / B to 67A / B show a cross-connect transistor configuration with transmission gates on both logic paths, which requires all internal nodes to have a connection between p-type and n-type. Figures 48A / B to 57A / B show the configuration of a cross-connected transistor with a transmission gate on the logic path that uses a larger transistor, and a tri-state gate on the other path. The tri-state gate does not require wiring between p-type diffusion and n-type diffusion on internal nodes.

圖58A/B至59A/B顯示交叉連接電晶體配置,其中具有利用較小電晶體之在邏輯路徑上傳輸閘極,以及在其他路徑上的三態閘極。三態閘極不需要於內部節點上之p型擴散和n型擴散之間的接線。Figures 58A / B to 59A / B show a cross-connect transistor configuration with a transmission gate on a logic path that uses a smaller transistor, and a tri-state gate on other paths. The tri-state gate does not require wiring between p-type diffusion and n-type diffusion on internal nodes.

圖60A/B至62A/B及68A/B至69A/B顯示在邏輯路徑二者上具有三態閘極的交叉連接電晶體配置。Figures 60A / B to 62A / B and 68A / B to 69A / B show cross-connect transistor configurations with tri-state gates on both logic paths.

圖63A/B至69A/B顯示具有相等於n型擴散鰭部數量之p型擴散鰭部數量的元件佈局。其他圖35A/B至62A/B其中若干顯示具有不相等於n型擴散鰭部數量之p型擴散鰭部數量的元件佈局。63A / B to 69A / B show device layouts having the number of p-type diffusion fins equal to the number of n-type diffusion fins. Other FIGS. 35A / B to 62A / B, some of which show device layouts having a number of p-type diffusion fins that is not equal to the number of n-type diffusion fins.

圖40A/B顯示使用水平/垂直局部內連線結構之間較緊密間距的元件佈局。圖37A/B、45A/B、及49A/B顯示使用擴散鰭部之間較大間距的元件佈局範例。圖63A/B至69A/B顯示使用擴散鰭部之間較緊密間距的元件佈局範例。圖43A/B及44A/B顯示利用一擴散鰭部作為一配線的元件佈局範例。Figure 40A / B shows the layout of components using tighter spacing between horizontal / vertical local interconnect structures. 37A / B, 45A / B, and 49A / B show examples of device layouts that use a larger spacing between diffusion fins. Figures 63A / B to 69A / B show examples of device layouts using tighter spacing between diffusion fins. 43A / B and 44A / B show examples of device layouts using a diffusion fin as a wiring.

圖35A/B至41A/B、48A/B至65A/B、及68A/B至69A/B顯示利用沒有分離閘極之密集閘極電極結構實施方式的元件佈局範例。圖42A/B至47A/B及66A/B至67A/B顯示利用具較少配線及較大電晶體尺寸的使用分離閘極實施方式的元件佈局範例。35A / B to 41A / B, 48A / B to 65A / B, and 68A / B to 69A / B show examples of device layouts using dense gate electrode structure implementations without separate gates. 42A / B to 47A / B and 66A / B to 67A / B show examples of device layouts using separate gate implementations with less wiring and larger transistor size.

圖35A/B至69A/B顯示元件佈局範例,其展示用於各種元件佈局之數個不同的佈線範例。圖35A/B至69A/B顯示元件佈局範例,其展示使用全部填滿之閘極電極層,包含閘極電極端蓋的延伸部及使用可能在閘極電極層之內的虛設結構。在圖35A/B至69A/B所顯示的若干元件佈局,顯示在元件頂部和底部沒有切割之虛設閘極電極層結構,亦即是在製造過程期間切割遮罩操作之前。若干元件佈局,例如圖53A/B至55A/B及66A/B,顯示其中刪除電源匯流排的例示元件佈局。Figures 35A / B to 69A / B show examples of component layouts, which show several different wiring examples for various component layouts. Figures 35A / B to 69A / B show examples of display element layouts showing the use of fully filled gate electrode layers, including extensions of gate electrode end caps and the use of dummy structures that may be within the gate electrode layer. Several device layouts shown in FIGS. 35A / B to 69A / B show the structure of the dummy gate electrode layer without cutting on the top and bottom of the device, that is, before the cutting mask operation during the manufacturing process. Several component layouts, such as FIGS. 53A / B to 55A / B and 66A / B, show exemplary component layouts in which the power bus is deleted.

圖35A/B至69A/B的交叉連接電晶體構造,包含在各層上以及層的組合上所形成的結構,並且許多以上所提及的元件佈局特徵可互相獨立地應用。應理解的是,圖35A/B至69A/B的元件佈局顯示可利用基於鰭式場效電晶體交叉連接電晶體構造而實行的範例,且不代表可能元件佈局配置的所有包含集合。在圖35A/B至69A/B的各種元件佈局範例中所展示的任何特徵,可加以組合而產生額外的元件佈局。The cross-connect transistor structure of FIGS. 35A / B to 69A / B includes structures formed on layers and combinations of layers, and many of the above-mentioned element layout features can be applied independently of each other. It should be understood that the device layout of FIGS. 35A / B to 69A / B shows an example that can be implemented using a fin field effect transistor cross-connected transistor structure, and does not represent all inclusive sets of possible device layout configurations. Any of the features shown in the various device layout examples of FIGS. 35A / B to 69A / B can be combined to produce additional device layouts.

光學解析度不足以直接解析線圖案的技術,將會使用若干型式的節距分割(pitch division)。節距分割可在可達成的解析度上經由多個曝光步驟或利用間格部加以自對準。舉例來說,對於使用水浸最末透鏡的ArF準分子雷射掃描器及曝光之晶圓的一部分,光學解析度係限制至~40 nm。這相當於1.35有效數值孔徑與波長193 nm的k1值0.28。對於擴散鰭部層和閘極電極層和利用節距分割所形成的其他層(例如間隔物雙重圖案化、間隔物四重圖案化、多重曝光微影-蝕刻-微影-蝕刻等等),縱使佈局係以傳導結構用(即用於該等線)的均勻節距(縱向中心線至縱向中心線節距)加以進行,製造後傳導結構可能由於處理變異而輕微地偏離目標,使得最終晶圓上有多個(例如:二、四等等)節距。The technology with insufficient optical resolution to directly analyze the line pattern will use several types of pitch division. Pitch division can be self-aligned through multiple exposure steps or using compartments at achievable resolution. For example, for the ArF excimer laser scanner using a water immersion last lens and a portion of the exposed wafer, the optical resolution is limited to ~ 40 nm. This corresponds to an effective numerical aperture of 1.35 and a k1 value of 0.28 at a wavelength of 193 nm. For the diffusion fin layer and gate electrode layer and other layers formed by pitch division (such as spacer double patterning, spacer quad patterning, multiple exposure lithography-etching-lithography-etching, etc.), Even if the layout is carried out with a uniform pitch (longitudinal centerline to longitudinal centerline pitch) for the conductive structure (that is, for the isolines), the conductive structure may slightly deviate from the target due to processing variations after manufacturing, making the final crystal There are multiple (eg, two, four, etc.) pitches on the circle.

可與自對準間隔物方式或多重微影曝光法一起,應用節距分割多次,例如以二節距分割(pitch-division-by-2)、以四節距分割(pitch-division-by-4)。以四節距分割已被記載達成約11 nm的線/間格。節距分割的一個限制係結果的線圖案可在圖案之內具有些微不同的節距。對於以二節距分割,這意指二條線的群組將具有一個節距,其下一個二條線的群組可能具有些微不同的節距,下一個二線群組將會具有與第一群組相等的節距等等。在所完成晶圓上的結果將會是意欲達到具均勻的、固定的節距的線,但該等最終會具有二或四或其他多種的節距。對於自對準間隔部,將依一固定的、均勻的節距繪製原始核心線圖案。對於多重曝光,曝光每一者將會具有以均勻固定節距所繪製的線。由節距分割過程所引入的非均勻節距可能會在10%或更少最終節距的等級。舉例來說,對於最終目標節距50 nm,二條線群組每一者的節距可能有小於5 nm的差異。受限制的閘極階層佈局結構 Can be used with self-aligned spacer method or multiple lithography exposure method to apply pitch division multiple times, such as two-pitch division (pitch-division-by-2), four-pitch division (pitch-division-by -4). The division at four pitches has been recorded to achieve a line / space of about 11 nm. A limitation of the pitch division is that the resulting line pattern can have a slightly different pitch within the pattern. For splitting by two pitches, this means that the two-line group will have a pitch, the next two-line group may have a slightly different pitch, and the next two-line group will have the same pitch as the first group Set equal pitches and so on. The result on the finished wafer will be the line intended to have a uniform, fixed pitch, but these will eventually have a pitch of two or four or more. For self-aligned spacers, the original core line pattern will be drawn at a fixed, uniform pitch. For multiple exposures, each of the exposures will have lines drawn at a uniform fixed pitch. The non-uniform pitch introduced by the pitch division process may be in the order of 10% or less of the final pitch. For example, for a final target pitch of 50 nm, the pitch of each of the two line groups may be less than 5 nm. Restricted gate hierarchy layout structure

如以上所探討,包含鰭式場效電晶體的各種電路佈局,可在一限制的閘極階層佈局結構之內加以施行。對於閘極階層,數條平行虛擬線定義成延伸通過該佈局。這些平行虛擬線係稱作閘極電極軌道,因為它們係用以指示在佈局之內各種電晶體的閘極電極的配置。在若干實施例中,形成閘極電極軌道的平行虛擬線,係由與指定的閘極電極節距相等的其間垂直間距加以界定。因此,在閘極電極軌道上的閘極電極區段的配置係對應於該指定的閘極電極節距。在另一實施例中,閘極電極軌道可由大於或等於一指定閘極電極節距的可變節距加以分隔開。As discussed above, various circuit layouts including fin field effect transistors can be implemented within a limited gate hierarchy layout structure. For the gate hierarchy, several parallel virtual lines are defined to extend through the layout. These parallel virtual lines are called gate electrode tracks because they are used to indicate the configuration of the gate electrodes of various transistors within the layout. In several embodiments, the parallel virtual lines forming the gate electrode tracks are defined by the vertical spacing therebetween that is equal to the specified gate electrode pitch. Therefore, the arrangement of the gate electrode sections on the gate electrode track corresponds to the specified gate electrode pitch. In another embodiment, the gate electrode tracks may be separated by a variable pitch greater than or equal to a specified gate electrode pitch.

根據本發明若干實施例,圖70A顯示在受限制的閘極階層佈局結構之內所定義的閘極電極軌道70-1A至70-1E的範例。閘極電極軌道70-1A至70-1E係由延伸通過晶片的閘極階層佈局的平行虛擬線所形成,其具有等於一指定閘極電極節距70-3的垂直間距於其間。According to several embodiments of the present invention, FIG. 70A shows an example of gate electrode tracks 70-1A to 70-1E defined within a restricted gate hierarchy layout structure. The gate electrode tracks 70-1A to 70-1E are formed by parallel imaginary lines extending through the gate hierarchy layout of the wafer, with a vertical pitch equal to a specified gate electrode pitch 70-3 in between.

在受限制的閘極階層佈局結構之內,閘極階層特徵佈局通道係關於一特定閘極電極軌道而加以定義,以在與該特定閘極電極軌道相鄰的閘極電極軌道之間延伸。舉例來說,閘極階層特徵佈局通道70-5A至70-5E係分別關於閘極電極軌道70-1A至70-1E而加以定義。應理解的是,各閘極電極軌道具有對應的閘極階層特徵佈局通道。此外,對於配置成與一規定的佈局空間的邊緣相鄰(例如與元件邊界相鄰)之閘極電極軌道,對應的閘極階層特徵佈局通道延伸成就如在該規定佈局空間外側的虛擬閘極電極軌道,如閘極階層特徵佈局通道70-5A及70-5E所描述。更應理解的是,各閘極階層特徵佈局通道係定義成沿著其對應閘極電極軌道的全部長度延伸。因此,各閘極階層特徵佈局通道係定義成延伸通過在該閘極階層佈局所關聯之晶片的一部份之內的該閘極階層佈局。Within the restricted gate hierarchy layout structure, the gate hierarchy feature layout channel is defined with respect to a specific gate electrode track to extend between the gate electrode tracks adjacent to the specific gate electrode track. For example, the gate-level feature layout channels 70-5A to 70-5E are defined with respect to the gate electrode tracks 70-1A to 70-1E, respectively. It should be understood that each gate electrode track has a corresponding gate hierarchy feature layout channel. In addition, for gate electrode tracks that are configured to be adjacent to the edge of a specified layout space (eg, adjacent to the device boundary), the corresponding gate-level feature layout channel extension results as a virtual gate outside the specified layout space The electrode tracks are as described in the gate hierarchy feature layout channels 70-5A and 70-5E. It should be further understood that each gate level feature layout channel is defined to extend along the entire length of its corresponding gate electrode track. Therefore, each gate-level feature layout channel is defined to extend through the gate-level layout within a portion of the chip associated with the gate-level layout.

在受限制的閘極階層佈局結構中,與一特定閘極電極軌道相關聯的閘極階層特徵係定義在與該特定閘極電極軌道相關聯的閘極階層特徵佈局通道之內。一連續的閘極階層特徵可包含定義一電晶體的閘極電極的部分(如此處所揭露的鰭式場效電晶體)、及未定義一電晶體的閘極電極的部分二者。因此,一連續閘極階層特徵可延伸於一擴散區域(即擴散鰭部)及一下方晶片階層的介電區域二者上方。In a restricted gate hierarchy layout structure, the gate hierarchy features associated with a specific gate electrode track are defined within the gate hierarchy feature layout channel associated with the specific gate electrode track. A continuous gate hierarchy feature may include both the part defining the gate electrode of a transistor (such as the fin field effect transistor disclosed herein) and the part not defining the gate electrode of a transistor. Therefore, a continuous gate level feature can extend above both a diffusion area (ie, diffusion fin) and a lower wafer level dielectric area.

在若干實施例中,將形成一電晶體的閘極電極的閘極階層特徵的各部分,配置成實質上位於一特定閘極電極軌道的中心。此外,在這個實施例中,不形成一電晶體的閘極電極的閘極階層特徵的部分,可配置於與該特定閘極軌道相關聯的閘極階層特徵佈局通道之內。因此,一特定閘極階層特徵可實質上定義在一特定閘極階層特徵佈局通道之內的任何位置,只要該特定閘極階層特徵的閘極電極部分在對應該特定閘極階層佈局特徵的閘極電極軌道的中心,且只要該特定閘極階層特徵相對於在相鄰閘極階層佈局通道中的其他閘極階層特徵符合設計法則間距規定。此外,定義於與相鄰閘極電極軌道相關聯的閘極階層特徵通道中的閘極階層特徵之間的物理性接觸係不允許的。In some embodiments, the portions of the gate level feature that form the gate electrode of a transistor are configured to be substantially in the center of a particular gate electrode track. In addition, in this embodiment, the portion of the gate-level feature that does not form the gate electrode of a transistor can be configured within the gate-level feature layout channel associated with the particular gate track. Therefore, a specific gate level feature can be defined substantially anywhere within a specific gate level feature layout channel, as long as the gate electrode portion of the specific gate level feature is in the gate corresponding to the specific gate level feature The center of the pole electrode track, and as long as the specific gate level feature meets the design rule spacing relative to other gate level features in the adjacent gate level layout channel. In addition, physical contact between gate-level features defined in the gate-level feature channels associated with adjacent gate electrode tracks is not allowed.

根據本發明若干實施例,圖70B顯示圖70A的例示受限制的閘極階層佈局結構,其具有數個例示閘極階層特徵7001-7008定義於其中。閘極階層特徵7001係定義在與閘極電極軌道70-1A相關聯的閘極階層特徵佈局通道70-5A之內。閘極階層特徵7001的閘極電極部分係實質上位於閘極電極軌道70-1A的中心。此外,閘極階層特徵7001的非閘極電極部分維持設計規則間距規定,其中閘極階層特徵7002及7003係定義在相鄰閘極階層特徵佈局通道70-5B之內。類似地,閘極階層特徵7002-7008係定義在其各自閘極階層特徵佈局通道之內,且其閘極電極部分位於對應其各自閘極階層特徵佈局通道的閘極電極軌道上的中心。此外,應瞭解的是,閘極階層特徵7002-7008每一者係維持設計規則間距規定,其中閘極階層特徵係定義在相鄰閘極階層特徵佈局通道之內,且避免與相鄰閘極階層特徵佈局通道之內所定義的任何另外的閘極階層特徵之物理性接觸。According to several embodiments of the present invention, FIG. 70B shows the exemplary restricted gate hierarchy layout structure of FIG. 70A, which has several exemplary gate hierarchy features 7001-7008 defined therein. The gate hierarchy feature 7001 is defined within the gate hierarchy feature layout channel 70-5A associated with the gate electrode track 70-1A. The gate electrode portion of the gate level feature 7001 is located substantially at the center of the gate electrode track 70-1A. In addition, the non-gate electrode portion of the gate-level feature 7001 maintains the design rule spacing requirement, wherein the gate-level features 7002 and 7003 are defined within the adjacent gate-level feature layout channels 70-5B. Similarly, gate-level features 7002-7008 are defined within their respective gate-level feature layout channels, and their gate electrode portions are centered on the gate electrode tracks corresponding to their respective gate-level feature layout channels. In addition, it should be understood that each of the gate-level features 7002-7008 maintains the design rule spacing requirement, where the gate-level features are defined within the adjacent gate-level feature layout channels and avoid contact with adjacent gates The physical contact of any additional gate hierarchical features defined within the hierarchical feature layout channel.

一閘極電極係對應在一擴散結構上方(亦即是擴散鰭部上方)延伸的一各自的閘極階層特徵的一部份,其中將各自的閘極階層特徵整體地定義於一閘極階層特徵佈局通道之內。在沒有物理性接觸在相鄰閘極階層特徵佈局通道之內所定義的另一閘極階層特徵的狀況下,各閘極階層特徵係定義在其閘極階層特徵佈局通道之內。如圖70B的例示閘極階層特徵佈局通道70-5A至70-5E所描述,各閘極階層特徵佈局通道係與一特定閘極電極軌道相關聯,且對應一佈局區域,該佈局區域沿著該特定閘極電極軌道延伸,且在自該特定閘極電極軌道至相鄰閘極電極軌道或佈局邊界外側的一虛擬閘極電極軌道任一者之其中最接近者的各個相反方向上垂直向外延伸。A gate electrode corresponds to a part of a respective gate level feature extending above a diffusion structure (ie, above the diffusion fin), wherein the respective gate level feature is defined as a gate level as a whole Feature layout within the channel. Without physical contact with another gate-level feature defined within the adjacent gate-level feature layout channel, each gate-level feature is defined within its gate-level feature layout channel. As illustrated in the example gate-level feature layout channels 70-5A to 70-5E of FIG. 70B, each gate-level feature layout channel is associated with a specific gate electrode track and corresponds to a layout area along the layout area The specific gate electrode track extends vertically in each opposite direction from the specific gate electrode track to an adjacent gate electrode track or any one of a virtual gate electrode track outside the layout boundary, the closest of which is the closest Outside extension.

若干閘極階層特徵可具有一個以上接觸頭部(contact head)部分,其定義在沿其長度的任何數量的位置處。一特定閘極階層特徵的接觸頭部部分係定義成具有足夠尺寸的高度和寬度的閘極階層特徵區段,以容納閘極接觸窗結構。在這個實例中,「寬度」係在垂直於該特定閘極階層特徵的閘極電極軌道的方向上橫越基板而加以定義,且「高度」係在平行於該特定閘極階層特徵的閘極電極軌道的方向上橫越基板而定義。取決於在一元件之內閘極階層特徵的定向,閘極階層特徵寬度和高度可對應或可不對應元件寬度W及元件高度H。應瞭解的是,一閘極階層特徵的接觸頭部,當自上方觀察,可由實質上任何佈局形狀加以定義,該佈局形狀包含正方形或矩形。此外,取決於佈局需求和電路設計,一閘極階層特徵的一特定接觸頭部部分可具有或可不具有定義於其上方的一閘極接觸窗。Several gate level features may have more than one contact head portion, which is defined at any number of locations along its length. The contact head portion of a particular gate-level feature is defined as a gate-level feature section having a height and width of sufficient size to accommodate the gate contact window structure. In this example, "width" is defined across the substrate in a direction perpendicular to the gate electrode track of the specific gate level feature, and "height" is defined as the gate parallel to the specific gate level feature The direction of the electrode track is defined across the substrate. Depending on the orientation of the gate-level features within an element, the gate-level feature width and height may or may not correspond to the element width W and element height H. It should be understood that the contact head of a gate level feature, when viewed from above, can be defined by virtually any layout shape, which includes a square or a rectangle. In addition, depending on layout requirements and circuit design, a particular contact head portion of a gate hierarchy feature may or may not have a gate contact window defined above it.

揭露於此處的若干實施例的一閘極階層係定義成一受限制的閘極階層,如上所述。該等閘極階層特徵其中若干形成電晶體裝置的閘極電極。該等閘極階層特徵的其他者可形成延伸於該閘極階層之內二點之間的傳導區段。此外該等閘極階層特徵的其他者可相對於積體電路操作無功能。應理解的是,無論功能,在沒有物理性接觸由相鄰閘極階層特徵佈局通道所定義的其他閘極階層特徵的狀況下,各閘極階層特徵係定義成在其各自閘極階層特徵佈局通道之內延伸通過該閘極階層。A gate hierarchy defined in several embodiments disclosed herein is defined as a restricted gate hierarchy, as described above. Some of these gate level features form gate electrodes of transistor devices. The other of these gate level features may form a conductive section extending between two points within the gate level. In addition, others of these gate-level features can operate non-functionally with respect to integrated circuits. It should be understood that, regardless of the function, without physical contact with other gate-level features defined by the adjacent gate-level feature layout channels, each gate-level feature is defined as its own gate-level feature layout The gate level extends through the channel.

在若干實施例中,閘極階層特徵係定義成提供有限數量的受控制的佈局形狀對形狀微影交互作用,其在製造和設計過程中可精確地加以預測和予以最佳化。在這個實施例中,閘極階層特徵係定義成用以避免會在佈局之內引入不利的微影交互作用的佈局形狀對形狀空間關係,該不利的微影交互作用無法準確地加以預測且不具高度可能性加以減輕。然而,應理解的是,當對應的微影交互作用係可預測的且可控制的,在閘極階層特徵的閘極階層佈局通道之內閘極階層特徵方向上的變化係可接受的。In several embodiments, the gate hierarchy feature is defined to provide a limited number of controlled layout shapes to shape lithography interactions, which can be accurately predicted and optimized during manufacturing and design. In this embodiment, the gate hierarchy feature is defined to avoid the layout shape-to-shape spatial relationship that would introduce adverse lithographic interactions within the layout. The adverse lithographic interactions cannot be accurately predicted and have no High possibility to mitigate. However, it should be understood that when the corresponding lithographic interaction is predictable and controllable, changes in the direction of the gate hierarchy feature within the gate hierarchy layout channel of the gate hierarchy feature are acceptable.

應理解的是,無論功能,閘極階層特徵每一者係定義成,沒有沿著一特定閘極電極軌道的閘極階層特徵係建構成自閘極階層之內直接連接至沿著的不同閘極電極軌道所定義的另一閘極階層特徵而沒有利用非閘極階層特徵。此外,配置於與不同閘極電極軌道相關聯的不同閘極階層佈局通道之內的閘極階層特徵之間的各接線,係製作成穿過可定義於較高內連線階層的一個以上非閘極階層特徵,亦即是穿過閘極階層上方一或多內連線階層,或藉由在閘極階層或於閘極階層下方的局部內連線特徵所製作。It should be understood that regardless of the function, each gate level feature is defined as a gate level feature that does not follow a specific gate electrode track is constructed from different gates directly connected within the gate level to Another gate level feature defined by the pole electrode track does not utilize the non-gate level feature. In addition, the connections between the gate level features within different gate level layout channels associated with different gate electrode tracks are made to pass through more than one Gate level features, that is, pass through one or more interconnect levels above the gate level, or are made by local interconnect features at or below the gate level.

根據本發明若干實施例,圖71A/B至77A/B顯示數個例示SDFF電路佈局,其利用基於傳輸和三態閘極二者的交叉連接電路結構。根據本發明若干實施例,圖71C顯示圖71A/B和77A/B的電路圖。根據本發明若干實施例,圖72C顯示圖73A/B至76A/B的電路圖。圖71B-77B顯示分別與圖71A-77A相同的佈局,其中為了清楚的緣故將佈局以合併方式描繪,且電路的節點係基於元件佈局電路圖而加以標示。圖71A/B至77A/B的例示SDFF電路佈局包含以下特徵: 1. 閘極導體: a. 實質上均勻分隔開的閘極導體。 b. 利用切割遮罩所形成的相同的閘極導體線端間隙,其與大的閘極導體線端間隙結合以避免局部互連,或者若有足夠空間容許不須切割之較大閘極導體線端間隙。 c. 在若干實例中,若干閘極導體係用以作為佈線以減少金屬層利用率,亦即是降低較高階層內連線利用率。 2. 擴散鰭部: a. 實質上均勻分隔開的擴散鰭部。 b. 在p型和n型之間以及頂部和底部元件邊緣,將擴散鰭部刪除。 c. 擴散鰭部寬度對間距關係可變化,或可具有實質上相等的關係,如在圖71A/B至77A/B的範例中所描繪。 3. 局部內連線: a. 局部內連線結構可直接連接至擴散鰭部和閘極導體。 b. 局部內連線結構可經由一接觸層連接至金屬層1(met1或M1)。 c. 水平和垂直局部內連線結構,例如以例示為目的的圖76A/B所顯示者,可利用獨立的設計層加以製造,亦即是利用獨立的遮罩層加以製造。 d. 水平和垂直局部內連線結構可在相同的層,即在相同的遮罩層,如圖71A/B至圖75A/B及77A/B的範例中所顯示。此外,在製造期間,水平和垂直局部內連線結構可用二個不同的步驟或以一單一步驟加以製作。 e. 局部內連線結構可具有與擴散鰭部和閘極導體之正、零、或負重疊。 f. 垂直局部內連線可具有與閘極導體相似的節距,且具有自閘極導體半節距偏移。 4. 接觸窗: a. 接觸窗可定義成用以連接局部內連線結構至金屬層1(met1或M1)。 b. 局部內連線結構可具有在接觸窗上之正、零、或負重疊。 c. 金屬層1(met1或M1)可具有在接觸窗上之正、零、或負重疊。 5. 金屬層2(met2或M2) a. 在若干實施例中,金屬層2結構可為單方向的,即線形。 b. 金屬層2結構可在水平(x)及/或垂直(y)方向上延伸。According to several embodiments of the present invention, FIGS. 71A / B to 77A / B show several exemplary SDFF circuit layouts that utilize cross-connect circuit structures based on both transmission and tri-state gates. According to several embodiments of the present invention, FIG. 71C shows circuit diagrams of FIGS. 71A / B and 77A / B. According to several embodiments of the present invention, FIG. 72C shows the circuit diagrams of FIGS. 73A / B to 76A / B. 71B-77B show the same layouts as in FIGS. 71A-77A, respectively, where the layout is depicted in a merged manner for clarity, and the nodes of the circuit are marked based on the component layout circuit diagram. The illustrated SDFF circuit layouts in Figures 71A / B to 77A / B include the following features: 1. Gate conductors: a. Substantially evenly spaced gate conductors. b. Using the same gate conductor gap formed by the cutting mask, it is combined with the large gate conductor gap to avoid local interconnection, or if there is enough space to allow a larger gate conductor without cutting Line end gap. c. In some examples, several gate conduction systems are used as wiring to reduce the utilization rate of the metal layer, that is, to reduce the utilization rate of the interconnection of higher levels. 2. Diffusion fins: a. Diffusion fins that are substantially evenly spaced apart. b. Remove the diffusion fins between the p-type and n-type and the top and bottom element edges. c. The relationship between the width of the diffusion fins and the pitch may vary, or may have a substantially equal relationship, as depicted in the examples of FIGS. 71A / B to 77A / B. 3. Local interconnection: a. Local interconnection structure can be directly connected to the diffuser fin and gate conductor. b. The local interconnect structure can be connected to the metal layer 1 (met1 or M1) via a contact layer. c. Horizontal and vertical local interconnect structures, such as those shown in Figure 76A / B for illustration purposes, can be manufactured using separate design layers, that is, using separate mask layers. d. The horizontal and vertical local interconnect structures can be on the same layer, that is, on the same mask layer, as shown in the examples of Figures 71A / B to 75A / B and 77A / B. In addition, during manufacturing, the horizontal and vertical local interconnect structures can be fabricated in two different steps or in a single step. e. The local interconnect structure may have positive, zero, or negative overlap with the diffusion fin and gate conductor. f. The vertical partial interconnection may have a pitch similar to that of the gate conductor, and have a half-pitch offset from the gate conductor. 4. Contact window: a. The contact window can be defined to connect the local interconnect structure to the metal layer 1 (met1 or M1). b. The local interconnect structure may have positive, zero, or negative overlap on the contact window. c. The metal layer 1 (met1 or M1) may have a positive, zero, or negative overlap on the contact window. 5. Metal layer 2 (met2 or M2) a. In several embodiments, the structure of the metal layer 2 may be unidirectional, that is, linear. b. The metal layer 2 structure can extend in the horizontal (x) and / or vertical (y) direction.

圖71A/B的例示SDFF電路佈局顯示以下其所包含的特徵: l 金屬層2係不用於內部佈線。 l 金屬層2係用於電源軌。 l 使用三態和傳輸閘極交叉連接電晶體結構。 l 局部內連線結構在水平(x)和垂直(y)方向上延伸。 l 若干閘極導體係用以作為佈線,且不形成一電晶體的閘極電極。 l 在各種位置和組合配置閘極導體切割。 l 閘極導體切割在尺寸上一致。 l 閘極導體層係全部被填滿的,亦即是至少一個閘極導體係配置於元件內各可使用的閘極導體節距位置。The example SDFF circuit layout of FIG. 71A / B shows the following features it contains: l The metal layer 2 system is not used for internal wiring. l Metal layer 2 is used for power rails. l Use three-state and transmission gate to cross connect transistor structure. l The partial interconnection structure extends in the horizontal (x) and vertical (y) directions. l Several gate conduction systems are used for wiring, and the gate electrode of a transistor is not formed. l Configure gate conductor cutting in various positions and combinations. l The gate conductor cutting is consistent in size. l The gate conductor layer is completely filled, that is, at least one gate conductor system is arranged at each available gate conductor pitch position in the device.

圖72A/B的例示SDFF電路佈局顯示包含的以下特徵: l 金屬層2結構係用於在垂直(y)方向上的內部佈線。 l 較圖71A/B的範例密集的電路佈局。 l 使用三態和傳輸閘極二者的交叉連接電晶體結構。 l 閘極導體層係全部填滿的,亦即是至少一個閘極導體係配置於元件內各可使用的閘極導體節距位置。 l 顯示閘極導體切割。 l 在各種組合及/或位置使用實質上一致的閘極導體切割,以將佈局最佳化。The example SDFF circuit layout shown in FIG. 72A / B shows the following features included: The metal layer 2 structure is used for internal wiring in the vertical (y) direction. l A denser circuit layout than the example in Figure 71A / B. l Use the cross-connect transistor structure of both tri-state and transmission gate. l The gate conductor layer is completely filled, that is, at least one gate conductor system is arranged at each available pitch position of the gate conductor in the element. l Show gate conductor cutting. l Use substantially consistent gate conductor cutting in various combinations and / or locations to optimize the layout.

圖73A/B的例示SDFF電路佈局顯示SDFF電路的一版本,其將閘極導體和金屬層2二者使用於垂直(y方向)佈線。圖74A/B的例示SDFF電路佈局顯示SDFF電路一版本,其將水平定向(即在x方向)的金屬層2結構使用於內部佈線。圖75A/B的例示SDFF電路佈局顯示SDFF電路的一替代版本,其亦將水平定向(即在x方向)的金屬層2結構使用於內部佈線。圖76A/B的例示SDFF電路佈局顯示圖72A/B佈局的變形,其中將水平局部內連線和垂直局部內連線使用為獨立的導體,以容許內部金屬層2導體的移除。圖77A/B的例示SDFF電路佈局顯示部分的SDFF佈局,其描述用以定義電路結構之替代方式,以將金屬層2的使用最小化並將電晶體密度最大化。The example SDFF circuit layout of FIGS. 73A / B shows a version of the SDFF circuit that uses both the gate conductor and the metal layer 2 for vertical (y direction) wiring. The example SDFF circuit layout of FIGS. 74A / B shows a version of the SDFF circuit that uses a horizontally oriented (ie, in the x direction) metal layer 2 structure for internal wiring. The exemplary SDFF circuit layout of FIG. 75A / B shows an alternative version of the SDFF circuit, which also uses a horizontally oriented (ie, in the x direction) metal layer 2 structure for internal wiring. The example SDFF circuit layout of FIGS. 76A / B shows a variation of the layout of FIG. 72A / B, in which horizontal partial interconnects and vertical partial interconnects are used as independent conductors to allow the removal of the inner metal layer 2 conductors. 77A / B illustrates the SDFF layout of the SDFF circuit layout display section, which describes an alternative way to define the circuit structure to minimize the use of the metal layer 2 and maximize the transistor density.

應理解的是,基於電路佈局和此處所提供的說明,在若干實施例中可使用一個以上以下特徵: l 共同對準及相鄰配置的擴散鰭部端部之間的間隔距離(即擴散鰭部切割距離)可小於閘極電極節距的尺寸, l 垂直局部內連線結構可在擴散鰭部的一個邊緣(水平定向的邊緣)上與一擴散鰭部(其係水平定向的)重疊;在此情況下,用以分開垂直局部內連線結構的若干切割(在一切割遮罩中)可定義成與一擴散鰭部接觸或重疊, l 一水平局部內連線結構可在閘極電極結構的一邊緣(垂直定向的邊緣)上與一閘極電極結構(其為垂直定向的)重疊, l 閘極端蓋的尺寸(即閘極電極結構延伸超出下方擴散鰭部的距離)可小於一個以上擴散鰭部節距的尺寸,或小於平均擴散鰭部節距的尺寸, l 共同對準和相鄰配置的閘極電極結構端部之間的間隔距離(即閘極電極結構切割距離)可小於或等於一個以上擴散鰭部節距的尺寸,或小於平均擴散鰭部節距的尺寸, l 相鄰配置的n型和p型擴散鰭部之間的縱向中心線間隔距離(如在垂直於擴散鰭部的方向上所測得)可定義為一個以上擴散鰭部節距的整數倍數,或為平均擴散鰭部節距的整數倍數。It should be understood that, based on the circuit layout and the description provided here, more than one of the following features may be used in several embodiments: l Commonly aligned and the spacing distance between the ends of the diffusion fins in adjacent configurations (ie, diffusion fins) The cutting distance) can be smaller than the gate electrode pitch. L The vertical local interconnect structure can overlap with a diffusion fin (which is horizontally oriented) on one edge of the diffusion fin (horizontally oriented edge); In this case, several cuts (in a cutting mask) used to separate the vertical local interconnect structure can be defined as contacting or overlapping with a diffusion fin. L A horizontal local interconnect structure can be located at the gate electrode One edge of the structure (vertically oriented edge) overlaps with a gate electrode structure (which is vertically oriented). L The size of the gate electrode cover (that is, the distance that the gate electrode structure extends beyond the diffusion fin below) can be less than one The size of the above diffusion fin pitch, or the size smaller than the average diffusion fin pitch, l The distance between the ends of the gate electrode structure that are commonly aligned and adjacently arranged (ie, the gate Electrode structure cutting distance) can be less than or equal to the size of more than one diffusion fin pitch, or less than the average diffusion fin pitch size, l the longitudinal centerline spacing between adjacent n-type and p-type diffusion fins The distance (as measured in the direction perpendicular to the diffusion fins) can be defined as an integer multiple of more than one diffusion fin pitch, or an integer multiple of the average diffusion fin pitch.

在一例示實施例中,半導體裝置包含一基板、一第一電晶體、及一第二電晶體。該第一電晶體具有在一第一擴散鰭部之內的一源極區域及一汲極區域。該第一擴散鰭部係建構成自該基板的一表面突出。該第一擴散鰭部係建構成自該第一擴散鰭部的一第一端部至該第一擴散鰭部的一第二端部在一第一方向上縱向延伸。該第二電晶體具有在一第二擴散鰭部之內的一源極區域及一汲極區域。該第二擴散鰭部係建構成自該基板的該表面突出。該第二擴散鰭部係建構成自該第二擴散鰭部的一第一端部至該第二擴散鰭部的一第二端部在該第一方向上縱向延伸。該第二擴散鰭部係配置成緊鄰該第一擴散鰭部且與該第一擴散鰭部分隔開。此外該第二擴散鰭部的該第一端部或該第二端部任一者係配置在該第一方向上介於該第一擴散鰭部的該第一端部和該第二端部之間。In an exemplary embodiment, the semiconductor device includes a substrate, a first transistor, and a second transistor. The first transistor has a source region and a drain region within a first diffusion fin. The first diffusion fin is constructed to protrude from a surface of the substrate. The first diffusion fin is constructed to extend longitudinally in a first direction from a first end of the first diffusion fin to a second end of the first diffusion fin. The second transistor has a source region and a drain region within a second diffusion fin. The second diffusion fin is configured to protrude from the surface of the substrate. The second diffusion fin is constructed to extend longitudinally in the first direction from a first end of the second diffusion fin to a second end of the second diffusion fin. The second diffusion fin is configured to be adjacent to the first diffusion fin and spaced apart from the first diffusion fin. In addition, either the first end or the second end of the second diffusion fin is disposed between the first end and the second end of the first diffusion fin in the first direction between.

上述第一和第二電晶體可位於第二方向上的不同位置。此外,該第一和第二電晶體每一者可為三維閘控(gated)電晶體。The above-mentioned first and second transistors may be located at different positions in the second direction. In addition, each of the first and second transistors may be a three-dimensional gated transistor.

上述第一電晶體包含一第一線形閘極電極結構,當自該基板上方觀察,該第一線形閘極電極結構係在垂直於該第一方向的一第二方向上縱向延伸。上述第二電晶體包含一第二線形閘極電極結構,當自該基板上方觀察,該第二線形閘極電極結構係在垂直於該第一方向的該第二方向上縱向延伸。該第一擴散鰭部的該第一端部和第二端部其中至少一者可配置在第一方向上介於該第一和第二線形閘極電極結構之間。此外,該第二擴散鰭部的該第一和第二端部其中至少一者可配置在該第一方向上介於該第一和第二線形閘極電極結構之間。該第一線形閘極電極結構係配置成緊鄰該第二線形閘極電極結構且與該第二線形閘極電極結構分隔開。The first transistor includes a first linear gate electrode structure. When viewed from above the substrate, the first linear gate electrode structure extends longitudinally in a second direction perpendicular to the first direction. The second transistor includes a second linear gate electrode structure. When viewed from above the substrate, the second linear gate electrode structure extends longitudinally in the second direction perpendicular to the first direction. At least one of the first end and the second end of the first diffusion fin may be disposed between the first and second linear gate electrode structures in the first direction. In addition, at least one of the first and second end portions of the second diffusion fin may be disposed between the first and second linear gate electrode structures in the first direction. The first linear gate electrode structure is disposed adjacent to and separated from the second linear gate electrode structure.

該半導體裝置亦可包含一線形局部內連線結構,其在該第二方向上延伸且配置於該第一和第二線形閘極電極結構之間。該線形局部內連線結構可在該第一方向上位於該第一和第二線形閘極電極結構之間的實質上中心。該線形局部內連線結構可連接至該第一和第二擴散鰭部其中一者以上。The semiconductor device may also include a linear partial interconnect structure that extends in the second direction and is disposed between the first and second linear gate electrode structures. The linear partial interconnection structure may be located substantially in the center between the first and second linear gate electrode structures in the first direction. The linear partial interconnect structure can be connected to more than one of the first and second diffusion fins.

該半導體裝置亦可包含一線形局部內連線結構,其在該第一方向上延伸且配置於該第一和第二擴散鰭部之間。該線形局部內連線結構可在該第二方向上位於該第一和第二擴散鰭部之間的實質上中心。此外,此線形局部內連線結構可連接至該第一和第二閘極電極結構其中一者以上。The semiconductor device may also include a linear partial interconnect structure that extends in the first direction and is disposed between the first and second diffusion fins. The linear partial interconnection structure may be located at a substantial center between the first and second diffusion fins in the second direction. In addition, the linear partial interconnect structure can be connected to more than one of the first and second gate electrode structures.

延伸於該第一方向的上述線形局部內連線結構,可稱作第一線形局部內連線結構。該半導體裝置亦可包含一第二線形局部內連線結構,其在該第二方向上延伸且配置於該第一和第二線形閘極電極結構之間。該第二線形局部內連線結構可在第一方向上位於該第一和第二線形閘極電極結構之間的實質上中心。此外,該第二線形局部內連線結構可連接至該第一擴散鰭部、該第二擴散鰭部其中一者以上。此外,在若干實施例中,該第一線形局部內連線結構可為一二維變化非線形局部內連線結構的一第一線形區段,並且該第二線形局部內連線結構可為該二維變化非線形局部內連線結構的一第二線形區段。此外,在若干實例中,該第一和第二線形局部內連線結構可彼此連接。The above-mentioned linear partial interconnection structure extending in the first direction may be referred to as a first linear partial interconnection structure. The semiconductor device may also include a second linear partial interconnect structure that extends in the second direction and is disposed between the first and second linear gate electrode structures. The second linear partial interconnection structure may be located substantially in the center between the first and second linear gate electrode structures in the first direction. In addition, the second linear partial interconnection structure may be connected to more than one of the first diffusion fin and the second diffusion fin. In addition, in some embodiments, the first linear local interconnect structure may be a first linear section of a two-dimensionally changing non-linear local interconnect structure, and the second linear local interconnect structure may be the A second linear section of a two-dimensionally changing non-linear local interconnect structure. Furthermore, in several examples, the first and second linear partial interconnecting structures may be connected to each other.

該半導體裝置亦可包含配置於該第一和第二擴散鰭部之間的接觸結構。在若干實施例中,該接觸結構可位於該第一和第二擴散鰭部之間的實質上中心。在若干實施例中,該接觸結構可連接至該第一閘極電極結構或該第二閘極電極結構任一者。The semiconductor device may also include a contact structure disposed between the first and second diffusion fins. In several embodiments, the contact structure may be located substantially in the center between the first and second diffusion fins. In some embodiments, the contact structure may be connected to either the first gate electrode structure or the second gate electrode structure.

該半導體裝置亦可包含配置於該第一和第二閘極電極結構之間的接觸結構。在若干實施例中,該接觸結構可位於該第一和第二閘極電極結構之間的實質上中心。此外,在若干實施例中,該半導體裝置可包含配置於該第二方向上第一和第二擴散鰭部之間的一傳導內連線結構,其中該接觸結構連接至該傳導內連線結構。在若干實施例中,該傳導內連線結構係非擴散鰭部之延伸於該第一方向上的一最低階層內連線結構。The semiconductor device may also include a contact structure disposed between the first and second gate electrode structures. In several embodiments, the contact structure may be located substantially in the center between the first and second gate electrode structures. In addition, in some embodiments, the semiconductor device may include a conductive interconnect structure disposed between the first and second diffusion fins in the second direction, wherein the contact structure is connected to the conductive interconnect structure . In some embodiments, the conductive interconnect structure is a lowest-level interconnect structure of the non-diffusion fin that extends in the first direction.

該半導體裝置亦可包含配置於該第一方向上介於第一和第二擴散鰭部之間的一傳導內連線結構,其中該接觸結構連接至一傳導內連線結構。在若干實施例中,該傳導內連線結構係較高階層的內連線結構。The semiconductor device may also include a conductive interconnect structure disposed between the first and second diffusion fins in the first direction, wherein the contact structure is connected to a conductive interconnect structure. In some embodiments, the conductive interconnect structure is a higher-level interconnect structure.

該半導體裝置亦可包含一個以上內連線結構,其中該一個以上內連線結構其中若干包含在該第一方向上延伸的一個以上內連線區段。在若干實施例中,延伸於該第一方向上的該一個以上內連線區段其中若干,係配置於該第一和第二擴散鰭部之間。此外,在若干實施例中,延伸於該第一方向上的該一個以上內連線區段其中若干,係配置於該第一擴散鰭部或該第二擴散鰭部任一者的上方。在若干實施例中,在該第一方向上延伸的該一個以上內連線區段,係依據一第二方向內連線節距而加以配置,該節距係在第二方向上該一個以上內連線區段的各自第一方向定向之中心線之間所測得。The semiconductor device may also include more than one interconnect structure, wherein several of the more than one interconnect structure include more than one interconnect section extending in the first direction. In some embodiments, several of the one or more interconnect sections extending in the first direction are disposed between the first and second diffusion fins. In addition, in some embodiments, some of the one or more interconnection segments extending in the first direction are disposed above either the first diffusion fin or the second diffusion fin. In some embodiments, the one or more inner line segments extending in the first direction are configured according to a second direction inner line pitch, the pitch is more than one in the second direction Measured between the centerlines of the first and second orientations of the inner line segments.

在若干實施例中,該第一和第二擴散鰭部可依據一擴散鰭部節距而加以配置,該節距係在該第二方向上該第一和第二擴散鰭部的各自第一方向定向的中心線之間所測得,其中該第二方向內連線節距係該擴散鰭部節距的一有理數倍數,該有理數倍數係定義成整數的比例。In some embodiments, the first and second diffusion fins may be configured according to a diffusion fin pitch, the pitch being the respective first of the first and second diffusion fins in the second direction Measured between the centerlines oriented in the direction, wherein the pitch of the interconnection line in the second direction is a rational multiple of the pitch of the diffusion fin, the rational multiple is defined as an integer ratio.

在若干實施例中,該第一和第二擴散鰭部每一者,係依據一第一擴散鰭部節距或一第二擴散鰭部節距任一者而加以中心線配置,該第一擴散鰭部節距係在第二方向上所測得,該第二擴散鰭部節距係在該第二方向上所測得,其中該第一和第二擴散節距在該第二方向上相繼地交替,且其中一平均擴散鰭部節距係該第一和第二擴散鰭部節距的平均,並且In some embodiments, each of the first and second diffusion fins is centered according to either a first diffusion fin pitch or a second diffusion fin pitch, the first The diffusion fin pitch is measured in the second direction, the second diffusion fin pitch is measured in the second direction, wherein the first and second diffusion pitches are in the second direction Alternate one after another, and one of the average diffusion fin pitches is the average of the first and second diffusion fin pitches, and

其中該第二方向內連線節距係該平均擴散鰭部節距的一有理數倍數,該有理數倍數係定義為整數值的比例。在若干實施例中,該第一擴散鰭部節距係與該第二擴散鰭部節距相等。在若干實施例中,該第一擴散鰭部節距係與該第二擴散鰭部節距不同。The pitch of the interconnection in the second direction is a rational multiple of the average diffusion fin pitch. The rational multiple is defined as the ratio of integer values. In some embodiments, the first diffusion fin pitch is equal to the second diffusion fin pitch. In some embodiments, the first diffusion fin pitch is different from the second diffusion fin pitch.

以上提及的一個以上內連線結構可包含一局部內連線結構、一較高階層內連線結構、或其組合任一者,其中該局部內連線結構係非擴散鰭部的一最低階層內連線結構,且其中較高階層內連線結構係在相對於該基板之該局部內連線結構上方的一階層處所形成的一內連線結構。The above-mentioned one or more interconnect structures may include a local interconnect structure, a higher-level interconnect structure, or any combination thereof, where the local interconnect structure is the lowest of the non-diffused fins A hierarchical interconnect structure, wherein the higher-level interconnect structure is an interconnect structure formed at a level above the local interconnect structure of the substrate.

在若干實施例中,該第一和第二擴散鰭部每一者,係依據在該第二方向上所測得的一第一擴散鰭部節距、或在該第二方向上所測得的一第二擴散鰭部節距之任一者而加以中心線配置,其中該第一和第二擴散節距係相繼地在該第二方向上交替,且其中一平均擴散鰭部節距係該第一和第二擴散鰭部節距的平均。此外,延伸於該第一方向上的該一個以上內連線區段,可依據在該第二方向所測得的一第一內連線節距或在該第二方向上所測得的一第二內連線節距之任一者而加以中心線配置,其中該第一和第二內連線節距係相繼地在該第二方向上交替,且其中一平均內連線節距係該第一和第二內連線節距的平均。此外,該平均內連線節距係該平均擴散鰭部節距的一有理數倍數,該有理數倍數係定義成整數值的比例。In some embodiments, each of the first and second diffusion fins is based on a first diffusion fin pitch measured in the second direction, or measured in the second direction A second diffusion fin pitch of any one is centerline arranged, wherein the first and second diffusion pitches alternate in the second direction one after another, and one of the average diffusion fin pitches is The average pitch of the first and second diffusion fins. In addition, the one or more interconnect sections extending in the first direction can be based on a first interconnect pitch measured in the second direction or a measured in the second direction A centerline configuration is applied to any of the second interconnection pitches, wherein the first and second interconnection pitch systems alternate in the second direction one after another, and one of the average interconnection pitch systems The average of the pitch of the first and second interconnecting lines. In addition, the average interconnection pitch is a rational multiple of the average diffusion fin pitch, and the rational multiple is defined as a ratio of integer values.

在若干實施例中,該第一擴散鰭部節距係與該第二擴散鰭部節距相等,且該第一內連線節距係與該第二內連線節距相等。在若干實施例中,該第一擴散鰭部節距係不同於該第二擴散鰭部節距,且該第一內連線節距係不同於該第二內連線節距。在若干實施例中,該第一擴散鰭部節距係與該第一內連線節距相等,且該第二擴散鰭部節距係與該第二內連線節距相等。In some embodiments, the first diffusion fin pitch is equal to the second diffusion fin pitch, and the first interconnect pitch is equal to the second interconnect pitch. In some embodiments, the first diffusion fin pitch is different from the second diffusion fin pitch, and the first interconnect pitch is different from the second interconnect pitch. In some embodiments, the first diffusion fin pitch is equal to the first interconnect pitch, and the second diffusion fin pitch is equal to the second interconnect pitch.

該半導體裝置亦可包含一個以上內連線結構,其中該一個以上內連線結構其中若干包含在該第二方向上延伸的一個以上內連線區段。在若干實施例中,在該第二方向上延伸的該一個以上內連線區段其中若干係配置於該第一和第二閘極電極結構之間。在若干實施例中,在該第二方向上延伸的該一個以上內連線區段其中若干係位於該第一閘極電極結構或該第二閘極電極結構任一者的上方。The semiconductor device may also include more than one interconnect structure, wherein several of the more than one interconnect structure include more than one interconnect section extending in the second direction. In some embodiments, several of the one or more interconnect sections extending in the second direction are disposed between the first and second gate electrode structures. In some embodiments, several of the one or more interconnect sections extending in the second direction are located above either the first gate electrode structure or the second gate electrode structure.

在若干實施例中,在該第二方向上延伸的該一個以上內連線區段係根據一第一方向內連線節距而加以配置,該節距係在該第一方向上該一個以上內連線區段的各自第二方向定向的中心線之間所測得。此外,該第一和第二閘極電極結構可依據一閘極電極節距加以配置,該節距係在該第一方向上該第一和第二閘極電極結構的各自第二方向定向的中心線之間所測得。該第一方向內連線節距可為該閘極電極節距的有理數倍數,該有理數倍數係定義為整數值的比例。In some embodiments, the one or more interconnecting line segments extending in the second direction are configured according to a first direction interconnecting line pitch, the pitch is more than one in the first direction Measured between the centerlines of the inner line segments oriented in the second direction. In addition, the first and second gate electrode structures can be configured according to a gate electrode pitch that is oriented in the second direction of the respective first and second gate electrode structures in the first direction Measured between centerlines. The inner line pitch in the first direction may be a rational multiple of the gate electrode pitch, and the rational multiple is defined as a ratio of integer values.

以上提及的一個以上內連線結構可包含局部內連線結構、較高階層內連線結構、或其組合之任一者,其中該局部內連線結構係非擴散鰭部的一最低階層內連線結構,且其中較高階層內連線結構係一內連線結構,其在相對於該基板之該局部內連線結構上方的一階層處所形成。The above-mentioned one or more interconnect structures may include any of a local interconnect structure, a higher-level interconnect structure, or a combination thereof, where the local interconnect structure is the lowest level of the non-diffused fin The interconnect structure, and the higher level interconnect structure is an interconnect structure, which is formed at a level above the partial interconnect structure relative to the substrate.

在若干實施例中,該半導體裝置亦可包含一第一複數電晶體,其每一者具有藉由各自擴散鰭部所形成的一各自的源極區域和一各自的汲極區域。該第一複數電晶體的擴散鰭部每一者建構成自該基板的該表面突出。該第一複數電晶體的各擴散鰭部,係建構成自各自擴散鰭部的一第一端部至一第二端部在該第一方向上縱向延伸。該第一複數電晶體的該等擴散鰭部的該等第一端部係在該第一方向上實質上彼此對齊。In some embodiments, the semiconductor device may also include a first complex transistor, each of which has a respective source region and a respective drain region formed by respective diffusion fins. Each of the diffusion fins of the first plurality of transistors is configured to protrude from the surface of the substrate. Each diffusion fin of the first complex transistor is constructed to extend longitudinally in the first direction from a first end to a second end of the respective diffusion fin. The first ends of the diffusion fins of the first complex transistor are substantially aligned with each other in the first direction.

此外,該半導體裝置亦可包含第二複數電晶體,其每一者具有由各自擴散鰭部所形成的一各自的源極區域及一各自的汲極區域。該第二複數電晶體的各擴散鰭部係建構成自該基板的該表面突出。該第二複數電晶體的各擴散鰭部係建構成自各自擴散鰭部的一第一端部至一第二端部在第一方向上縱向延伸。該第二複數電晶體的該等擴散鰭部的該等第一端部係在該第一方向上實質上彼此對齊。並且,該第二複數電晶體的該等擴散鰭部的該等第一端部其中一者以上係配置成在該第一方向上介於該第一複數電晶體的該等擴散鰭部其中一者以上的該等第一和第二端部之間。In addition, the semiconductor device may also include second complex transistors, each of which has a respective source region and a respective drain region formed by respective diffusion fins. Each diffusion fin of the second complex transistor is configured to protrude from the surface of the substrate. Each diffusion fin of the second complex transistor is constructed to extend longitudinally in a first direction from a first end to a second end of the respective diffusion fin. The first ends of the diffusion fins of the second complex transistor are substantially aligned with each other in the first direction. Furthermore, one or more of the first ends of the diffusion fins of the second complex transistor are configured to be interposed in one of the diffusion fins of the first complex transistor in the first direction Between these first and second ends.

在若干實施例中,該第二複數電晶體的擴散鰭部的第一端部每一者,係配置在該第一方向上介於該第一複數電晶體的擴散鰭部其中一者以上的第一和第二端部之間。在若干實施例中,該第二複數電晶體的擴散鰭部其中至少一者係配置成與該第一複數電晶體的至少一擴散鰭部緊鄰且分隔開。此外,在若干實施例中,該第一複數電晶體可包含n型電晶體、p型電晶體、或n型及p型電晶體組合之任一者,且該第二複數電晶體可包含n型電晶體、p型電晶體、或n型及p型電晶體組合之任一者。在若干實施例中,該第一複數電晶體係n型電晶體,且該第二複數電晶體係p型電晶體。In some embodiments, each of the first ends of the diffusion fins of the second complex transistor is arranged in the first direction between more than one of the diffusion fins of the first complex transistor Between the first and second ends. In some embodiments, at least one of the diffusion fins of the second complex transistor is configured to be adjacent to and spaced apart from at least one diffusion fin of the first complex transistor. In addition, in some embodiments, the first complex transistor may include n-type transistor, p-type transistor, or any combination of n-type and p-type transistor, and the second complex transistor may include n Type transistor, p-type transistor, or any combination of n-type and p-type transistors. In some embodiments, the first complex transistor system is an n-type transistor, and the second complex transistor system is a p-type transistor.

在若干實施例中,該第一和第二複數擴散鰭部係配置成,使其各自的第一方向定向的中心線係與一擴散鰭部對準格柵(alignment grating)實質上對準,該擴散鰭部對準格柵係由在該第二方向上所測得的一第一擴散鰭部節距及在該第二方向所測得的一第二擴散鰭部節距加以定義。該第一和第二擴散鰭部節距係以交替的順序在該第二方向上出現。此外,在若干實施例中,該第一和第二複數電晶體的擴散鰭部係共同地占用擴散鰭部對準格柵的至少八個連貫的對準位置其中部分。In some embodiments, the first and second complex diffusion fins are configured such that their respective centerlines oriented in the first direction are substantially aligned with a diffusion fin alignment grating, The diffusion fin alignment grid is defined by a first diffusion fin pitch measured in the second direction and a second diffusion fin pitch measured in the second direction. The first and second diffusion fin pitches appear in the second direction in an alternating order. Furthermore, in several embodiments, the diffusion fins of the first and second complex transistors collectively occupy at least part of at least eight consecutive alignment positions of the diffusion fin alignment grid.

在例示實施例中,揭示一種製造半導體裝置的方法。該方法包含提供一基板。該方法亦包含在該基板上形成一第一電晶體,使得該第一電晶體在一第一擴散鰭部之內具有一源極區域和一汲極區域,且使得該第一擴散鰭部形成為自該基板的一表面突出,且使得該第一擴散鰭部自該第一擴散鰭部的一第一端部至該第一擴散鰭部的一第二端部在一第一方向上縱向延伸。該方法亦包含在該基板上形成一第二電晶體,使得該第二電晶體在一第二擴散鰭部之內具有一源極區域及一汲極區域,且使得該第二擴散鰭部係形成為自該基板的該表面突出,且使得該第二擴散鰭部係形成為在該第一方向上自該第二擴散鰭部的一第一端部至該第二擴散鰭部的一第二端部而縱向延伸,且使得該第二擴散鰭部係在與該第一擴散鰭部緊鄰且分隔開的位置處加以形成。此外,將該第一和第二電晶體形成,俾使該第二擴散鰭部的該第一端部或該第二端部任一者,係於在該第一方向上介於該第一擴散鰭部的該第一端部和該第二端部之間的位置處加以形成。In the illustrated embodiment, a method of manufacturing a semiconductor device is disclosed. The method includes providing a substrate. The method also includes forming a first transistor on the substrate so that the first transistor has a source region and a drain region within a first diffusion fin, and the first diffusion fin is formed Protruding from a surface of the substrate and making the first diffusion fin longitudinally in a first direction from a first end of the first diffusion fin to a second end of the first diffusion fin extend. The method also includes forming a second transistor on the substrate so that the second transistor has a source region and a drain region within a second diffusion fin, and the second diffusion fin is made Is formed to protrude from the surface of the substrate, and the second diffusion fin is formed in a first direction from a first end of the second diffusion fin to a first of the second diffusion fin The two end portions extend longitudinally, and the second diffusion fin is formed at a position adjacent to and spaced apart from the first diffusion fin. In addition, the first and second transistors are formed so that either the first end or the second end of the second diffusion fin is interposed between the first in the first direction The diffusion fin is formed at a position between the first end and the second end.

應理解的是,如此處所揭露包含鰭式場效電晶體的任何電路佈局可以一實體型式儲存,例如在一電腦可讀媒體上的數位格式。舉例來說,一特定的電路佈局可儲存於一佈局資料檔案,且係可選擇自一個以上元件庫。該佈局資料檔案可格式化為GDS II(圖形資料系統)資料庫檔案、OASIS(開放式原圖系統交換標準)資料庫檔案、或適用於儲存和傳播半導體裝置佈局的任何其他類型資料檔案格式。此外,如此處所揭露的包含鰭式場效電晶體的元件的多階層佈局,可被包含於較大半導體裝置的多階層佈局之內。該較大半導體裝置的多階層佈局亦可用例如以上所述的佈局資料檔案的型式加以儲存。It should be understood that any circuit layout including fin field effect transistors as disclosed herein can be stored in a physical form, such as a digital format on a computer-readable medium. For example, a specific circuit layout can be stored in a layout data file, and can be selected from more than one component library. The layout data file can be formatted as a GDS II (graphical data system) database file, an OASIS (Open Original Image System Exchange Standard) database file, or any other type of data file format suitable for storing and disseminating the layout of semiconductor devices. In addition, the multi-level layout of devices including fin field effect transistors as disclosed herein can be included in the multi-level layout of larger semiconductor devices. The multi-level layout of the larger semiconductor device can also be stored in the form of the layout data file described above, for example.

此外,此處所述發明可在電腦可讀媒體上具體化為電腦可讀碼。舉例來說,該電腦可讀碼可包含佈局資料檔案,其中儲存有如此處所揭示之包含鰭式場效電晶體的元件的佈局。電腦可讀碼亦可包含用於選擇如此處所揭示之包含鰭式場效電晶體的一個以上佈局庫及/或元件之程式指令。該佈局庫及/或元件亦可在電腦可讀媒體上以數位格式加以儲存。In addition, the invention described herein can be embodied as computer-readable codes on a computer-readable medium. For example, the computer-readable code may include a layout data file in which the layout of the device including fin field effect transistors as disclosed herein is stored. The computer readable code may also include program instructions for selecting more than one layout library and / or components including fin field effect transistors as disclosed herein. The layout library and / or components can also be stored in a digital format on a computer-readable medium.

此處所提及之電腦可讀媒體係可儲存可由電腦系統之後讀出的資料之任何資料儲存裝置。電腦可讀媒體的範例包含硬碟、網路附接儲存器(NAS)、唯讀記憶體、隨機存取記憶體、CD-ROM、CD-R、CD-RW、磁帶、及其他光學和非光學資料儲存裝置。分布於連接之電腦系統的網路之內的多個電腦可讀媒體亦可用以儲存電腦可讀碼的各個部份,俾使該電腦可讀碼係在該網路之內以分散型式加以儲存和執行。The computer-readable medium mentioned here is any data storage device that can store data that can be read later by the computer system. Examples of computer-readable media include hard drives, network attached storage (NAS), read-only memory, random-access memory, CD-ROM, CD-R, CD-RW, magnetic tape, and other optical and non-magnetic Optical data storage device. Multiple computer-readable media distributed in the network of connected computer systems can also be used to store various parts of the computer-readable code, so that the computer-readable code is stored in a distributed manner within the network And implementation.

在一例示實施例中,一資料儲存裝置具有用於提供半導體裝置佈局之儲存於其上的電腦可執行程式指令。該資料儲存裝置包含用於定義形成於一基板之上的一第一電晶體的電腦程式指令,俾使該第一電晶體定義成在一第一擴散鰭部之內具有一源極區域及一汲極區域,且俾使該第一擴散鰭部定義成自該基板的一表面突出,且俾使該第一擴散鰭部定義成在一第一方向自該第一擴散鰭部的一第一端部至該第一擴散鰭部的一第二端部而縱向延伸。該資料儲存裝置亦包含用於定義在該基板上形成一第二電晶體的電腦程式指令,俾使該第二電晶體定義成在一第二擴散鰭部之內具有一源極區域及一汲極區域,且俾使該第二擴散鰭部定義成自該基板的該表面突出,且俾使該第二擴散鰭部定義成在該第一方向上自該第二擴散鰭部的第一端部至該第二擴散鰭部的第二端部而縱向延伸,且俾使該第二擴散鰭部定義成與該第一擴散鰭部緊鄰且分隔開而加以配置,且俾使該第二擴散鰭部定義成使其第一端部或其第二端部配置成在該第一方向上介於該第一擴散鰭部的該第一端部和該第二端部之間。In an exemplary embodiment, a data storage device has computer executable program instructions stored thereon for providing a layout of a semiconductor device. The data storage device includes computer program instructions for defining a first transistor formed on a substrate, so that the first transistor is defined as having a source region and a The drain region, and so that the first diffusion fin is defined to protrude from a surface of the substrate, and so that the first diffusion fin is defined as a first The end extends longitudinally from a second end of the first diffusion fin. The data storage device also includes computer program instructions for defining the formation of a second transistor on the substrate, so that the second transistor is defined as having a source region and a drain within a second diffusion fin Polar region, and so that the second diffusion fin is defined to protrude from the surface of the substrate, and so that the second diffusion fin is defined from the first end of the second diffusion fin in the first direction Part to the second end of the second diffusion fin to extend longitudinally, and so that the second diffusion fin is defined to be disposed adjacent to and spaced apart from the first diffusion fin, and so that the second The diffusion fin is defined such that its first end or its second end is configured to be interposed between the first end and the second end of the first diffusion fin in the first direction.

更應理解的是,如此處所揭露之包含鰭式場效電晶體的任何電路佈局可製造成一半導體裝置或晶片的部分。在例如積體電路、記憶體元件等等之半導體裝置的製造中,執行一系列的製造操作以定義半導體晶圓上的特徵部。該晶圓包含呈定義於一矽基板之上的多階層結構之型式的積體電路裝置。在一基板階層,形成具有擴散區域及/或擴散鰭部的電晶體裝置。在後續的階層,將內連線金屬線加以圖案化且電連接至電晶體裝置,以定義一期望的積體電路裝置。此外,圖案化傳導層係藉由介電材料與其他傳導層絕緣。 雖然這個發明已就數個實施例加以描述,吾人可明瞭,熟習此技藝者在研讀前述說明書和圖式後將了解其各種變化、附加、置換、及均等物。因此,本發明應包含落入本發明真實精神和範圍之內的所有此等變化、附加、置換、及均等物。It should be further understood that any circuit layout including fin field effect transistors as disclosed herein can be manufactured as part of a semiconductor device or wafer. In the manufacture of semiconductor devices such as integrated circuits, memory devices, etc., a series of manufacturing operations are performed to define features on the semiconductor wafer. The wafer includes an integrated circuit device in the form of a multi-layer structure defined on a silicon substrate. At a substrate level, a transistor device having diffusion regions and / or diffusion fins is formed. At the subsequent level, the interconnect metal lines are patterned and electrically connected to the transistor device to define a desired integrated circuit device. In addition, the patterned conductive layer is insulated from other conductive layers by dielectric materials. Although this invention has been described in terms of several embodiments, it is obvious to those skilled in the art that after studying the foregoing description and drawings, they will understand various changes, additions, substitutions, and equivalents thereof. Therefore, the present invention should include all such changes, additions, substitutions, and equivalents that fall within the true spirit and scope of the present invention.

100‧‧‧鰭式場效電晶體
102‧‧‧擴散鰭部
104‧‧‧閘極電極層
105‧‧‧基板
106‧‧‧閘極氧化物層
107‧‧‧核心部
109‧‧‧側間隔部
201A/201B‧‧‧擴散鰭部
203‧‧‧節距
205‧‧‧節距
207‧‧‧閘極電極結構
209‧‧‧閘極節距
211‧‧‧水平局部內連線結構
213‧‧‧垂直局部內連線結構
215‧‧‧met1內連線結構
215A/215B‧‧‧內連線結構
217‧‧‧接觸窗
219‧‧‧met2內連線結構
221‧‧‧‧‧‧介層窗結構
250‧‧‧橢圓
251‧‧‧橢圓
260‧‧‧圓
261‧‧‧圓
270‧‧‧箭頭
2001‧‧‧區域
2601及2603‧‧‧閘極電極結構
2605‧‧‧輸入連線
2607‧‧‧輸入連線
2701‧‧‧切割形狀部
2703及2705‧‧‧閘極導體
3105‧‧‧區域
3201‧‧‧圓
3301‧‧‧圓
70-1A至70-1E‧‧‧閘極電極軌道
70-3‧‧‧閘極電極節距
70-5A至70-5E‧‧‧通道
7001-7008‧‧‧閘極階層特徵
8001‧‧‧區域
8003‧‧‧擴散鰭部
100‧‧‧Fin field effect transistor
102‧‧‧Diffusion fin
104‧‧‧Gate electrode layer
105‧‧‧ substrate
106‧‧‧ Gate oxide layer
107‧‧‧Core
109‧‧‧Side partition
201A / 201B‧‧‧Diffuse Fin
203‧‧‧pitch
205‧‧‧pitch
207‧‧‧Gate electrode structure
209‧‧‧Gate Pitch
211‧‧‧Horizontal local interconnecting structure
213‧‧‧Vertical local interconnecting structure
215‧‧‧met1 interconnect structure
215A / 215B‧‧‧Interconnect structure
217‧‧‧Contact window
219‧‧‧met2 interconnect structure
221‧‧‧‧‧‧Interlayer window structure
250‧‧‧Ellipse
251‧‧‧Ellipse
260‧‧‧yuan
261‧‧‧ Yuan
270‧‧‧arrow
2001‧‧‧Region
2601 and 2603 ‧‧‧ gate electrode structure
2605‧‧‧ input connection
2607‧‧‧ input connection
2701‧‧‧cut shape part
2703 and 2705 ‧‧‧ gate conductor
3105‧‧‧Region
3201‧‧‧ Yuan
3301‧‧‧ Yuan
70-1A to 70-1E ‧‧‧ gate electrode track
70-3‧‧‧Gate electrode pitch
70-5A to 70-5E‧‧‧channel
7001-7008‧‧‧ Gate characteristics
8001‧‧‧Region
8003‧‧‧Diffusion fin

根據本發明若干實施例,圖1A和1B顯示鰭式場效電晶體的例示佈局圖。According to several embodiments of the present invention, FIGS. 1A and 1B show an exemplary layout of a fin field effect transistor.

根據本發明若干實施例,圖1C顯示圖1A/1B的鰭式場效電晶體的變形,其中在垂直剖面視圖A-A中擴散鰭部102係更為角椎形的。According to several embodiments of the present invention, FIG. 1C shows the deformation of the fin-type field effect transistor of FIGS. 1A / 1B, in which the diffused fin 102 is more angular-vertical in the vertical cross-sectional view A-A.

根據本發明若干實施例,圖1D顯示具有數個鰭式場效電晶體形成於其上的基板的簡化垂直剖面圖。According to several embodiments of the present invention, FIG. 1D shows a simplified vertical cross-sectional view of a substrate having a plurality of fin field effect transistors formed thereon.

根據本發明若干實施例,圖1E顯示鰭部節距關係的示圖,其中內鰭部節距Ps1係實質上等於外鰭部節距Ps2。According to several embodiments of the present invention, FIG. 1E shows a diagram of the fin pitch relationship, where the inner fin pitch Ps1 is substantially equal to the outer fin pitch Ps2.

根據本發明若干實施例,圖1F顯示圖1E的鰭部節距關係示圖的變化,其中有理數的分母(y)係二。According to several embodiments of the present invention, FIG. 1F shows a change in the relationship diagram of the fin pitch of FIG. 1E, in which the denominator (y) of the rational number is two.

根據本發明若干實施例,圖1G顯示圖1E的鰭部節距關係示圖的變化,其中有理數的分母(y)係三。According to several embodiments of the present invention, FIG. 1G shows a change in the diagram of the pitch relationship of the fins in FIG. 1E, where the denominator (y) of the rational number is three.

根據本發明若干實施例,圖1H顯示圖1E的鰭部節距關係示圖的更廣義版本,其中內鰭部節距Ps1和外鰭部節距Ps2係不同。According to several embodiments of the present invention, FIG. 1H shows a more generalized version of the fin pitch relationship diagram of FIG. 1E, where the inner fin pitch Ps1 and the outer fin pitch Ps2 are different.

根據本發明若干實施例,圖2A顯示包含鰭式場效電晶體的例示元件佈局。According to several embodiments of the present invention, FIG. 2A shows an exemplary device layout including fin field effect transistors.

根據本發明若干實施例,圖2B顯示對應圖2D的2輸入NAND配置的電路圖。According to several embodiments of the present invention, FIG. 2B shows a circuit diagram corresponding to the 2-input NAND configuration of FIG. 2D.

根據本發明若干實施例,圖2C顯示對應圖2E的2輸入NOR配置的電路圖。According to several embodiments of the present invention, FIG. 2C shows a circuit diagram corresponding to the 2-input NOR configuration of FIG. 2E.

根據本發明若干實施例,圖2D顯示圖2A的佈局,其中擴散鰭部201A係由n型擴散材料所形成,而擴散鰭部201B係由p型擴散材料所形成。According to several embodiments of the present invention, FIG. 2D shows the layout of FIG. 2A, wherein the diffusion fin 201A is formed of n-type diffusion material, and the diffusion fin 201B is formed of p-type diffusion material.

根據本發明若干實施例,圖2E顯示圖2A的佈局,其中擴散鰭部201A係由p型擴散材料所形成,而擴散鰭部201B係由n型擴散材料所形成。According to several embodiments of the present invention, FIG. 2E shows the layout of FIG. 2A, wherein the diffusion fin 201A is formed of p-type diffusion material, and the diffusion fin 201B is formed of n-type diffusion material.

根據本發明若干實施例,圖2F顯示圖2A的佈局的變形,其中閘極電極結構端部係在元件的頂部和元件的底部實質上對齊。。According to several embodiments of the present invention, FIG. 2F shows a variation of the layout of FIG. 2A, in which the end of the gate electrode structure is substantially aligned at the top of the element and the bottom of the element. .

根據本發明若干實施例,圖2G顯示圖2A佈局的變形,其中接觸窗係形成為在元件頂部和元件底部處於電源軌下方自met1內連線結構至水平局部內連線結構延伸。According to several embodiments of the present invention, FIG. 2G shows a variation of the layout of FIG. 2A, wherein the contact window is formed to extend from the met1 interconnection structure to the horizontal partial interconnection structure at the top and bottom of the device under the power rail.

根據本發明若干實施例,圖2H顯示圖2A元件的變形,其中使用二個不同的擴散鰭部節距。According to several embodiments of the present invention, FIG. 2H shows a variation of the element of FIG. 2A, in which two different diffusion fin pitches are used.

根據本發明若干實施例,圖2I顯示圖2A佈局的變形,其中在元件頂部和底部處電源軌下方的擴散鰭部和水平局部內連線結構係延伸作為電源軌之met1內連線結構的全寬度。According to several embodiments of the present invention, FIG. 2I shows a variation of the layout of FIG. 2A, in which the diffused fins and the horizontal partial interconnect structure under the power rails at the top and bottom of the device extend as the full width.

根據本發明若干實施例,圖3顯示圖2A的佈局的變形,其中met1電源軌係連接至垂直局部內連線,使得met1電源軌作為局部電源。According to several embodiments of the present invention, FIG. 3 shows a variation of the layout of FIG. 2A, where the met1 power rail is connected to a vertical local interconnect so that the met1 power rail serves as a local power source.

根據本發明若干實施例,圖4顯示圖2A佈局的變形,其中在元件之內使用二維變化的met1內連線結構以進行元件內繞線。According to several embodiments of the present invention, FIG. 4 shows a variation of the layout of FIG. 2A, in which a two-dimensionally changed met1 interconnect structure is used within the device for internal device winding.

根據本發明若干實施例,圖5顯示圖2A佈局的變形,其中met1電源軌係連接至垂直局部內連線,且其中在元件內使用二維變化met1內連線結構以進行元件內繞線。According to several embodiments of the present invention, FIG. 5 shows a variation of the layout of FIG. 2A, in which the met1 power rail is connected to a vertical partial interconnect, and wherein a two-dimensionally changed met1 interconnect structure is used in the device for internal device wiring.

根據本發明若干實施例,圖6顯示圖2A佈局的變形,其中使用固定、最小寬度、共用的局部met1電源,以及在元件內用於元件內繞線之二維變化met1內連線結構。According to several embodiments of the present invention, FIG. 6 shows a variation of the layout of FIG. 2A, in which a fixed, minimum width, common local met1 power supply is used, and a two-dimensional variation met1 interconnect structure for in-device winding within the device is used.

根據本發明若干實施例,圖7顯示圖2A佈局的變形,其具有於元件中具硬接線的共用的局部和全域電源,以及在元件內用於元件內繞線之二維變化met1內連線結構。According to several embodiments of the present invention, FIG. 7 shows a variation of the layout of FIG. 2A, which has a common local and global power supply with hard-wiring in the device, and a two-dimensional variation met1 in the device for internal wiring of the device. structure.

根據本發明若干實施例,圖8A顯示例示標準元件的佈局,其中將輸入接腳配置於相同類型的擴散鰭部之間以減輕繞線擁塞,且其中使用若干擴散鰭部作為內連線導體。According to several embodiments of the present invention, FIG. 8A shows a layout illustrating an exemplary standard device, in which input pins are arranged between diffusion fins of the same type to alleviate winding congestion, and several diffusion fins are used as interconnect conductors.

根據本發明若干實施例,圖8B顯示圖8A的變形,其中使用二個不同的閘極電極節距。According to several embodiments of the present invention, FIG. 8B shows a variation of FIG. 8A where two different gate electrode pitches are used.

根據本發明若干實施例,圖8C顯示圖8A佈局的電路圖。According to several embodiments of the present invention, FIG. 8C shows a circuit diagram of the layout of FIG. 8A.

根據本發明若干實施例,圖9A顯示例示標準元件佈局,其中使用擴散鰭部作為內連線導體。According to several embodiments of the present invention, FIG. 9A shows an exemplary standard device layout in which diffusion fins are used as interconnect conductors.

根據本發明若干實施例,圖9B顯示圖9A的佈局,其中標示三組交叉連接的電晶體。。According to several embodiments of the present invention, FIG. 9B shows the layout of FIG. 9A, in which three sets of cross-connected transistors are marked. .

根據本發明若干實施例,圖9C顯示圖9A佈局的電路圖。According to several embodiments of the present invention, FIG. 9C shows a circuit diagram of the layout of FIG. 9A.

根據本發明若干實施例,圖10顯示例示標準元件佈局,其中將閘極電極接觸窗實質上配置於擴散鰭部上方。According to several embodiments of the present invention, FIG. 10 shows an exemplary standard device layout in which the gate electrode contact window is substantially disposed above the diffusion fin.

根據本發明若干實施例,圖11顯示實現擴散鰭部的例示元件佈局。According to several embodiments of the present invention, FIG. 11 shows an exemplary element layout implementing a diffusion fin.

根據本發明若干實施例,圖12A/B顯示具有最小寬度met1電源軌的圖11佈局的變形。According to several embodiments of the present invention, FIGS. 12A / B show a variation of the layout of FIG. 11 having a minimum width met1 power rail.

根據本發明若干實施例,圖13A/B顯示圖12A/B佈局的變形,其不具有自各個局部內連線和閘極電極結構至met1的接觸窗。According to several embodiments of the present invention, FIG. 13A / B shows a variation of the layout of FIG. 12A / B, which does not have contact windows from various local interconnects and gate electrode structures to met1.

根據本發明若干實施例,圖14A/B顯示圖11佈局的變形,其具有最小寬度met1電源軌,且包含電源軌之所有met1結構具相同的寬度和相同的節距。According to several embodiments of the present invention, FIG. 14A / B shows a variation of the layout of FIG. 11, which has a minimum width met1 power rail, and all met1 structures including the power rail have the same width and the same pitch.

根據本發明若干實施例,圖15A/B顯示圖14A/B佈局的變形,其具有met1繞線結構,該繞線結構配置成各(y)位置具有一met1結構。According to several embodiments of the present invention, FIG. 15A / B shows a variation of the layout of FIG. 14A / B, which has a met1 winding structure configured to have a met1 structure at each (y) position.

根據本發明若干實施例,圖16A/B顯示圖11佈局的變形,其具有配置於p型擴散鰭部之間的閘極電極結構接觸窗。According to several embodiments of the present invention, FIGS. 16A / B show a variation of the layout of FIG. 11, which has a gate electrode structure contact window disposed between p-type diffusion fins.

根據本發明若干實施例,圖17A/B顯示實現擴散鰭部的例示元件佈局。According to several embodiments of the present invention, FIGS. 17A / B show exemplary element layouts that implement diffusion fins.

根據本發明若干實施例,圖18A/B顯示圖17A/B佈局的變形,其中接觸窗連接至水平局部內連線,且其中水平局部內連線直接連接至垂直局部內連線。According to several embodiments of the present invention, FIG. 18A / B shows a variation of the layout of FIG. 17A / B, wherein the contact window is connected to the horizontal local interconnect, and wherein the horizontal local interconnect is directly connected to the vertical local interconnect.

根據本發明若干實施例,圖19A/B顯示圖17A/B佈局的變形,其中至局部內連線的電源軌接觸窗係不共用的,且其中在電源軌下方沒有共用的局部內連線。According to several embodiments of the present invention, FIG. 19A / B shows a variation of the layout of FIG. 17A / B, where the power rail contact window to the local interconnect is not shared, and where there is no shared local interconnect under the power rail.

根據本發明若干實施例,圖20A/B顯示圖19A/B佈局的變形,其中擴散鰭部係相對於元件邊界偏移半個擴散鰭部節距。According to several embodiments of the present invention, FIG. 20A / B shows a variation of the layout of FIG. 19A / B, in which the diffusion fins are offset by half the diffusion fin pitch relative to the device boundary.

根據本發明若干實施例,圖21A/B顯示圖20A/B佈局的變形,其具有最小寬度電源軌及擴散鰭部之負垂直局部內連線重疊。According to some embodiments of the present invention, FIG. 21A / B shows a variation of the layout of FIG. 20A / B, which has a minimum width power rail and a negative vertical partial interconnection of diffusion fins overlapping.

根據本發明若干實施例,圖22A/B顯示圖17A/B佈局的變形,其具有最小寬度電源軌、在電源軌下方不共用的局部內連線及擴散鰭部、及p鰭部和n鰭部之間較大的間距。According to some embodiments of the present invention, FIG. 22A / B shows a variation of the layout of FIG. 17A / B, which has a minimum width power rail, local interconnects and diffusion fins that are not shared under the power rail, and p-fin and n-fin Larger spacing between departments.

根據本發明若干實施例,圖23A/B顯示圖17A/B佈局的變形。According to several embodiments of the present invention, FIG. 23A / B shows a variation of the layout of FIG. 17A / B.

根據本發明若干實施例,圖24A/B顯示圖23A/B佈局的變形。According to several embodiments of the present invention, FIG. 24A / B shows a variation of the layout of FIG. 23A / B.

根據本發明若干實施例,圖25A/B顯示圖23A/B佈局的變形,其中元件在高度上加倍。According to several embodiments of the present invention, FIGS. 25A / B show a variation of the layout of FIG. 23A / B, in which the elements are doubled in height.

根據本發明若干實施例,圖26A/B顯示實現擴散鰭部的例示元件佈局。According to several embodiments of the present invention, FIG. 26A / B shows an exemplary element layout for implementing a diffusion fin.

根據本發明若干實施例,圖27A/B顯示圖26A/B佈局的變形。According to several embodiments of the present invention, FIG. 27A / B shows a variation of the layout of FIG. 26A / B.

根據本發明若干實施例,圖28A/B顯示實現擴散鰭部的例示元件佈局。According to several embodiments of the present invention, FIGS. 28A / B show exemplary element layouts that implement diffusion fins.

根據本發明若干實施例,圖29A/B顯示圖28A/B佈局的變形,其中在兩個n型電晶體的閘極電極結構之間沒有局部內連線結構。According to several embodiments of the present invention, FIG. 29A / B shows a variation of the layout of FIG. 28A / B, in which there is no local interconnect structure between the gate electrode structures of two n-type transistors.

根據本發明若干實施例,圖30A/B顯示實現擴散鰭部的例示元件佈局。According to several embodiments of the present invention, FIGS. 30A / B show exemplary element layouts implementing diffusion fins.

根據本發明若干實施例,圖31A顯示例示sdff元件佈局,其中閘極電極和局部內連線線端間隙位於擴散鰭部之間的實質上中心。According to several embodiments of the present invention, FIG. 31A shows an exemplary sdff device layout in which the gate electrode and the local interconnect line end gap are located at the substantial center between the diffusion fins.

根據本發明若干實施例,圖31B顯示圖31A的例示sdff元件佈局,其中將位在擴散鰭部之間的實質上中心的局部內連線線端間隙圈出。According to several embodiments of the present invention, FIG. 31B shows the exemplary sdff device layout of FIG. 31A, in which a partial inner wire end gap located in the substantially center between the diffusion fins is circled.

根據本發明若干實施例,圖31C顯示具有兩個相鄰閘極電極結構之間的區域之標註的圖31A和31B的例示sdff元件佈局,其中擴散鰭部端部在x方向彼此重疊。According to several embodiments of the invention, FIG. 31C shows the illustrated sdff element layout of FIGS. 31A and 31B with the label between the regions between two adjacent gate electrode structures, where the ends of the diffusion fins overlap each other in the x direction.

根據本發明若干實施例,圖32顯示一例示佈局,其中所有將所有接觸層結構配置於擴散鰭部之間。According to several embodiments of the present invention, FIG. 32 shows an exemplary layout in which all contact layer structures are arranged between the diffusion fins.

根據本發明若干實施例,圖33和34顯示例示佈局,其中將所有接觸層結構配置於擴散鰭部之上。According to several embodiments of the present invention, FIGS. 33 and 34 show exemplary layouts in which all contact layer structures are arranged on the diffusion fins.

根據本發明若干實施例,圖35A/B至47A/B顯示在邏輯路徑二者上具有傳輸閘極的交叉連接電晶體配置,其需要所有內部節點具有p型和n型之間的連接。According to several embodiments of the present invention, FIGS. 35A / B to 47A / B show a cross-connected transistor configuration with transmission gates on both logic paths, which requires all internal nodes to have a connection between p-type and n-type.

根據本發明若干實施例,圖35C顯示圖35A/B至47A/B及63A/B至67A/B的佈局的電路圖。According to several embodiments of the present invention, FIG. 35C shows a circuit diagram of the layout of FIGS. 35A / B to 47A / B and 63A / B to 67A / B.

根據本發明若干實施例,圖48A/B至57A/B顯示交叉連接電晶體配置,其中具有使用較大電晶體之在邏輯路徑上的傳輸閘極,以及在其他路徑上的三態閘極。According to several embodiments of the present invention, FIGS. 48A / B to 57A / B show a cross-connected transistor configuration with a transmission gate on a logic path using a larger transistor, and a tri-state gate on other paths.

根據本發明若干實施例,圖48C顯示圖48A/B至58A/B的佈局的電路圖。According to several embodiments of the present invention, FIG. 48C shows a circuit diagram of the layout of FIGS. 48A / B to 58A / B.

根據本發明若干實施例,圖58A/B至59A/B顯示交叉連接電晶體配置,其中具有利用較小電晶體之在邏輯路徑上的傳輸閘極,以及在其他路徑上的三態閘極。According to several embodiments of the present invention, FIGS. 58A / B to 59A / B show a cross-connected transistor configuration with a transmission gate on a logic path using a smaller transistor, and a tri-state gate on other paths.

根據本發明若干實施例,圖59C顯示圖59A/B的佈局的電路圖。According to several embodiments of the present invention, FIG. 59C shows a circuit diagram of the layout of FIG. 59A / B.

根據本發明若干實施例,圖60A/B至62A/B顯示具有在邏輯路徑二者上之三態閘極的交叉連接電晶體配置。According to several embodiments of the present invention, FIGS. 60A / B to 62A / B show a cross-connected transistor configuration with tri-state gates on both logic paths.

根據本發明若干實施例,圖60C顯示圖60A/B至62A/B及圖68A/B至69A/B的佈局的電路圖。According to several embodiments of the present invention, FIG. 60C shows a circuit diagram of the layout of FIGS. 60A / B to 62A / B and FIGS. 68A / B to 69A / B.

根據本發明若干實施例,圖63A/B至67A/B顯示在邏輯路徑二者上具有傳輸閘極的交叉連接電晶體配置,其需要所有內部節點具有p型和n型之間的連接。。According to several embodiments of the present invention, FIGS. 63A / B to 67A / B show a cross-connect transistor configuration with transmission gates on both logic paths, which requires all internal nodes to have a connection between p-type and n-type. .

根據本發明若干實施例,圖68A/B至69A/B顯示在邏輯路徑二者上具有三態閘極的交叉連接電晶體配置。According to several embodiments of the invention, FIGS. 68A / B to 69A / B show a cross-connected transistor configuration with tri-state gates on both logic paths.

根據本發明若干實施例,圖70A顯示在受限制的閘極階層佈局結構之內所定義的閘極電極軌道70-1A至70-1E的範例。According to several embodiments of the present invention, FIG. 70A shows an example of gate electrode tracks 70-1A to 70-1E defined within a restricted gate hierarchy layout structure.

根據本發明若干實施例,圖70B顯示圖70A的例示受限制的閘極階層佈局結構,其具有數個例示閘極階層特徵7001-7008定義於其中。According to several embodiments of the present invention, FIG. 70B shows the exemplary restricted gate hierarchy layout structure of FIG. 70A, which has several exemplary gate hierarchy features 7001-7008 defined therein.

根據本發明若干實施例,圖71A/B至77A/B顯示數個例示SDFF電路佈局,其利用基於傳輸和三態閘極二者的交叉連接電路結構。According to several embodiments of the present invention, FIGS. 71A / B to 77A / B show several exemplary SDFF circuit layouts that utilize cross-connect circuit structures based on both transmission and tri-state gates.

根據本發明若干實施例,圖71C顯示圖71A/B及77A/B的佈局的電路圖。According to some embodiments of the present invention, FIG. 71C shows a circuit diagram of the layout of FIGS. 71A / B and 77A / B.

根據本發明若干實施例,圖72C顯示圖72A/B至76A/B的佈局的電路圖。According to several embodiments of the present invention, FIG. 72C shows a circuit diagram of the layout of FIGS. 72A / B to 76A / B.

201A/201B‧‧‧擴散鰭部 201A / 201B‧‧‧Diffuse Fin

203‧‧‧節距 203‧‧‧pitch

207‧‧‧閘極電極結構 207‧‧‧Gate electrode structure

209‧‧‧閘極節距 209‧‧‧Gate Pitch

211‧‧‧水平局部內連線結構 211‧‧‧Horizontal local interconnecting structure

213‧‧‧垂直局部內連線結構 213‧‧‧Vertical local interconnecting structure

215‧‧‧met1內連線結構 215‧‧‧met1 interconnect structure

217‧‧‧接觸窗 217‧‧‧Contact window

221‧‧‧介層窗結構 221‧‧‧Interlayer window structure

Claims (26)

一種半導體裝置的元件電路,包含: 一基板; 一第一擴散類型的四擴散鰭部,其係加以形成以彼此以一平行關係延伸,該第一擴散類型之該四擴散鰭部的毗鄰者係根據一固定之中心線至中心線節距加以配置; 一第二擴散類型的四擴散鰭部,其係加以形成以彼此以一平行關係延伸,該第二擴散類型之該四擴散鰭部的毗鄰者係根據該固定之中心線至中心線節距加以配置; 一第一閘極電極,在該第一擴散類型之該四擴散鰭部之其中二者上方延伸; 一第二閘極電極,在該第二擴散類型之該四擴散鰭部之其中二者上方延伸;及 一第三閘極電極,在該第一擴散類型之該四擴散鰭部之其中二者上方及在該第二擴散類型之該四擴散鰭部之其中二者上方延伸。A component circuit of a semiconductor device, comprising: a substrate; a first diffusion type four diffusion fins formed to extend in a parallel relationship with each other, the first diffusion type adjacent to the four diffusion fins It is configured according to a fixed centerline to centerline pitch; a second diffusion type four diffusion fins, which are formed to extend in a parallel relationship with each other, adjacent It is configured according to the fixed centerline to centerline pitch; a first gate electrode extending above two of the four diffusion fins of the first diffusion type; a second gate electrode, at The two of the four diffusion fins of the second diffusion type extend above two; and a third gate electrode above two of the four diffusion fins of the first diffusion type and above the second diffusion type Two of the four diffusion fins extend above. 如申請專利範圍第1項之半導體裝置的元件電路,其中,該第三閘極電極係在該第一閘極電極與該第二閘極電極之間加以配置。As in the element circuit of the semiconductor device of claim 1, the third gate electrode is disposed between the first gate electrode and the second gate electrode. 如申請專利範圍第2項之半導體裝置的元件電路,其中,該第一閘極電極、該第二閘極電極、及該第三閘極電極的每一者係線形的,且係定向成在一第一參考方向上縱向延伸。An element circuit of a semiconductor device as claimed in item 2 of the patent scope, wherein each of the first gate electrode, the second gate electrode, and the third gate electrode is linear and oriented in the A first reference direction extends longitudinally. 如申請專利範圍第3項之半導體裝置的元件電路,其中,該第一擴散類型之該四擴散鰭部的每一者係線形的,且係定向成在垂直於該第一參考方向的一第二參考方向上縱向延伸,且其中,該第二擴散類型之該四擴散鰭部的每一者係線形的,且係定向成在該第二參考方向上縱向延伸。An element circuit of a semiconductor device as claimed in item 3 of the patent scope, wherein each of the four diffusion fins of the first diffusion type is linear and oriented in a first direction perpendicular to the first reference direction The two reference directions extend longitudinally, and wherein each of the four diffusion fins of the second diffusion type is linear and oriented to extend longitudinally in the second reference direction. 如申請專利範圍第4項之半導體裝置的元件電路,其中,該第一閘極電極係與該第三閘極電極分隔在該第一參考方向上測得的一第一距離,且其中,該第二閘極電極係與該第三閘極電極分隔在該第一參考方向上測得的該第一距離。A component circuit of a semiconductor device as claimed in item 4 of the patent application, wherein the first gate electrode is separated from the third gate electrode by a first distance measured in the first reference direction, and wherein, The second gate electrode is separated from the third gate electrode by the first distance measured in the first reference direction. 如申請專利範圍第5項之半導體裝置的元件電路,其中,該第一閘極電極、該第二閘極電極、及該第三閘極電極的每一者具有在該第二參考方向上測得之一實質上相等寬度。An element circuit of a semiconductor device as claimed in item 5 of the patent scope, wherein each of the first gate electrode, the second gate electrode, and the third gate electrode has a measurement in the second reference direction One of them has substantially equal width. 如申請專利範圍第6項之半導體裝置的元件電路,更包含: 一第一接觸窗結構,其係加以形成以物理性接觸該第一閘極電極; 一第二接觸窗結構,其係加以形成以物理性接觸該第二閘極電極;及 一第三接觸窗結構,其係加以形成以物理性接觸該第三閘極電極。The component circuit of the semiconductor device as claimed in item 6 further includes: a first contact window structure which is formed to physically contact the first gate electrode; a second contact window structure which is formed Physically contact the second gate electrode; and a third contact window structure, which is formed to physically contact the third gate electrode. 如申請專利範圍第7項之半導體裝置的元件電路,其中,該第一接觸窗結構係在該第一參考方向上在該第一擴散類型之其中兩個擴散鰭部之間的實質上中心。As in the element circuit of the semiconductor device of claim 7, the first contact window structure is substantially in the center between two diffusion fins of the first diffusion type in the first reference direction. 如申請專利範圍第8項之半導體裝置的元件電路,其中,該第二接觸窗結構係在該第一參考方向上在該第二擴散類型之其中兩個擴散鰭部之間的實質上中心。An element circuit of a semiconductor device according to claim 8 of the patent application, wherein the second contact window structure is substantially in the center between two diffusion fins of the second diffusion type in the first reference direction. 如申請專利範圍第9項之半導體裝置的元件電路,其中,該第三接觸窗結構係在該第一參考方向上在該第一擴散類型之其中一擴散鰭部與該第二擴散類型之其中一擴散鰭部之間的實質上中心。An element circuit of a semiconductor device as claimed in item 9 of the patent scope, wherein the third contact window structure is one of the first diffusion type diffusion fins and the second diffusion type in the first reference direction A substantial center between the diffusion fins. 如申請專利範圍第10項之半導體裝置的元件電路,更包含: 一第一內連線結構,其係加以形成以物理性接觸該第一接觸窗結構; 一第二內連線結構,其係加以形成以物理性接觸該第二接觸窗結構;及 一第三內連線結構,其係加以形成以物理性接觸該第三接觸窗結構。For example, the component circuit of the semiconductor device of claim 10 further includes: a first interconnect structure, which is formed to physically contact the first contact window structure; a second interconnect structure, which is It is formed to physically contact the second contact window structure; and a third interconnect structure is formed to physically contact the third contact window structure. 如申請專利範圍第11項之半導體裝置的元件電路,其中,該第一內連線結構、該第二內連線結構、及該第三內連線結構的每一者係在該半導體裝置的一相同內連線階層之中加以形成。A component circuit of a semiconductor device as claimed in item 11 of the patent scope, wherein each of the first interconnect structure, the second interconnect structure, and the third interconnect structure is located on the semiconductor device It is formed within the same interconnect hierarchy. 如申請專利範圍第12項之半導體裝置的元件電路,其中,該第一內連線結構係線形的,且係定向成在該第二參考方向上縱向延伸。An element circuit of a semiconductor device as claimed in item 12 of the patent application, wherein the first interconnect structure is linear and oriented to extend longitudinally in the second reference direction. 如申請專利範圍第13項之半導體裝置的元件電路,其中,該第二內連線結構係線形的,且係定向成在該第二參考方向上縱向延伸。An element circuit of a semiconductor device according to claim 13 of the patent application, wherein the second interconnection structure is linear and oriented to extend longitudinally in the second reference direction. 如申請專利範圍第14項之半導體裝置的元件電路,其中,該第三內連線結構係線形的,且係定向成在該第二參考方向上縱向延伸。An element circuit of a semiconductor device as claimed in item 14 of the patent application, wherein the third interconnection structure is linear and oriented to extend longitudinally in the second reference direction. 如申請專利範圍第15項之半導體裝置的元件電路,其中,該第一內連線結構、該第二內連線結構、及該第三內連線結構的每一者具有在該第一參考方向上測得之一實質上相等寬度。A component circuit of a semiconductor device as claimed in item 15 of the patent scope, wherein each of the first interconnect structure, the second interconnect structure, and the third interconnect structure has the first reference One measured in the direction is substantially equal in width. 如申請專利範圍第16項之半導體裝置的元件電路,更包含: 一第一局部內連線結構,在該第一擴散類型之該四擴散鰭部上方延伸; 一第二局部內連線結構,在該第二擴散類型之該四擴散鰭部上方延伸; 一第三局部內連線結構,在該第二擴散類型之該四擴散鰭部之其中二者和該第一擴散類型之該四擴散鰭部上方延伸;及 一第四局部內連線結構,在該第二擴散類型之該四擴散鰭部之其中二者上方延伸。For example, the device circuit of the semiconductor device of claim 16 further includes: a first local interconnect structure extending above the four diffusion fins of the first diffusion type; a second local interconnect structure, Extending above the four diffusion fins of the second diffusion type; a third local interconnect structure, two of the four diffusion fins of the second diffusion type and the four diffusions of the first diffusion type The fin extends above; and a fourth partial interconnect structure extends above two of the four diffusion fins of the second diffusion type. 如申請專利範圍第17項之半導體裝置的元件電路,其中,該第一局部內連線結構、該第二局部內連線結構、該第三局部內連線結構、及該第四局部內連線結構的每一者係線形的,且係定向成在該第一參考方向上縱向延伸。An element circuit of a semiconductor device as claimed in claim 17, wherein the first partial interconnect structure, the second partial interconnect structure, the third partial interconnect structure, and the fourth partial interconnect Each of the wire structures is linear and oriented to extend longitudinally in the first reference direction. 如申請專利範圍第18項之半導體裝置的元件電路,其中,該第一局部內連線結構的一緃向中心線係實質上對齊於該第二局部內連線結構的一緃向中心線。A component circuit of a semiconductor device according to claim 18 of the patent application scope, wherein a first center line of the first partial interconnect structure is substantially aligned with a first center line of the second partial interconnect structure. 如申請專利範圍第19項之半導體裝置的元件電路,其中,該第三局部內連線結構的一緃向中心線係實質上對齊於該第四局部內連線結構的一緃向中心線。A component circuit of a semiconductor device as claimed in item 19 of the patent scope, wherein the first center line of the third partial interconnect structure is substantially aligned with the first center line of the fourth partial interconnect structure. 如申請專利範圍第20項之半導體裝置的元件電路,其中,該第一局部內連線結構係與該第二局部內連線結構分隔在該第一參考方向上測得的一第二距離,且其中,該第三局部內連線結構係與該第四局部內連線結構分隔在該第一參考方向上測得的該第二距離。For example, a component circuit of a semiconductor device according to claim 20, wherein the first partial interconnection structure is separated from the second partial interconnection structure by a second distance measured in the first reference direction, And, wherein the third partial interconnection structure is separated from the fourth partial interconnection structure by the second distance measured in the first reference direction. 如申請專利範圍第21項之半導體裝置的元件電路,其中,在該第一參考方向上測得的該第二距離係與在該第一參考方向上測得的該第一距離實質上相等。An element circuit of a semiconductor device as claimed in claim 21, wherein the second distance measured in the first reference direction is substantially equal to the first distance measured in the first reference direction. 如申請專利範圍第22項之半導體裝置的元件電路,其中,該第一局部內連線結構及該第二局部內連線結構係在該第一、第二、及第三閘極電極之每一者的一第一側上加以配置,且其中,該第三局部內連線結構及該第四局部內連線結構係在該第一、第二、及第三閘極電極之每一者的一第二側上加以配置。A component circuit of a semiconductor device according to claim 22, wherein the first partial interconnection structure and the second partial interconnection structure are each of the first, second, and third gate electrodes One of them is arranged on a first side, and wherein the third partial interconnect structure and the fourth partial interconnect structure are at each of the first, second, and third gate electrodes Is configured on the second side. 如申請專利範圍第23項之半導體裝置的元件電路,其中,該第一局部內連線結構係與該第一閘極電極分隔在該第二參考方向上測得的一第三距離,及該第一局部內連線結構係與該第三閘極電極分隔在該第二參考方向上測得的該第三距離,及該第二局部內連線結構係與該第二閘極電極分隔在該第二參考方向上測得的該第三距離,及該第二局部內連線結構係與該第三閘極電極分隔在該第二參考方向上測得的該第三距離。A component circuit of a semiconductor device as claimed in item 23, wherein the first partial interconnection structure is separated from the first gate electrode by a third distance measured in the second reference direction, and the The first partial interconnection structure is separated from the third gate electrode by the third distance measured in the second reference direction, and the second partial interconnection structure is separated from the second gate electrode The third distance measured in the second reference direction and the second partial interconnect structure are separated from the third gate electrode by the third distance measured in the second reference direction. 如申請專利範圍第24項之半導體裝置的元件電路,其中,該第三局部內連線結構係與該第一閘極電極分隔在該第二參考方向上測得的一第四距離,及該第三局部內連線結構係與該第三閘極電極分隔在該第二參考方向上測得的該第四距離,及該第四局部內連線結構係與該第二閘極電極分隔在該第二參考方向上測得的該第四距離,及該第四局部內連線結構係與該第三閘極電極分隔在該第二參考方向上測得的該第四距離。A component circuit of a semiconductor device according to claim 24, wherein the third partial interconnection structure is separated from the first gate electrode by a fourth distance measured in the second reference direction, and the The third partial interconnection structure is separated from the third gate electrode by the fourth distance measured in the second reference direction, and the fourth partial interconnection structure is separated from the second gate electrode The fourth distance measured in the second reference direction and the fourth partial interconnect structure are separated from the third gate electrode by the fourth distance measured in the second reference direction. 如申請專利範圍第25項之半導體裝置的元件電路,其中,在該第二參考方向上測得的該第四距離係與在該第二參考方向上測得的該第三距離實質上相等。An element circuit of a semiconductor device as claimed in claim 25, wherein the fourth distance measured in the second reference direction is substantially equal to the third distance measured in the second reference direction.
TW106134477A 2012-01-13 2013-01-14 A cell circuit of a semiconductor device TW201803084A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261586387P 2012-01-13 2012-01-13
US61/586,387 2012-01-13
US201261589224P 2012-01-20 2012-01-20
US61/589,224 2012-01-20

Publications (1)

Publication Number Publication Date
TW201803084A true TW201803084A (en) 2018-01-16

Family

ID=48781972

Family Applications (4)

Application Number Title Priority Date Filing Date
TW105125226A TWI581403B (en) 2012-01-13 2013-01-14 Semiconductor device and method of fabricating the semiconductor device and data storage device having computer executable program instructions stored thereon for rendering layout of the semiconductor device
TW106104453A TWI608593B (en) 2012-01-13 2013-01-14 A cell circuit of a semiconductor device
TW102101384A TWI552307B (en) 2012-01-13 2013-01-14 Semiconductor device and method of fabricating the semiconductor device and data storage device having computure executable program instructions stored thereon for rendering layout of the semiconduvtor device
TW106134477A TW201803084A (en) 2012-01-13 2013-01-14 A cell circuit of a semiconductor device

Family Applications Before (3)

Application Number Title Priority Date Filing Date
TW105125226A TWI581403B (en) 2012-01-13 2013-01-14 Semiconductor device and method of fabricating the semiconductor device and data storage device having computer executable program instructions stored thereon for rendering layout of the semiconductor device
TW106104453A TWI608593B (en) 2012-01-13 2013-01-14 A cell circuit of a semiconductor device
TW102101384A TWI552307B (en) 2012-01-13 2013-01-14 Semiconductor device and method of fabricating the semiconductor device and data storage device having computure executable program instructions stored thereon for rendering layout of the semiconduvtor device

Country Status (8)

Country Link
EP (1) EP2803077A4 (en)
JP (3) JP2015506589A (en)
KR (1) KR101913457B1 (en)
CN (2) CN107424999A (en)
AU (4) AU2013207719B2 (en)
SG (2) SG10201605564WA (en)
TW (4) TWI581403B (en)
WO (1) WO2013106799A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI724459B (en) * 2018-07-16 2021-04-11 台灣積體電路製造股份有限公司 Method for generating layout diagram including protruding pin cell regions and semiconductor device based on same

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108630607B (en) 2013-08-23 2022-04-26 株式会社索思未来 Semiconductor integrated circuit device having a plurality of semiconductor chips
WO2015033490A1 (en) 2013-09-04 2015-03-12 パナソニック株式会社 Semiconductor device
JP6640965B2 (en) * 2014-08-18 2020-02-05 ルネサスエレクトロニクス株式会社 Semiconductor device
JP6449082B2 (en) 2014-08-18 2019-01-09 ルネサスエレクトロニクス株式会社 Semiconductor device
US9478541B2 (en) * 2014-09-08 2016-10-25 Qualcomm Incorporated Half node scaling for vertical structures
US9607988B2 (en) 2015-01-30 2017-03-28 Qualcomm Incorporated Off-center gate cut
US9640480B2 (en) * 2015-05-27 2017-05-02 Qualcomm Incorporated Cross-couple in multi-height sequential cells for uni-directional M1
US10177127B2 (en) * 2015-09-04 2019-01-08 Hong Kong Beida Jade Bird Display Limited Semiconductor apparatus and method of manufacturing the same
US10541243B2 (en) 2015-11-19 2020-01-21 Samsung Electronics Co., Ltd. Semiconductor device including a gate electrode and a conductive structure
US9748389B1 (en) 2016-03-25 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain epitaxy
US10262981B2 (en) * 2016-04-29 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system for and method of forming an integrated circuit
US10236302B2 (en) * 2016-06-22 2019-03-19 Qualcomm Incorporated Standard cell architecture for diffusion based on fin count
US9972571B1 (en) * 2016-12-15 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Logic cell structure and method
US10186510B2 (en) * 2017-05-01 2019-01-22 Advanced Micro Devices, Inc. Vertical gate all around library architecture
KR102336784B1 (en) 2017-06-09 2021-12-07 삼성전자주식회사 Semiconductor device
WO2019003840A1 (en) * 2017-06-27 2019-01-03 株式会社ソシオネクスト Semiconductor integrated circuit device
US10503863B2 (en) 2017-08-30 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of manufacturing same
US10468428B1 (en) * 2018-04-19 2019-11-05 Silicon Storage Technology, Inc. Split gate non-volatile memory cells and logic devices with FinFET structure, and method of making same
US10818762B2 (en) * 2018-05-25 2020-10-27 Advanced Micro Devices, Inc. Gate contact over active region in cell
US11017146B2 (en) * 2018-07-16 2021-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of forming the same
US11093684B2 (en) * 2018-10-31 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Power rail with non-linear edge
US11030372B2 (en) 2018-10-31 2021-06-08 Taiwan Semiconductor Manufacturing Company Ltd. Method for generating layout diagram including cell having pin patterns and semiconductor device based on same
US10796061B1 (en) * 2019-08-29 2020-10-06 Advanced Micro Devices, Inc. Standard cell and power grid architectures with EUV lithography
US11862620B2 (en) * 2020-09-15 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Power gating cell structure

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2720783B2 (en) * 1993-12-29 1998-03-04 日本電気株式会社 Semiconductor integrated circuit
JP4437565B2 (en) * 1998-11-26 2010-03-24 富士通マイクロエレクトロニクス株式会社 Semiconductor integrated circuit device, semiconductor integrated circuit device design method, and recording medium
JP2001306641A (en) * 2000-04-27 2001-11-02 Victor Co Of Japan Ltd Automatic arranging and wiring method for semiconductor integrated circuit
US6662350B2 (en) * 2002-01-28 2003-12-09 International Business Machines Corporation FinFET layout generation
US6842048B2 (en) * 2002-11-22 2005-01-11 Advanced Micro Devices, Inc. Two transistor NOR device
US6921982B2 (en) * 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
US6924560B2 (en) * 2003-08-08 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Compact SRAM cell with FinFET
JP2005116969A (en) * 2003-10-10 2005-04-28 Toshiba Corp Semiconductor device and its manufacturing method
KR100702552B1 (en) * 2003-12-22 2007-04-04 인터내셔널 비지네스 머신즈 코포레이션 METHOD AND DEVICE FOR AUTOMATED LAYER GENERATION FOR DOUBLE-GATE FinFET DESIGNS
JP4997969B2 (en) * 2004-06-04 2012-08-15 日本電気株式会社 Semiconductor device and manufacturing method thereof
WO2006090445A1 (en) * 2005-02-23 2006-08-31 Fujitsu Limited Semiconductor circuit device, and method for manufacturing the semiconductor circuit device
JP2007018588A (en) * 2005-07-06 2007-01-25 Toshiba Corp Semiconductor storage device and method of driving the semiconductor storage device
DE102006027178A1 (en) * 2005-11-21 2007-07-05 Infineon Technologies Ag A multi-fin device array and method of fabricating a multi-fin device array
US8124976B2 (en) * 2005-12-02 2012-02-28 Nec Corporation Semiconductor device and method of manufacturing the same
US9563733B2 (en) * 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US7763932B2 (en) * 2006-06-29 2010-07-27 International Business Machines Corporation Multi-bit high-density memory device and architecture and method of fabricating multi-bit high-density memory devices
WO2008059440A2 (en) * 2006-11-14 2008-05-22 Nxp B.V. Double patterning for lithography to increase feature spatial density
US7723786B2 (en) * 2007-04-11 2010-05-25 Ronald Kakoschke Apparatus of memory array using FinFETs
US7453125B1 (en) * 2007-04-24 2008-11-18 Infineon Technologies Ag Double mesh finfet
JP4461154B2 (en) * 2007-05-15 2010-05-12 株式会社東芝 Semiconductor device
JP4445521B2 (en) * 2007-06-15 2010-04-07 株式会社東芝 Semiconductor device
US7625790B2 (en) * 2007-07-26 2009-12-01 International Business Machines Corporation FinFET with sublithographic fin width
US20090057780A1 (en) * 2007-08-27 2009-03-05 International Business Machines Corporation Finfet structure including multiple semiconductor fin channel heights
US8866254B2 (en) * 2008-02-19 2014-10-21 Micron Technology, Inc. Devices including fin transistors robust to gate shorts and methods of making the same
JP5638760B2 (en) * 2008-08-19 2014-12-10 ルネサスエレクトロニクス株式会社 Semiconductor device
JP2010141047A (en) * 2008-12-10 2010-06-24 Renesas Technology Corp Semiconductor integrated circuit device and method of manufacturing the same
US8116121B2 (en) * 2009-03-06 2012-02-14 Kabushiki Kaisha Toshiba Semiconductor device and manufacturing methods with using non-planar type of transistors
JP2010225768A (en) * 2009-03-23 2010-10-07 Toshiba Corp Semiconductor device
US8053299B2 (en) * 2009-04-17 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
JP4751463B2 (en) * 2009-05-25 2011-08-17 本田技研工業株式会社 Fuel cell system
US8076236B2 (en) * 2009-06-01 2011-12-13 Globalfoundries Inc. SRAM bit cell with self-aligned bidirectional local interconnects
US8637135B2 (en) * 2009-11-18 2014-01-28 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform semiconductor device active area pattern formation
CN102074582B (en) * 2009-11-20 2013-06-12 台湾积体电路制造股份有限公司 Integrated circuit structure and formation method thereof
US8675397B2 (en) * 2010-06-25 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structure for dual-port SRAM
US8860107B2 (en) * 2010-06-03 2014-10-14 International Business Machines Corporation FinFET-compatible metal-insulator-metal capacitor

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI724459B (en) * 2018-07-16 2021-04-11 台灣積體電路製造股份有限公司 Method for generating layout diagram including protruding pin cell regions and semiconductor device based on same

Also Published As

Publication number Publication date
AU2013207719B2 (en) 2016-02-25
JP2015506589A (en) 2015-03-02
CN104303263B (en) 2016-12-14
TWI581403B (en) 2017-05-01
AU2016202229A1 (en) 2016-05-05
TW201349451A (en) 2013-12-01
WO2013106799A1 (en) 2013-07-18
JP2017224858A (en) 2017-12-21
AU2016202229B2 (en) 2018-02-15
EP2803077A4 (en) 2015-11-04
TW201642440A (en) 2016-12-01
AU2013207719A1 (en) 2014-07-31
JP2019054297A (en) 2019-04-04
KR101913457B1 (en) 2018-10-30
CN104303263A (en) 2015-01-21
SG11201404024YA (en) 2014-08-28
AU2020201521A1 (en) 2020-03-19
CN107424999A (en) 2017-12-01
KR20140114424A (en) 2014-09-26
AU2018200549A1 (en) 2018-02-15
TWI608593B (en) 2017-12-11
TWI552307B (en) 2016-10-01
TW201717355A (en) 2017-05-16
JP6467476B2 (en) 2019-02-13
AU2018200549B2 (en) 2019-12-05
SG10201605564WA (en) 2016-09-29
EP2803077A1 (en) 2014-11-19

Similar Documents

Publication Publication Date Title
AU2018200549B2 (en) Circuits with linear finfet structures
US9009641B2 (en) Circuits with linear finfet structures
US9633987B2 (en) Integrated circuit cell library for multiple patterning
TWI389298B (en) Semiconductor device with dynamic array section
JPH11297836A (en) Semiconductor device and design thereof, recording medium and semiconductor device design support device
JP2008078508A (en) Semiconductor integrated circuit and manufacturing method of the semiconductor integrated circuit
TWI623845B (en) Semiconductor chip
TWI472015B (en) Dynamic array architecture
TWI806282B (en) Integrated circuit device
TWI814351B (en) Hybrid cell-based device, layout, and method
TW202306043A (en) Integrated circuit and method of forming the same
TW202349656A (en) Integrated circuit and method of forming the same
JP2003282713A (en) Semiconductor device, designing method for semiconductor device, recording medium and design support device for semiconductor device