TW201712739A - 包含鰭式結構的半導體裝置及其製造方法 - Google Patents

包含鰭式結構的半導體裝置及其製造方法 Download PDF

Info

Publication number
TW201712739A
TW201712739A TW104137834A TW104137834A TW201712739A TW 201712739 A TW201712739 A TW 201712739A TW 104137834 A TW104137834 A TW 104137834A TW 104137834 A TW104137834 A TW 104137834A TW 201712739 A TW201712739 A TW 201712739A
Authority
TW
Taiwan
Prior art keywords
fin
layer
fin structure
insulating layer
level
Prior art date
Application number
TW104137834A
Other languages
English (en)
Other versions
TWI594305B (zh
Inventor
趙元舜
曹志彬
陳豪育
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201712739A publication Critical patent/TW201712739A/zh
Application granted granted Critical
Publication of TWI594305B publication Critical patent/TWI594305B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • H01L29/7854Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

一種用於製造半導體裝置的方法包含在基板上方形成鰭式結構。所述鰭式結構具有頂表面及側表面,並且所述頂表面位於從所述基板量起的高度H0處。在所述鰭式結構及所述基板上方形成絕緣層。在第一凹陷步驟中,使所述絕緣層凹陷到從所述基板量起的高度T1,使得所述鰭式結構的上方部分從所述絕緣層暴露。在所述已暴露上方部分上方形成半導體層。在形成所述半導體層之後,在第二凹陷步驟中,使所述絕緣層凹陷到從所述基板量起的高度T2,使得所述鰭式結構的中間部分從所述絕緣層暴露。在所述鰭式結構的所述上方部分連同所述半導體層以及所述已暴露中間部分的上方形成柵極結構。

Description

包含鰭式結構的半導體裝置及其製造方法
本發明是有關於半導體積體電路,並且更明確地說是有關於具有鰭式結構的半導體裝置及其製造過程。
由於半導體工業已發展到追求更高裝置密度、更高性能及更低成本的奈米技術製程節點,因此來自製造及設計問題兩方面的挑戰已導致三維設計(例如鰭式場效應電晶體(鰭式FET))的開發。鰭式FET裝置通常包含具有高縱橫比且其中形成有半導體電晶體的通道及源極/汲極區的半導體鰭狀物。柵極在鰭式裝置的側面上方並且沿著鰭式裝置的側面形成(例如,纏繞),從而利用通道及源極/汲極區的增加的表面積的優點產生更快、更可靠且更好控制的半導體電晶體裝置。在鰭式FET裝置中,鰭式結構的上方部分充當通道,同時鰭式結構的下部部分充當阱。
本揭露提供一種用於製造半導體裝置的方法,其包括:在基板上方形成鰭式結構,所述鰭式結構具有頂表面及側表面,所述頂表面位於從所述基板量起的高度H0處;在所述鰭式結構及所述基板上方形成絕緣層;使所述絕緣層第一凹陷到從所述基板量起的高度T1,使得所述鰭式結構的上方部分從所述絕緣層暴露;在所述鰭式結構的所述已暴露上方部分上方形成半導體層;在形成所述半導體層之後,使所述絕緣層第二凹陷到從所 述基板量起的高度T2,使得所述鰭式結構的中間部分從所述絕緣層暴露;以及在所述鰭式結構的所述上方部分連同所述半導體層以及所述已暴露中間部分的上方形成柵極結構。
根據本揭露的另一方面,一種半導體裝置包含鰭式場效應電晶體(鰭式FET)。所述鰭式FET包括位於基板上方的鰭式結構、隔離絕緣層以及柵極結構。所述鰭式結構包含所述鰭式FET的通道區。所述隔離絕緣層位於所述基板上方且覆蓋所述鰭式結構的下部部分。所述鰭式FET的所述通道區從所述隔離絕緣層突出。所述柵極結構位於所述通道區上方。所述通道區在所述隔離絕緣層的表面水平處具有第一寬度W1、在位於所述表面水平以上的第一水平處具有最小寬度W5、並且在位於所述第一水平以上的第二水平處具有所述通道區位於所述第一水平以上的最大寬度W6。
根據本揭露的另一方面,一種半導體裝置包含鰭式場效應電晶體(鰭式FET)。所述鰭式FET包括至少兩個鰭式結構、隔離絕緣層以及柵極結構。所述鰭式結構位於基板上方,並且所述鰭式結構分別包含所述鰭式FET的通道區。隔離絕緣層位於所述基板上方並且覆蓋所述鰭式結構的下部部分。所述鰭式FET的通道區從隔離絕緣層突出。所述柵極結構位於所述通道區上方。與隔離絕緣層的上表面的距離沿向上方向增加,所述通道區的寬度減小,在第一水平處達到最小寬度,接著增加並且達到最大寬度(其為所述第一水平以上的最大寬度)。所述柵極結構包含位於所述通道區上方的柵極介電層、位於所述柵極介電層上方的功函數調整層以及位於所述功函數調整層的柵極電極層。所述通道區之間的柵極電極層的下部部分位於所述第一水平以上。
10‧‧‧基板
20‧‧‧鰭式結構
30‧‧‧隔離絕緣層
40‧‧‧磊晶層
50‧‧‧通道區
100‧‧‧遮罩層
105‧‧‧襯墊氧化物層
110‧‧‧氮化矽遮罩層
120‧‧‧遮罩圖案
200‧‧‧柵極結構
210‧‧‧介面層
220‧‧‧柵極介電層
230‧‧‧功函數調整層
240‧‧‧柵極電極層
250‧‧‧空隙
H0、H1、H2、H3、H4、H5‧‧‧高度(水平)
H4'、H5'‧‧‧高度(水平)
Hc、Ht、Hc'、Ht'‧‧‧高度(水平)
W1、W2、W3、W4、W5、W6‧‧‧寬度
W5'、W6'‧‧‧寬度
PI
T1、T2‧‧‧高度
由以下詳細說明與附隨圖式得以最佳瞭解本揭露之各方面。注意,根據產業之標準實施方式,各種特徵並非依比例繪示。實際上,為了清楚討論,可任意增大或縮小各種特徵的尺寸。
圖1到6展示說明根據本揭露的一個實施例用於製造鰭式FET裝置的順序製程的示例性橫截面圖。
圖7到9展示說明根據本揭露的另一實施例用於製造鰭式FET裝置的順序製程的示例性橫截面圖。
圖10到12為根據本揭露的各種實施例鰭式FET裝置的示例性橫截面圖。
以下揭示內容提供許多不同的實施例或範例,用於實施本揭露之不同特徵。元件與配置的特定範例之描述如下,以簡化本揭露之揭示內容。當然,這些僅為範例,並非用於限制。例如,以下描述在第二特徵上或上方形成第一特徵,可包含第一與第二特徵直接接觸的之實施例,亦可包含在該第一與第二特徵之間形成其他特徵的實施例,因而該第一與第二特徵並非直接接觸。此外,本揭露可在不同範例中重複元件符號與/或字母。此重複係為了簡化與清楚之目的,而非描述不同實施例與/或所討論架構之間的關係。
再者,本揭露可使用空間相對用語,例如「之下」、「低於」、「較低」、「高於」、「較高」等類似用語之簡單說明,以描述圖式中一元件或特徵與另一元件或特徵的關係。空間相對用語係用以包括除了裝置在圖式中描述的位向之外,還有在使用中或步驟中之不同位向。該裝置或可被重新定位(旋轉90度或是其他位向),並且可相應解釋本揭露案使用的空間對應描述。另外,術語“由......製成”可意謂“包括”或“由......組成”。
圖1到6展示說明根據本揭露的一個實施例用於製造鰭式FET裝置的順序製程的示例性橫截面圖。應理解,對於所述方法的額外實施例,額外步驟可在圖1到6所示的製程之前、期間或之後提供,並且下文所描述的步驟中的部分可被替換或消除。所述步驟/製程的次序可互換。
如圖1中所示,藉由(例如)熱氧化製程及/或化學氣相沉積 (CVD)製程在基板10上方形成遮罩層100。在一個實施例中,基板10為(例如)雜質濃度在約5×1014cm-3與約5×1015cm-3的範圍內的p型矽基板。在其它實施例中,基板10為雜質濃度在約5×1014cm-3與約5×1015cm-3的範圍內的n型矽基板。替代地,基板10可包括:另一元素半導體,例如鍺;化合物半導體,包含IV-IV化合物半導體(例如SiC及SiGe)、UI-V化合物半導體(例如GaAs、GaP、GaN、InP、InAs、InSb、GaAsP、AlGaN、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP);或其組合。在一個實施例中,基板10為SOI(絕緣體上矽)基板的矽層。當使用SOI基板時,鰭式結構可從SOI基板的矽層突出或可從SOI基板的絕緣體層突出。在後一情況下,SOI基板的矽層用以形成鰭式結構。非晶基板(例如非晶Si或非晶SiC)或絕緣材料(例如氧化矽)也可用作為基板10。基板10可包含已合適地摻雜有雜質(例如,p型或n型傳導性)的各種區。
在一些實施例中,遮罩層100包含(例如)襯墊氧化物(例如,氧化矽)層105及氮化矽遮罩層110。襯墊氧化物層105可藉由使用熱氧化或CVD製程而形成。氮化矽遮罩層110可藉由例如濺射方法的物理氣相沉積(PVD)、CVD、電漿增強型化學氣相沉積(PECVD)、大氣壓力化學氣相沉積(APCVD)、低壓CVD(LPCVD)、高密度電漿CVD(HDPCVD)、原子層沉積(ALD)及/或其它製程而形成。
在一些實施例中,襯墊氧化物層105的厚度在約2nm到約15nm的範圍內,並且氮化矽遮罩層110的厚度在約2nm到約50nm的範圍內。在遮罩層100上方另外形成遮罩圖案120。遮罩圖案120為(例如)藉由光微影法形成的光阻圖案。
藉由使用遮罩圖案120作為蝕刻遮罩,形成襯墊氧化物層105及氮化矽遮罩層100的硬式遮罩圖案。在一些實施例中,硬式遮罩圖案的寬度在約5nm到約20nm的範圍內。在特定實施例中,硬式遮罩圖案的寬度在約7nm到約12nm的範圍內。
如圖2中所示,藉由使用硬式遮罩圖案作為蝕刻遮罩,藉由使用乾式蝕刻方法及/或濕式蝕刻方法的溝槽蝕刻將基板10圖案化成鰭式結構20。鰭式結構20的高度H0在約100nm到約300nm的範圍內。在特定實施例中,高度H0在約50nm到約100nm的範圍內。當所述鰭式結構的高度不均勻時,從基板量起的高度H0可從對應於所述鰭式結構的平均高度的平面量起。
在圖2中,三個鰭式結構20位於基板10上方且沿X方向延伸且沿Y方向佈置。然而,鰭式結構的數目不限於三個。所述數目可小至一個,或為四個或四個以上。另外,一或多個虛擬鰭式結構可安置在相鄰鰭式結構20的兩側以改進圖案化製程中的圖案精確度。在一些實施例中,多個鰭式結構的間距為恒定的。
在這個實施例中,塊體矽片被用作為起始材料且構成基板10。然而,在一些實施例中,其它類型的基板被用作為基板10。舉例來說,絕緣體上矽(SOI)晶片可被用作為起始材料,並且SOI晶片的絕緣體層構成基板10,並且SOI晶片的矽層用於鰭式結構20。
在圖2中,高度H1對應於所述鰭式FET的通道區從鰭式結構的頂部量起的高度。如下文所描述,高度H1為鰭式結構的頂部沿著垂直(Z)方向到隔離絕緣層的表面之間的距離。H1也可由H0-T2定義,其中T2為隔離絕緣層表面的高度(水平)。高度H2為從鰭式結構的頂部量起的高度H1的約50%,並且高度H3為從鰭式結構的頂部量起的高度H1的約25%。當隔離絕緣層的表面不平坦時,高度H1是由隔離絕緣層30的表面的平均高度定義。在其它實施例中,H2可為H1的約40%到60%,並且H3可為H1的約20%到30%。
在形成鰭式結構之後,在一些實施例中,鰭式結構在高度H1處的寬度W1在約10nm到20nm的範圍內;或在其它實施例中,約12nm到約18nm的範圍內。鰭式結構在高度H2處的寬度W2,在一些實施例中, 在約9nm到18nm的範圍內;或在其它實施例中,在約10nm到約16nm的範圍內。鰭式結構在高度H3處的寬度W3,在一些實施例中,在約8nm到16nm的範圍內;或在其它實施例中,在約9nm到約15nm的範圍內。鰭式結構在靠近鰭式結構的頂部處的寬度W4,在一些實施例中,在約6nm到15nm的範圍內;或在其它實施例中,在約8nm到約14nm的範圍內。
如圖3中所示,在基板10及鰭式結構20上方形成隔離絕緣層30(或所謂的“淺溝槽隔離(STI)”層)。在基板10上方形成絕緣(或介電)材料的毯覆層,使得鰭式結構20完全嵌入於所述毯覆絕緣層中,並且接著執行平坦化步驟(例如,化學機械拋光(CMP)製程或回蝕製程)以便暴露鰭式結構的頂表面。在平坦化步驟期間,移除硬式遮罩圖案。
隔離絕緣層30由(例如)藉由LPCVD(低壓化學氣相沉積)、電漿CVD或可流動CVD形成的二氧化矽製成。在可流動CVD中,沉積可流動介電材料而非氧化矽。可流動介電材料,如其名稱所含示,能夠在沉積期間“流動”以填充具有高縱橫比的間隙或空間。通常,各種化學物品添加到含矽的前驅物以使沉積膜流動。在一些實施例中,添加氮氫鍵。可流動介電質前驅物、明確地說可流動氧化矽前驅物的實例包含矽酸鹽、矽氧烷、甲基倍半矽氧烷(MSQ)、氫倍半矽氧烷(HSQ)、MSQ/HSQ、全氫矽氮烷(TCPS)、全氫聚矽氮烷(PSZ)、正矽酸四乙酯(TEOS),或例如三甲矽烷基胺(TSA)的甲矽烷基胺。這些可流動氧化矽材料是經多步驟製程形成。在沉積可流動膜之後,所述膜固化且接著經退火以移除非所要元素而形成氧化矽。當非所要元素移除時,可流動膜變得緻密且收縮。在一些實施例中,進行多個退火製程。隔離絕緣層30可藉由使用SOG而形成。在一些實施例中,SiO、SiON、SiOCN或氟摻雜矽酸鹽玻璃(FSG)可用作為隔離絕緣層30。在形成隔離絕緣層30之後,可執行例如退火製程的加熱製程以改進隔離絕緣層30的品質。
接下來,如圖4中所示,隔離絕緣層30的厚度藉由(例如)回蝕製程而減小到高度H2或從基板量起的高度T1。所述回蝕製程可藉由使用 NF3及NH3氣體的遠端電漿蝕刻來執行。藉由調整蝕刻時間,能夠獲得隔離絕緣層130的所要厚度。藉由減小隔離絕緣層30的厚度,鰭式結構的上方部分(通道區的約50%)暴露。
在隔離絕緣層30的形成及凹陷隔離絕緣層30期間,鰭式結構20稍微失去其寬度。舉例來說,在隔離絕緣層30凹陷之後,鰭式結構在高度H1處的寬度W1在一些實施例中在約8nm到18nm的範圍內,或在其它實施例中在約10nm到約16nm的範圍內。鰭式結構在高度H2處的寬度W2在一些實施例中在約7nm到16nm的範圍內,或在其它實施例中在約8nm到約14nm的範圍內。鰭式結構在高度H3處的寬度W3在一些實施例中在約5nm到13nm的範圍內,或在其它實施例中在約6nm到約12nm的範圍內。鰭式結構在靠近鰭式結構的頂部處的寬度W4在一些實施例中在約4nm到12nm的範圍內,或在其它實施例中在約5nm到約10nm的範圍內。
接著,如圖5中所示,在鰭式結構20的已暴露上方部分上方形成磊晶層40。在一個實施例中,在所述已暴露上方部分上方形成Si磊晶層40,所述Si磊晶層的厚度在約0.5nm到約2nm的範圍內。在其它實施例中,所述Si磊晶層的厚度在約0.8nm到約1.2nm的範圍內。
Si磊晶層40可使用SiH4、Si2H6及/或SiH2Cl2作為起源氣體藉由CVD、ALD或MBE(分子束磊晶)形成。磊晶層40摻雜有例如C、B、P或As的適當摻雜劑,或在其它實施例中為本質(instrinsic)的型態。在一些實施例中,鰭式結構20的上方部分由Ge或SiGe製成。在其它實施例中,磊晶層40包含Ge或SiGe。
如圖6中所示,隔離絕緣層30的厚度進一步減小到高度H1。如圖6中所示,鰭式結構的上方部分的橫截面大體上為卵形,並且鰭式結構的整個橫截面形狀類似於“保齡球瓶(bowling pin)”。隔離絕緣層的上表面以上的鰭式結構將變為鰭式FET的通道區50,鰭式結構沿著Y方向的寬度如以下所述地變化。在隔離絕緣層的上表面附近的水平處(實質上處於高度 H1),鰭式結構具有寬度W1。鰭式結構的寬度隨著與隔離絕緣層的上表面的距離增加(向上方向)而減小,並且在從具有磊晶層40的鰭式結構20的頂部量起的水平(或高度)H4處具有最小寬度W5。接著,隨著與隔離絕緣層的上表面的距離朝著鰭式結構的頂部進一步增加,寬度在從具有磊晶層40的鰭式結構20的頂部量起的水平H5處變為最大值W6。在一個實施例中,W5<W1<W6,並且在其它實施例中,W5<W6<W1,並且在這一情況下,W6為H4以上的局部最大值。在一些實施例中,W6/W5在約1.1到約1.5的範圍內且在其它實施例中在約1.15到1.3的範圍內。
在一些實施例中,在第一凹陷步驟之後從基板到絕緣層的表面量起的高度T1在如下範圍內:在第二凹陷步驟之後從基板到絕緣層的表面量起的高度T2加上鰭式結構的頂表面的高度H0與第二凹陷之後的絕緣層的高度T2之間的差的40%到60%。換句話說,T1在T2加上(H0-T2)的40%到60%的範圍內。在特定實施例中,T1在T2加上(H0-T2)的70%到80%的範圍內。
水平H4在一些實施例中位於從通道區的頂部起的總通道高度Hc的約20%到約60%處,並且在其它實施例中位於從頂部起的Hc的約25%到50%處。水平H5在一些實施例中位於從通道區的頂部起的總通道高度Hc的約5%到約50%處,並且在其它實施例中位於從頂部起的Hc的約10%到40%處。Hc也可由Ht-T2定義,其中T2為剩餘隔離絕緣層的表面的高度(水平)且Ht為鰭式結構從基板起的總高度。
鰭式結構在隔離絕緣層經第二次凹陷步驟之後的高度H1處的寬度W1在一些實施例中在約8nm到18nm的範圍內,或在其它實施例中在約10nm到約16nm的範圍內。鰭式結構在水平H4處的最小寬度W5在一些實施例中在約6nm到14nm的範圍內,或在其它實施例中在約8nm到約12nm的範圍內。鰭式結構的寬度W6在一些實施例中在約7nm到18nm的範圍內,或在其它實施例中在約8nm到約14nm的範圍內。
圖7到9展示說明根據本揭露的另一實施例用於製造鰭式FET裝置的依序製程的示例性橫截面圖。相同或相似於圖1到6的結構、材料、配置、步驟以及製程的結構、材料、配置、步驟以及製程可適用於這一實施例,並且詳細解釋可省略。
儘管在圖4中,隔離絕緣層30凹陷到高度H2,但在圖7中,隔離絕緣層30凹陷到高度H3,以便暴露鰭式結構20的上方部分。
在使隔離絕緣層30凹陷之後,鰭式結構在高度H1處的寬度W1在一些實施例中在約8nm到18nm的範圍內,或在其它實施例中在約10nm到約16nm的範圍內。鰭式結構在高度H2處的寬度W2在一些實施例中在約6nm到17nm的範圍內,或在其它實施例中在約9nm到約15nm的範圍內。鰭式結構在高度H3處的寬度W3在一些實施例中在約5nm到13nm的範圍內,或在其它實施例中在約6nm到約12nm的範圍內。鰭式結構在靠近鰭式結構的頂部處的寬度W4在一些實施例中在約4nm到12nm的範圍內,或在其它實施例中在約5nm到約10nm的範圍內。
類似於圖5,在鰭式結構20的已暴露上方部分上方形成磊晶層40,如圖8中所示。
接著,類似於圖6,隔離絕緣層30的厚度進一步減小到高度H1,如圖9中所示。鰭式結構的上方部分的橫截面大體上為卵形,並且鰭式結構的整個橫截面形狀類似於“保齡球瓶”。類似於圖6,隔離絕緣層的上表面以上的鰭式結構將變成鰭式FET的通道區50,鰭式結構沿著Y方向的寬度變化,具有高度H1處的寬度W1、水平(或高度)H4'處的最小寬度W5'及水平H5'處的最大值W6',如圖9中所示。在一個實施例中,W5'<W1<W6',並且在其它實施例中,W5'<W6'<W1,並且在這情況下,W6'為H4'以上的局部最大值。在一些實施例中,W6'/W5'在約1.1到約1.5的範圍內並且在其它實施例中在約1.15到1.3的範圍內。
在一些實施例中,水平H4'位於從通道區的頂部起的總通 道高度Hc'的約10%到約40%處,並且,在其它實施例中,位於從頂部起的Hc'的約15%到30%處。在一些實施例中,水平H5'位於從通道區的頂部起的總通道高度Hc'的約5%到約30%處,並且,在其它實施例中,位於從頂部起的Hc'的約10%到20%處。
在隔離絕緣層第二次凹陷之後,鰭式結構在高度H1處的寬度W1在一些實施例中在約8nm到18nm的範圍內,或在其它實施例中在約10nm到約16nm的範圍內。鰭式結構在水平H4'處的最小寬度W5'在一些實施例中在約5nm到13nm的範圍內,或在其它實施例中在約7nm到約11nm的範圍內。鰭式結構的寬度W6'在一些實施例中在約7nm到17nm的範圍內,或在其它實施例中在約8nm到約13nm的範圍內。
在圖6或9中暴露通道區50之後,在鰭式結構20上方形成柵極結構。圖10到12顯示在形成柵極結構200之後根據本揭露各種實施例的鰭式FET裝置的示例性橫截面圖。
柵極結構200可藉由“先柵極(gate-first)”製程或“後柵極(gate-last)”(或替換柵極)製程形成。圖10到12展示“後柵極”製程的情況。
在後柵極製程中,在通道區上方形成包含虛擬柵極介電層及虛擬柵極電極層的虛擬柵極結構,並且接著使未被虛擬柵極結構覆蓋的柵極結構凹陷到隔離絕緣層以下。接著,在凹陷部分中形成源極及汲極結構(未圖示)以在隔離絕緣層上方延伸。在虛擬柵極結構及源極汲極結構上方形成作為層間介電(ILD)層(未圖示)的介電層。在對ILD層的平坦化步驟之後,移除虛擬柵極結構以形成柵極空間,在所述柵極空間中,鰭式結構的通道區暴露。接著,在已暴露通道區上形成介面層210,並且在介面層210(及隔離絕緣層30)上方形成柵極介電層220。此外,在柵極介電層220上方形成功函數調整層230,且接著在功函數調整層230上方形成柵極電極層240(參見圖10)。
介面層210包含由(例如)氧化矽製成的厚度為約1nm到3nm 的薄絕緣層。所述介面層可省略。
柵極介電層220可包含以下各者的一或多個層:氧化矽、氮化矽、氮氧化矽或高k介電材料。高k介電材料包括金屬氧化物。用於高k介電質的金屬氧化物的實例包含以下各者的氧化物:Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Hf、Al、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu及/或其混合物。在一些實施例中,使用以下各者的一或多個層作為高k介電材料:HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-礬土(HfO2-Al2O3)合金。柵極介電層220的厚度在約1nm到7nm的範圍內。
功函數調整層230包含以下各者的一或多個層:TiN、TaN、TaAlC、TiC、TaC、Co、Al、TiAl、HfTi、TiSi、TaSi或TiAlC。對於n通道鰭式FET,TaN、TaAlC、TiN、TiC、Co、TiAl、HfTi、TiSi及TaSi的一或多個層可用作功函數調整層,並且對於p通道鰭式FET,TiAlC、Al、TiAl、TaN、TaAlC、TiN、TiC及Co的一或多個層被用作功函數調整層。功函數調整層可藉由ALD、PVD、CVD、電子束蒸發、電鍍或其它合適製程形成。此外,功函數調整層可針對可使用不同金屬層的n通道鰭式FET及p通道鰭式FET單獨形成。功函數調整層可省略。
柵極電極層240包含例如以下各者的導電材料的一或多個層:多晶矽、鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、TiN、WN、TiAl、TiAIN、TaCN、TaC、TaSiN、金屬合金、其它合適材料及/或其組合。柵極電極層240可藉由ALD、PVD、CVD、電子束蒸發、電鍍或其它合適製程形成。
功函數調整層230的厚度可改變。圖10展示的實施例,其中功函數調整層230的厚度相對較小。在一些實施例中,功函數調整層230的厚度在約5nm到約10nm的範圍內。在圖10中,相鄰鰭式結構20的間距PI相對較大,例如在約3×W6(或W6')到約6×W6(或W6')的範圍內。在這情況下, 功函數調整層230能夠保形地形成於通道區50上方,並且柵極電極層240能夠填充相鄰通道區之間的空間。在圖10中,由於功函數調整層230能夠保形地形成於通道區50上方,因此柵極電極層240的底部位於水平H4(或H4')以下。
圖11及12展示功函數調整層230的厚度變得更厚及/或間距PI變得更小的情況。
在圖11及12中,功函數調整層230的厚度在約4nm到約25nm的範圍內,並且相鄰鰭式結構20的間距PI在約2×W6(或W6')到約4×W6(或W6')的範圍內。在這情況下,功函數調整層230形成垂懸物(overhang)並且可形成相鄰通道區之間的空隙250,如圖11中所示。在特定實施例中,間距PI從約20nm變到約50nm。在其它實施例中,功函數調整層230完全填充相鄰通道區之間的空間,並且功函數調整層230的側面連結在一起,如圖12中所示。在圖11及12中,由於相鄰通道區之間的空間經功函數調整層230填充,因此柵極電極層240的底部位於水平H4(或H4')以上。
本文中所描述的各種實施例或實例提供相對於現有技術的若干優點。舉例來說,在本揭露中,由於鰭式結構中的通道區的上方部分具有卵形或保齡球瓶形狀,因此有效通道面積能夠減小,並且FET性能可獲得改善。此外,通道區的圓角形狀也能夠防止可能在銳角轉角處發生的電場集中。
將瞭解的是,並非全部優點已必定在本文中討論,沒有特定優點是所有實施例或實例所需要的,並且其它實施例或實例可提供不同優點。
根據本揭露的一個方面,一種用於製造半導體裝置的方法包含在基板上方形成鰭式結構。所述鰭式結構具有頂表面及側表面,並且所述頂表面位於從所述基板量起的高度H0處。在所述鰭式結構及所述基板上方形成絕緣層。在第一凹陷步驟中,使所述絕緣層凹陷到從所述基板量起的 高度T1,使得所述鰭式結構的上方部分從所述絕緣層暴露。在所述鰭式結構的所述已暴露上方部分上方形成半導體層。在形成所述半導體層之後,在第二凹陷步驟中,使所述絕緣層凹陷到從所述基板量起的高度T2,使得所述鰭式結構的中間部分從所述絕緣層暴露。在所述鰭式結構的所述上方部分連同所述半導體層以及所述已暴露中間部分的上方形成柵極結構。
根據本揭露的另一方面,一種半導體裝置包含鰭式場效應電晶體(鰭式FET)。所述鰭式FET包括位於基板上方的鰭式結構、隔離絕緣層以及柵極結構。所述鰭式結構包含所述鰭式FET的通道區。所述隔離絕緣層位於所述基板上方且覆蓋所述鰭式結構的下部部分。所述鰭式FET的所述通道區從所述隔離絕緣層突出。所述柵極結構位於所述通道區上方。所述通道區在所述隔離絕緣層的表面水平處具有第一寬度W1、在位於所述表面水平以上的第一水平處具有最小寬度W5、並且在位於所述第一水平以上的第二水平處具有所述通道區位於所述第一水平以上的最大寬度W6。
根據本揭露的另一方面,一種半導體裝置包含鰭式場效應電晶體(鰭式FET)。所述鰭式FET包括至少兩個鰭式結構、隔離絕緣層以及柵極結構。所述鰭式結構位於基板上方,並且所述鰭式結構分別包含所述鰭式FET的通道區。隔離絕緣層位於所述基板上方並且覆蓋所述鰭式結構的下部部分。所述鰭式FET的通道區從隔離絕緣層突出。所述柵極結構位於所述通道區上方。與隔離絕緣層的上表面的距離沿向上方向增加,所述通道區的寬度減小,在第一水平處達到最小寬度,接著增加並且達到最大寬度(其為所述第一水平以上的最大寬度)。所述柵極結構包含位於所述通道區上方的柵極介電層、位於所述柵極介電層上方的功函數調整層以及位於所述功函數調整層的柵極電極層。所述通道區之間的柵極電極層的下部部分位於所述第一水平以上。
前述內容概述一些實施方式的特徵,因而熟知此技藝之人士可更加理解本申請案揭示內容之各方面。熟知此技藝之人士應理解可輕易 使用本申請案揭示內容作為基礎,用於設計或修飾其他製程與結構而實現與本申請案該之實施方式具有相同目的與/或達到相同優點。熟知此技藝之人士亦應理解此均等架構並不脫離本申請案揭示內容的精神與範圍,以及熟知此技藝之人士可進行各種變化、取代與替換,而不脫離本申請案揭示內容之精神與範圍。
30‧‧‧隔離絕緣層
50‧‧‧通道區
H1、H4、H5‧‧‧高度(水平)
Hc、Ht‧‧‧高度(水平)
W1、W5、W6‧‧‧寬度
T1、T2‧‧‧高度

Claims (10)

  1. 一種用於製造半導體裝置的方法,其包括:在基板上方形成鰭式結構,該鰭式結構具有頂表面及側表面,該頂表面位於從該基板量起的高度H0處;在該鰭式結構及該基板上方形成絕緣層;使該絕緣層第一凹陷到從該基板量起的高度T1,使得該鰭式結構的上方部分從該絕緣層暴露;在該鰭式結構的該已暴露上方部分的上方形成半導體層;在形成該半導體層之後,使該絕緣層第二凹陷到從該基板量起的高度T2,使得該鰭式結構的中間部分從該絕緣層暴露;以及在該鰭式結構的該上方部分連同該半導體層以及該已暴露中間部分的上方形成柵極結構。
  2. 根據請求項1所述的方法,其中T1在T2加上(H0-T2)的40%到60%的範圍。
  3. 根據請求項2所述的方法,其中該半導體層的厚度在0.5nm到2nm的範圍。
  4. 根據請求項2所述的方法,其中:該鰭式結構的該上方部分連同該半導體層以及該已暴露中間部分構成通道區,該通道區在該絕緣層進行該第二凹陷步驟之後,在位於表面水平以上的第一水平處具有最小寬度,並且在位於該第一水平以上的第二水平處具有最大寬度。
  5. 根據請求項6所述的方法,其中該第一水平位於該通道區的頂部之下至該通道區從該表面水平量起的高度的20%到60%。
  6. 一種包含鰭式場效應電晶體(鰭式FET)的半導體裝置,該鰭式FET包 括:位於基板上方的鰭式結構,該鰭式結構包含該鰭式FET的通道區;位於該基板上方且覆蓋該鰭式結構的下部部分的隔離絕緣層,該鰭式FET的該通道區從該隔離絕緣層突出;位於該通道區上方的柵極結構,其中:該通道區在該隔離絕緣層的表面水平處具有第一寬度W1、在該表面水平以上的第一水平處具有最小寬度W5,並在該第一水平以上的第二水平處具有該通道區在該第一水平以上的最大寬度W6。
  7. 根據請求項10所述的半導體裝置,其中該通道區中位於該第一水平以上的上方部分的橫截面具有卵形形狀。
  8. 根據請求項10所述的半導體裝置,其中該通道區的橫截面具有保齡球瓶形狀。
  9. 根據請求項10所述的半導體裝置,其中W5<W1W6。
  10. 一種包含鰭式場效應晶體(鰭式FET)的半導體裝置,該鰭式FET包括:位於基板上方的至少兩個鰭式結構,該些鰭式結構分別包含該鰭式FET的通道區;位於該基板上方且覆蓋該鰭式結構的下部部分的隔離絕緣層,該鰭式FET的該些通道區從該隔離絕緣層突出;位於該些通道區上方的柵極結構,其中:隨著與該隔離絕緣層的上表面的距離沿向上方向增加,該些通道區的寬度減小,在第一水平處達到最小寬度,然後增加且達到最大寬度,該最大寬度為該第一水平以上的最大寬度,該柵極結構包含位於該通道區上方的柵極介電層、位於該柵極介電層上方的功函數調整層以及位於該功函數調整層上方的柵極電極層,在該些通道區之間的該柵極電極層的最低部分位於該第一水平以上。
TW104137834A 2015-09-30 2015-11-17 包含鰭式結構的半導體裝置及其製造方法 TWI594305B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/872,083 US10109742B2 (en) 2015-09-30 2015-09-30 Semiconductor device including fin structures and manufacturing method thereof

Publications (2)

Publication Number Publication Date
TW201712739A true TW201712739A (zh) 2017-04-01
TWI594305B TWI594305B (zh) 2017-08-01

Family

ID=58406931

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104137834A TWI594305B (zh) 2015-09-30 2015-11-17 包含鰭式結構的半導體裝置及其製造方法

Country Status (3)

Country Link
US (1) US10109742B2 (zh)
CN (1) CN106558500B (zh)
TW (1) TWI594305B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11901457B2 (en) * 2019-12-02 2024-02-13 Intel Corporation Fin shaping and integrated circuit structures resulting therefrom
CN113889527A (zh) * 2021-09-06 2022-01-04 上海集成电路装备材料产业创新中心有限公司 鳍部形貌优化的鳍式晶体管及制作方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8395195B2 (en) * 2010-02-09 2013-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-notched SiGe FinFET formation using condensation
US8889494B2 (en) * 2010-12-29 2014-11-18 Globalfoundries Singapore Pte. Ltd. Finfet
CN102820334B (zh) * 2011-06-08 2017-04-12 联华电子股份有限公司 鳍式场效晶体管结构与形成鳍式场效晶体管结构的方法
US8841701B2 (en) * 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8723236B2 (en) * 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
KR20130106093A (ko) * 2012-03-19 2013-09-27 삼성전자주식회사 전계 효과 트랜지스터 및 그 형성 방법
CN105612618B (zh) 2013-09-27 2019-07-23 英特尔公司 用于嵌入式动态随机存取存储器(eDRAM)的低泄漏非平面存取晶体管
US9520502B2 (en) * 2013-10-15 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs having epitaxial capping layer on fin and methods for forming the same
KR102094535B1 (ko) * 2014-03-21 2020-03-30 삼성전자주식회사 트랜지스터 및 그 제조 방법
CN105261645B (zh) * 2014-07-16 2020-02-21 联华电子股份有限公司 半导体装置及其制作方法

Also Published As

Publication number Publication date
US10109742B2 (en) 2018-10-23
CN106558500B (zh) 2020-02-21
TWI594305B (zh) 2017-08-01
CN106558500A (zh) 2017-04-05
US20170092770A1 (en) 2017-03-30

Similar Documents

Publication Publication Date Title
TWI619178B (zh) 半導體裝置及其製造方法
TWI594419B (zh) 半導體元件及其製造方法
US10269802B2 (en) Semiconductor device and manufacturing method thereof
TWI588907B (zh) 包括鰭結構之半導體裝置及其製造方法
CN104867967B (zh) 半导体器件及其制造方法
TWI604516B (zh) 半導體裝置及其製造方法
CN106158967B (zh) 半导体器件及其制造方法
TW201729340A (zh) 多重閘極裝置
TWI598947B (zh) 製造半導體裝置的方法及半導體場效電晶體裝置
TWI567981B (zh) 鰭部件的結構及其製造方法
KR101655590B1 (ko) 변형층을 구비한 반도체 디바이스
CN106992154B (zh) 半导体器件及其制造方法
CN104241361A (zh) 利用应变技术的半导体器件
TWI762458B (zh) 鰭式場效電晶體元件的接觸結構、鰭式場效電晶體元件及其形成方法
TWI795748B (zh) 半導體元件的製造方法及半導體元件
US20170012128A1 (en) Semiconductor device and manufacturing method thereof
KR102311437B1 (ko) 삽입 층을 구비한 반도체 구조체 및 이를 제조하는 방법
TWI549303B (zh) 半導體元件結構及製造方法
TWI594305B (zh) 包含鰭式結構的半導體裝置及其製造方法
US20230052295A1 (en) Field effect transistor with air spacer and method
TW202205393A (zh) 半導體裝置的製造方法
TW202125832A (zh) 半導體裝置
TWI840752B (zh) 半導體裝置及其製造方法
US20230033790A1 (en) Device Structure With Reduced Leakage Current
TW202343578A (zh) 半導體裝置及其形成方法