TW201706444A - 溫度指數薄膜沈積的方法與裝置 - Google Patents

溫度指數薄膜沈積的方法與裝置 Download PDF

Info

Publication number
TW201706444A
TW201706444A TW105122151A TW105122151A TW201706444A TW 201706444 A TW201706444 A TW 201706444A TW 105122151 A TW105122151 A TW 105122151A TW 105122151 A TW105122151 A TW 105122151A TW 201706444 A TW201706444 A TW 201706444A
Authority
TW
Taiwan
Prior art keywords
station
substrate
reactant
temperature
reactants
Prior art date
Application number
TW105122151A
Other languages
English (en)
Other versions
TWI725976B (zh
Inventor
伯特 宗補羅度
戴芬 隆吉
羅賓 魯洛夫斯
路西安 堤拉
蘇維 賀加
安提 尼斯卡嫩
川原潤
森幸博
Original Assignee
Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Ip控股公司 filed Critical Asm Ip控股公司
Publication of TW201706444A publication Critical patent/TW201706444A/zh
Application granted granted Critical
Publication of TWI725976B publication Critical patent/TWI725976B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

根據本文中的某些實施例,提供用於沈積薄膜的方法及裝置。在某些實施例中,在多個站中執行溫度指數薄膜沈積,其中每一站提供不同反應物或反應物的組合。所述站可彼此氣體隔離,且所述基板可在不同溫度下與不同反應物接觸以最小化或防止不期望的氣相反應、化學氣相沈積(CVD)及/或原子層沈積(ALD)反應。

Description

溫度指標薄膜沈積的方法與裝置
本文中的某些實施例是有關於半導體製作以及用於沈積薄膜(例如使用原子層沈積)的方法與裝置。可使用二或更多個站在基板上沈積薄膜,所述二或更多個站各自在不同溫度下提供不同反應物且彼此氣體隔離。
積體電路通常藉由精細製程來製造,在所述精細製程中在半導體基板上以預定佈置依序構造各種材料層。
在某些態樣中,提供一種薄膜沈積的方法。所述方法可包括:(a)將第一基板置於第一站中,所述第一站能夠與第二站氣體隔離。所述方法可包括:(b)在實質上無第二反應物的情況下且在所述第一站與第二站氣體隔離的同時,在第一溫度下使所述第一站中的所述第一基板與第一反應物接觸,其中所述與所述第一反應物接觸在所述第一基板上形成一層所述第一反應物。所述方法可包括:(c)在使所述第一站中的所述第一基板與所述第一反應物接觸之後,將所述第一基板置於第二站中。所述方法可包括:(d)在實質上無所述第一反應物的情況下且在所述第二站與所述第一站氣體隔離的同時,在第二溫度下使所述第二站中的所述第一基板與第二反應物接觸,其中所述第二反應物不同於所述第一反應物且與所述第一基板上的所述一層所述第一反應物發生反應,其中所述第二溫度不同於所述第一溫度。所述方法可包括:重複步驟(a)至步驟(d)直至在所述第一基板上沈積所需厚度的膜。在某些實施例中,在步驟(d)期間所述第一站維持處於所述第一溫度下,而所述第二站維持處於所述第二溫度下。在某些實施例中,沈積至少約1奈米的膜,例如為1奈米、2奈米、3奈米、4奈米、5奈米、6奈米、7奈米、8奈米、9奈米、10奈米、15奈米、20奈米、25奈米、30奈米、35奈米、40奈米、45奈米、50奈米、60奈米、70奈米、80奈米、90奈米、或100奈米,包括所列值中的任何兩個值之間的範圍,例如1奈米至100奈米、1奈米至20奈米、1奈米至10奈米、1奈米至5奈米、2奈米至100奈米、2奈米至20奈米、2奈米至10奈米、2奈米至5奈米、3奈米至4奈米、5奈米至100奈米、5奈米至20奈米、5奈米至10奈米、10奈米至100奈米、或10奈米至20奈米。在某些實施例中,除所述第一反應物外無反應物被提供至所述第一站,且其中除所述第二反應物外無反應物被提供至所述第二站。在某些實施例中,所述第一站的每一表面在整個所述方法中實質上無所述第二反應物,且其中所述第二站的每一表面在整個所述方法中實質上無所述第一反應物。在某些實施例中,所述第一反應物在所述第一溫度下較在所述第二溫度下在所述第一基板上更高效地形成所述一層所述第一反應物。在某些實施例中,所述沈積包括原子層沈積(atomic layer deposition,ALD)。在某些實施例中,所述與所述第一反應物接觸在所述第一基板上形成僅一個所述第一反應物的單層。在某些實施例中,在所述第二溫度下使所述第二站中的所述第一基板與第二反應物接觸包括:藉由被加熱至所述第二溫度的噴頭而引入所述第二反應物。在某些實施例中,在藉由被加熱至所述第二溫度的所述噴頭而引入所述第二反應物的同時,所述第一站維持處於所述第一溫度下且所述第二站維持處於所述第二溫度下。在某些實施例中,在藉由被加熱至所述第二溫度的所述噴頭而引入所述第二反應物的同時,所述第一站維持處於所述第一溫度下且所述第二站維持處於所述第一溫度下。在某些實施例中,所述第二溫度大於所述第一溫度。在某些實施例中,所述第二溫度小於所述第一溫度。在某些實施例中,將所述第一基板置於所述第二站中的基座上,所述基座具有較所述第一基板低的質量。在某些實施例中,將所述第一基板置於所述第二站中的基座上,在將所述第一基板置於所述基座上之後將所述基座加熱或冷卻至所述第二溫度。在某些實施例中,至少一種固體材料提供所述第一站與所述第二站之間的氣體隔離。在某些實施例中,在與將所述第二反應物提供至所述第二站中的時間不同的時間處將所述第一反應物提供至所述第一站中。在某些實施例中,在將所述第一基板置於所述第一站中之後將所述第一反應物提供至所述第一站中,且在將所述第一基板置於所述第二站中之後將所述第二反應物提供至所述第二站中。在某些實施例中,支架(spider)將所述第一基板置於所述第一站中,並將所述第一基板置於所述第二站中。在某些實施例中,在所述支架將所述第一基板置於每一站中之後,自所述站縮回所述支架以使得所述支架不接觸任何反應物。在某些實施例中,所述沈積包括選擇性原子層沈積,其中所述基板包括第一表面及不同於所述第一表面的第二表面,其中所述第一反應物相對於所述第二表面選擇性地吸附於所述第一表面上,其中所述第二反應物與所述第二表面不發生反應,且其中所需厚度的所述膜相對於所述第二表面選擇性地沈積於所述第一表面上。在某些實施例中,所述方法更包括:當所述第一基板不存在於所述第一站中時,將第二基板置於所述第一站中;在實質上無所述第二反應物的情況下在所述第一溫度下使所述第一站中的所述第二基板與所述第一反應物接觸,以使所述第一反應物與所述第二基板發生反應以使得僅一個所述第一反應物的單層吸附於所述第二基板上;以及在使所述第一站中的所述第二基板與所述第一反應物接觸後且在使所述第二站中的所述第一基板與所述第二反應物接觸後,將所述第二基板置於實質上無所述第一反應物的所述第二站中且將所述第一基板置於實質上無所述第二反應物的所述第一站中,因此將所述第一基板與所述第二基板進行交換。
在某些態樣中,提供一種沈積反應器。所述沈積反應器可包括用以容納第一基板的第一站。所述沈積反應器可包括用以容納所述第一基板的第二站,其中所述第一站用以在第一溫度下且在與所述第二站氣體隔離時使所述第一站中的所述第一基板與第一反應物接觸,以使得僅一個所述第一反應物的單層吸附於所述第一基板上,且其中所述第二站用以在第二溫度下且實質上無所述第一反應物的情況下使所述第二站中的所述第一基板與第二反應物接觸。所述沈積反應器可包括傳送系統。所述沈積反應器可包括控制器,所述控制器被設定成控制以下步驟的循環:經由所述傳送系統將所述基板移動至所述第一站,引導所述第一站以使所述第一基板與所述第一反應物接觸,經由所述傳送系統將所述基板移動至所述第二站,以及引導所述第二站以使所述第一基板與所述第二反應物接觸,且更被設定成重複所述循環直至選擇性地在所述第一表面上而非所述第二表面上形成所需厚度的膜。所述沈積反應器的任一表面可皆不與所述第一反應物及所述第二反應物中的多於一者實質上接觸。在某些實施例中,所述沈積反應器可用以重複以下步驟直至在所述第一基板上形成所需厚度的膜:使所述第一站中的所述第一基板在所述第一溫度下且在實質上無所述第二反應物的情況下與所述第一反應物接觸,以及使所述第二站中的所述第一基板在所述第二溫度下且在實質上無所述第一反應物的情況下與所述第二反應物接觸。在某些實施例中,所述沈積反應器用以使所述第一站維持處於所述第一溫度下,而使所述第二站維持處於所述第二溫度下。在某些實施例中,所述第二站包括經加熱的噴頭,且所述沈積反應器用以使所述第一站維持處於所述第一溫度下同時藉由所述經加熱的噴頭將所述第二反應物遞送至處於所述第二溫度下的所述第二站。在某些實施例中,所述沈積反應器更包含至少一種固體材料,所述至少一種固體材料使所述第二站與所述第一站保持氣體隔離。在某些實施例中,所述沈積反應器更包括氣體軸承(gas bearing),所述氣體軸承使所述第二站與所述第一站保持氣體隔離。在某些實施例中,所述沈積反應器更包括位於所述第一站及所述第二站外部的中間空間,且所述傳送系統包括用於將基板移動穿過所述中間空間的傳送構件,且所述中間空間用以容置所述傳送構件,且所述傳送構件更用以在將所述基板置於所述第一站中之後但在將所述基板置於所述第二站中之前被移動至所述中間空間。在某些實施例中,所述傳送構件包括旋轉基板保持器,所述旋轉基板保持器用以自所述第一站移除所述第一基板並藉由旋轉將所述第一基板置於所述第二站中。在某些實施例中,所述傳送構件包括支架。在某些實施例中,其中每一站用以容納可移動工作台,所述可移動工作台用以將所述基板自所述站移動至所述中間空間並自所述中間空間移動至所述站,其中每一可移動工作台用以在其與僅一個站之間來回移動所述基板,且其中所述傳送構件用以在所述中間空間中而非在所述站自身中將基板置於所述可移動工作台上並自所述可移動工作台移除基板。在某些實施例中,所述沈積反應器更包括多個可移動物理障壁,所述物理障壁界定所述第一站及所述第二站的至少一部分,其中所述物理障壁能夠移動以將站中的基板暴露至中間空間,且其中所述傳送系統包括支架,所述支架用以在所述物理障壁已被移動以暴露出所述基板之後移動所述基板。
根據本文中的某些實施例,可藉由原子層沈積(atomic layer deposition,ALD)來沈積薄膜。可將基板置於第一站中且在第一溫度下與第一反應物接觸,以使得僅一個第一反應物的單層吸附於所述基板上。然後可將基板置於無(或實質上無)第一反應物的第二站中,且在第二溫度下與第二反應物接觸,其中第二反應物與所吸附的第一反應物發生反應。可重複所述循環。多個站可彼此氣體隔離,以使得每一站提供僅一種反應物,且使得任何站的任何表面皆不與多於一種反應物接觸。第一溫度可不同於第二溫度,且可與在第二溫度下將第二反應物提供於第二站中的時間相同的時間處在第一溫度下將第一反應物提供於第一站中。在例如原子層沈積等各種沈積製程中,不同反應物可具有不同溫度穩定性。不受任何理論的限制,設想維持具有不同溫度穩定性的不同反應物之間的物理分離及/或空間分離可容許在適當溫度下提供每一反應物並使顆粒形成及/或不期望的氣相反應最小化,所述顆粒形成及/或不期望的氣相反應可由反應物偏移至所述反應物較不穩定及/或所述反應物冷凝的溫度而引起。此外,設想某些反應物將在某些溫度或溫度範圍下更高效地發生反應(例如,第一反應物可在第一溫度或溫度範圍下被更高效地吸附,而第二反應物可在第二溫度或溫度範圍下被更高效地吸附)。根據本文中的某些實施例,一旦「低溫」反應物已吸附於基板上,所述基板便可在高溫下與「高溫」反應物接觸。不受任何理論的限制,設想當「低溫」反應物已被吸附時,高溫將不對已吸附的第一反應物或膜品質產生不利影響。根據本文中的某些實施例,可藉由除原子層沈積外的方法(例如化學氣相沈積(Chemical Vapor Deposition,CVD))來沈積薄膜。
根據本文中的某些實施例,可藉由原子層沈積(ALD)相對於基板的第二不同表面而選擇性地在所述基板的第一表面上沈積薄膜。可將基板置於第一站中,其中在與第二站氣體隔離時,在第一溫度或溫度範圍下使第一反應物與所述基板接觸以使得僅一個第一反應物的單層相對於基板的第二表面優先吸附於所述基板的第一暴露表面上。然後可將所述基板置於第二站中,其中在與第一站氣體隔離時且在無(或實質上無)第一反應物的情況下,在第二溫度或溫度範圍下使第二反應物與所述基板接觸。第二溫度(或溫度範圍)可不同於第一溫度(溫度範圍)。第二反應物可優先與所吸附的第一反應物發生反應,以使得僅一個第二反應物的單層相對於基板的第二表面優先吸附於所述基板的第一表面上。視需要,可重複地使所述基板在第一站與第二站之間移動直至形成所需厚度的薄膜。視需要,第一溫度(或溫度範圍)低於第二溫度(或溫度範圍)。視需要,第一溫度(或溫度範圍)高於第二溫度(或溫度範圍)。視需要,第一反應物吸附於第一暴露表面而非第二暴露表面上。視需要,可藉由增大氣相反應物的空間及/或時間分離而提高選擇性。第一站與第二站可在製程步驟期間氣體隔離,以使在晶圓的其他表面上或在站上包括第一反應物及第二反應物的不期望的化學氣相沈積(CVD)反應最小化。舉例而言,在使晶圓與站中的反應物接觸之後,在將所述晶圓移動至另一站之前可吹洗所述站,以使被攜帶至所述另一站的反應物最小化。在某些實施例中,薄膜包含氮化物,例如TiN膜或AlN膜。在某些實施例中,沈積至少約1奈米的膜,例如為1奈米、2奈米、3奈米、4奈米、5奈米、6奈米、7奈米、8奈米、9奈米、10奈米、15奈米、20奈米、25奈米、30奈米、35奈米、40奈米、45奈米、50奈米、60奈米、70奈米、80奈米、90奈米、或100奈米,包括所列值中的任何兩個值之間的範圍,例如1奈米至100奈米、1奈米至20奈米、1奈米至10奈米、1奈米至5奈米、2奈米至100奈米、2奈米至20奈米、2奈米至10奈米、2奈米至5奈米、5奈米至100奈米、5奈米至20奈米、5奈米至10奈米、10奈米至100奈米、或10奈米至20奈米。
作為實際問題,設想根據本文中的實施例,可存在與在特定溫度下提供反應物或維持反應物相關聯的至少某一溫度變化(甚至極小的溫度變化)。因此,除非另外陳述,否則在本文中無論何處提及不同於第二溫度(或第三溫度、第四溫度等)的第一溫度,亦明確地設想不同於第二溫度範圍的第一溫度範圍。較佳地,不同於第二溫度範圍的第一溫度範圍不與第二溫度範圍重疊。 原子層沈積
原子層沈積型製程是基於前驅物化學品的受控的、自限制表面反應。根據本文中的某些實施例,藉由使基板在不同溫度下交替地及依序地與反應物接觸而避免氣相反應。氣相反應物例如藉由以下方式而彼此分離:藉由在多個反應物脈衝之間自反應腔室移除過量反應物及/或反應物副產物,或者如本文所述藉由在不同空間中提供不同反應物、使基板在不同溫度下與不同反應物接觸、以及使基板在不同空間中移動。
沈積溫度通常維持為低於反應物的熱分解溫度但處於足夠高的水準以避免反應物的冷凝並為所需表面反應提供活化能。當然,對於任何給定原子層沈積反應,適當溫度視窗可取決於所涉及的表面封端及反應物物質。經常地,包括第一表面及第二不同表面(例如,包括不同組成及/或不同形態或結晶度)的基板通常在降低的壓力下可被加熱至合適的沈積溫度。根據本文中的某些實施例,溫度依據與基板接觸的反應物及/或沈積的膜的類型而變化,例如處於或低於約600℃,例如處於或低於500℃、475℃、450℃、425℃、400℃、375℃、350℃、325℃、300℃、275℃、250℃、225℃、200℃、175℃、150℃、125℃、100℃、75℃、50℃、40℃、30℃、或20℃,包括所列值中的任何兩個值之間的範圍,例如20℃至500℃、20℃至450℃、20℃至400℃、20℃至350℃、20℃至300℃、20℃至250℃、20℃至200℃、20℃至150℃、20℃至100℃、20℃至500℃、50℃至450℃、50℃至400℃、50℃至350℃、50℃至300℃、50℃至250℃、50℃至200℃、50℃至150℃、50℃至100℃、100℃至500℃、100℃至450℃、100℃至400℃、100℃至350℃、100℃至300℃、100℃至250℃、100℃至200℃、100℃至150℃、200℃至500℃、200℃至400℃、或200℃至300℃。根據本文中的某些實施例,不同反應物可具有不同溫度穩定性(例如,反應物可在不同溫度下分解及/或在不同溫度下冷凝)。舉例而言,可使用金屬有機前驅物及氮前驅物來沈積可根據本文中的某些實施例沈積的例如AlN或TiN等氮化物膜。許多金屬有機前驅物(例如trimethylaluminum,TMA)具有相對低的分解溫度(例如,對於TMA為375℃),而許多氮前驅物(例如NH3 )需要相對高的溫度來起始其各自的半反應(例如,對於NH3 為處於或大於375℃的溫度)。設想根據本文中的某些實施例,在第一站中在適合用於第一前驅物的溫度下且在與第二站氣體隔離時執行第一前驅物的反應(例如,第一半反應),且在第二站中在適合用於第二前驅物的溫度下且在與第一站氣體隔離時執行第二前驅物的反應(例如,第二半反應)。
設想在某些實施例中,每一反應物被提供於站中並在適當溫度下與基板接觸,以沈積所述反應物同時最小化或消除反應物的冷凝、最小化或消除反應物的熱分解、及/或最小化或消除反應物的氣相反應,以使得實質上無氣相反應發生,且視需要實質上無顆粒形成發生。在某些實施例中,在每一站中提供僅一種反應物,且各自處於其不同站中的不同反應物可同時處於不同溫度下。因此,在某些實施例中,使基板在第一溫度或溫度範圍下與第一站中的第一反應物接觸,且在不同於第一溫度的第二溫度或溫度範圍下與第二站中的第二反應物接觸。視需要,當基板與第一反應物及第二反應物中的每一者接觸時第一站與第二站彼此氣體隔離。視需要,第一溫度低於第二溫度。視需要,第一溫度大於第二溫度。在某些實施例中,第一溫度與第二溫度相差至少1℃,例如至少1℃、2℃、3℃、4℃、5℃、6℃、7℃、8℃、9℃、10℃、11℃、12℃、13℃、14℃、15℃、16℃、17℃、18℃、19℃、20℃、21℃、22℃、23℃、24℃、25℃、26℃、27℃、28℃、29℃、30℃、31℃、32℃、33℃、34℃、35℃、36℃、37℃、38℃、39℃、40℃、41℃、42℃、43℃、44℃、45℃、46℃、47℃、48℃、49℃、50℃、55℃、60℃、65℃、70℃、75℃、80℃、85℃、90℃、95℃、99℃、100℃、110℃、105℃、110℃、115℃、120℃、125℃、130℃、135℃、140℃、145℃、150℃、155℃、160℃、165℃、170℃、175℃、180℃、185℃、190℃、195℃、200℃、210℃、220℃、230℃、240℃、250℃、260℃、270℃、280℃、290℃、或300℃,包括所列值中的任何兩個值之間的範圍,例如1℃至10℃、1℃至20℃、1℃至30℃、1℃至40℃、1℃至50℃、1℃至60℃、1℃至70℃、1℃至80℃、1℃至90℃、1℃至100℃、1℃至150℃、1℃至200℃、2℃至10℃、2℃至20℃、2℃至30℃、2℃至40℃、2℃至50℃、2℃至60℃、2℃至70℃、2℃至80℃、2℃至90℃、2℃至100℃、2℃至150℃、2℃至200℃、5℃至10℃、5℃至20℃、5℃至30℃、5℃至40℃、5℃至50℃、5℃至60℃、5℃至70℃、5℃至80℃、5℃至90℃、5℃至100℃、5℃至150℃、5℃至200℃、10℃至20℃、10℃至30℃、10℃至40℃、10℃至50℃、10℃至60℃、10℃至70℃、10℃至80℃、10℃至90℃、10℃至100℃、10℃至150℃、10℃至200℃、20℃至30℃、20℃至40℃、20℃至50℃、20℃至60℃、20℃至70℃、20℃至80℃、20℃至90℃、20℃至100℃、20℃至150℃、或20℃至200℃。根據本文中的某些實施例,反應物可藉由以下方式而達到適當溫度或溫度範圍:經加熱的噴頭、經加熱的源容器、經加熱的基座、經加熱的氣體源管線、經冷卻的源容器、經冷卻的基座、經冷卻的氣體源管線、及/或站中的溫度。視需要,所述站可包括與所述站熱連通的加熱系統及/或冷卻系統,以使所述站達到所需溫度。舉例而言,加熱系統可包括加熱器或加熱組件、燈、熱條帶、熱線圈、冷卻風扇、冷卻劑線圈、或所列項中的兩者或更多者的任何組合。
在某些實施例中,電漿提供能量以驅動沈積反應,且因此可容許所述反應較其僅藉由熱能而被驅動時在較低溫度下及/或以較快速度執行。可例如藉由遠端電漿產生器或原位地提供電漿。在某些實施例中,提供第一反應物作為電漿或第一反應物與電漿結合提供(例如,對於電漿增強型化學氣相沈積或原子層沈積而言),且提供處於氣相的第二反應物(例如,對於熱沈積而言)。在某些實施例中,提供處於氣相的第一反應物(例如,對於熱沈積而言),且提供第二反應物作為電漿或第二反應物與電漿結合提供(例如,對於電漿增強型化學氣相沈積或原子層沈積而言)。在某些實施例中,分別提供處於氣相的第一反應物及第二反應物(例如,對於熱沈積而言)。在某些實施例中,第一反應物被提供作為電漿或第一反應物與電漿結合提供(例如,對於電漿增強型化學氣相沈積或原子層沈積而言),且第二反應物被提供作為電漿或第二反應物與電漿結合提供(例如,對於電漿增強型化學氣相沈積或原子層沈積而言)。
用語「晶圓」與「基板」在本文中可互換使用。可使基板的表面與氣相第一反應物接觸。在某些實施例中,將氣相第一反應物的脈衝提供至容納基板的反應空間。在某些實施例中,將基板移動至其中提供氣相第一反應物的反應空間。較佳地,當基板移動至反應空間時,氣相反應物不存在於所述反應空間中,且隨後將氣相反應物提供於所述反應空間中。在某些實施例中,當將基板移動至反應空間時氣相反應物已存在於所述反應空間中。視需要,當將基板置於反應空間中時,某一氣相反應物已存在於所述反應空間中,且其後將額外氣相第二反應物添加至所述反應空間。條件較佳被選擇成使得僅約一個第一反應物的單層以自限制方式吸附於基板表面上。適當接觸時間可易於由熟習此項技術者基於特定情況來確定。例如藉由用惰性氣體吹洗或藉由自存在第一反應物之處移除基板而自基板表面移除過量的第一反應物及反應副產物(若存在)。根據本文中的某些實施例,使氣相反應物在適當溫度下與站中的基板接觸,以使得實質上無反應物的氣相反應發生(或無反應物的氣相反應發生),實質上無顆粒形成發生或顆粒形成得以消除,及/或實質上無反應物的冷凝(或無反應物的冷凝)發生。在某些實施例中,在適當溫度下將氣相反應物提供至站中,且所述站亦處於此溫度下。在某些實施例中,在適當溫度下將氣相反應物提供至站中,且基板位於亦處於此溫度下的基座上。在某些實施例中,在適當溫度下提供氣相反應物,且所述站處於不同溫度下。舉例而言,可藉由經加熱的噴頭來提供氣相反應物以使得所述氣相反應物在較所述站的其餘部分的溫度高的溫度下被提供。在某些實施例中,將氣相反應物提供於站中,且在所述站中所述氣相反應物例如基於站的其餘部分的溫度及/或基板所位於的基座的溫度而被加熱或冷卻至適當溫度以使基板與所述反應物接觸。
「吹洗」意指例如藉由用真空幫浦將腔室排空及/或藉由用例如氬氣或氮氣等惰性氣體來替換反應器內的氣體而自基板表面移除氣相前驅物及/或氣相副產物。典型吹洗時間(以及根據本文中的某些實施例合適的吹洗時間)為約0.05秒至20秒、更佳地介於約1秒與10秒之間、且仍更佳地介於約1秒與2秒之間。然而,若必要,可利用其他吹洗時間,例如在需要極高縱橫比結構或具有複雜表面形態的其他結構上的高度共形階梯覆蓋率時,例如吹洗時間為至少20秒,例如至少20秒、25秒、30秒、40秒、或50秒,包括所列值中的任何兩個值之間的範圍。
在與第一反應物接觸基板的溫度不同的第二溫度下,可使基板的表面與氣相第二氣態反應物接觸。在某些實施例中,將第二氣態反應物的脈衝提供至容納基板的反應空間。在某些實施例中,將基板移動至其中提供氣相第二反應物的反應空間。視需要,當將基板置於反應空間中時,氣相第二反應物已存在於所述反應空間中。視需要,當將基板置於反應空間中時氣相第二反應物不存在於所述反應空間中,且隨後將第二反應物添加至所述反應空間。視需要,在與站的其餘部分的溫度相同或不同的適當溫度下,可將第二反應物添加至反應空間。視需要,在第二溫度下可使第二反應物接觸基板,而在不同於第二溫度的第一溫度下使不同站中的不同基板與第一反應物接觸。視需要,當將基板置於反應空間中時某一氣相第二反應物已存在於所述反應空間中,且其後將額外氣相第二反應物添加至所述反應空間。自基板表面移除過量的第二反應物及表面反應的氣態副產物(若存在)。重複接觸步驟及移除步驟直至已選擇性地在基板的第一表面上形成所需厚度的薄膜,其中每一循環僅留下一個分子單層。可包括包含使基板的表面交替地及依序地與其他反應物接觸的額外階段,以形成更複雜的材料,例如三元材料(ternary material)。
如本文所述,每一循環的每一階段較佳具有自限制性。在每一階段中供應過量的反應物前驅物以使易受影響的結構表面達到飽和。表面飽和確保反應物佔據全部可用反應部位(例如受物理尺寸或「立體阻礙(steric hindrance)」限制),且因此確保極佳的階梯覆蓋率。通常,每一循環沈積僅一個材料的分子層(或每一循環沈積少於一個材料的分子層)。然而,在某些實施例中,可在所述循環期間沈積多於一個分子層。
移除過量反應物可包括:排空反應空間的某些內容物及/或用氦氣、氮氣、或另一惰性氣體吹洗反應空間。在某些實施例中,吹洗包括關斷反應氣體的流動而繼續使惰性載氣流至反應空間。
在原子層沈積型製程中採用的前驅物可為在標準條件(室溫及大氣壓力)下的固體、液體、或氣態材料,條件是在前驅物與基板表面接觸之前所述前驅物為氣相。使基板表面與汽化前驅物接觸意指前驅物蒸汽與基板表面接觸一段有限的時間。通常,接觸時間為約0.05秒至10秒。然而,端視基板類型及其表面積而定,接觸時間甚至可大於10秒。在某些情形中接觸時間可為大約幾分鐘。最佳接觸時間可由熟習此項技術者基於特定情況來確定。根據本文中的某些實施例,在第一溫度下可使第一氣相反應物與基板接觸,且在不同於第一溫度的第二溫度下可使第二氣相反應物與基板接觸。每一氣相反應物可在接觸基板之前處於適當溫度下(例如,若反應物藉由經加熱的噴頭提供或若整個站處於適當溫度下)或在接觸基板時處於適當溫度下(例如,若對基座進行加熱以使基板達到適當溫度)。
前驅物的質量流率亦可由熟習此項技術者確定。在某些實施例中,金屬前驅物的流率在無限制的情況下較佳地介於約1標準立方公分/分鐘(sccm)與1000 sccm之間、更佳地介於約100 sccm與500 sccm之間。根據本文中的某些實施例的示例性質量流率包括至少1 sccm,例如至少10 sccm、50 sccm、100 sccm、200 sccm、300 sccm、400 sccm、500 sccm、600 sccm、700 sccm、800 sccm、900 sccm、或1000 sccm,包括所列值中的任何兩個值之間的範圍。
反應腔室中的壓力通常為約0.01毫巴至約20毫巴,更佳地約1毫巴至約10毫巴,例如1毫巴、2毫巴、3毫巴、4毫巴、5毫巴、6毫巴、7毫巴、8毫巴、9毫巴、或10毫巴,包括所列值中的任何兩個值之間的範圍。然而,在某些情形中,所述壓力將高於或低於此範圍,所述範圍可由熟習此項技術者鑒於特定情況確定。
在開始沈積膜之前,通常將基板加熱至合適的生長溫度。在某些實施例中,將基板置於經加熱的基座上。視需要,基座可具有較基板低的質量。不受任何理論的限制,設想具有較基板低的質量的基板可較所述基板更快地達到所需溫度。如此一來,基板將在不同溫度(例如反應物可冷凝、分解、及/或與基座的表面發生反應的溫度)下耗費較少的時間。生長溫度依據所形成的薄膜的類型、前驅物的物理性質等而變化。以下參照所形成的每一類型的薄膜而更詳細地論述生長溫度。生長溫度可低於所沈積材料的結晶溫度以形成非晶薄膜,抑或其可高於結晶溫度以形成晶體薄膜。較佳的沈積溫度可依據例如且不限於反應物前驅物、壓力、流率、反應器的配置、所沈積薄膜的結晶溫度、及基板的組成(包括待沈積於上面的材料的性質)等多個因素而變化。具體生長溫度可由熟習此項技術者選擇。在某些實施例中,用於原子層沈積反應的第一反應物與第二反應物具有相同的生長溫度。在某些實施例中,用於原子層沈積反應的第一反應物與第二反應物具有不同的生長溫度。視需要,第一反應物具有較第二反應物高的生長溫度。視需要,第一反應物具有較第二反應物低的生長溫度。根據本文中的某些實施例的原子層沈積可包括熱原子層沈積。根據本文中的某些實施例的原子層沈積可包括熱電漿輔助型原子層沈積或電漿增強型原子層沈積(plasma enhanced ALD,PEALD)。
可使用的合適的反應器的實例包括具有多個站的反應器,其中所述站被放置成或可被放置成彼此氣體隔離。原子層沈積設備可商購自例如在荷蘭的阿爾梅勒(Almere, Netherlands)設立總部的ASM公司。在某些實施例中,使用流動型原子層沈積反應器。較佳地,使反應物保持分離直至到達反應腔室,以使得前驅物的共用管線(shared line)最小化。然而,其他配置形式亦為可能的,例如使用在美國專利申請公開案第2005/0092247號及第2002/0108570號中所述的預反應腔室,所述美國專利申請公開案的揭露內容全文併入本案供參考。
生長製程可視需要在連接至群集(cluster)工具的反應器或反應空間中執行。在群集工具中,由於每一反應空間專用於一種類型的製程,因此每一模組中的反應空間的溫度可保持恆定,此相較於其中基板在每一行程之前被加熱達製程溫度的反應器而提高產量。
可對獨立反應器配備以負載鎖定。在所述情形中,沒有必要在每一行程之間冷卻反應空間。 化學氣相沈積
在某些實施例中,藉由化學氣相沈積(CVD)使用本文所述的一或多種前驅物來沈積薄膜或薄膜的一部分。舉例而言,在某些實施例中,可在於經化學氣相沈積製備的膜上進行一或多個原子層沈積的循環之前及/或在一或多個原子層沈積的循環之後藉由化學氣相沈積來沈積膜。舉例而言,在某些實施例中,在所需基板上執行化學氣相沈積,但不執行原子層沈積。沈積可根據各種化學氣相沈積方法而合適地進行。化學氣相沈積方法闡述於例如美國專利申請案第7,438,760號中,所述美國專利申請案全文併入本案供參考。根據本文中的某些實施例所揭露的方法可藉由採用化學氣相沈積而合適地實踐。在某些實施例中,化學氣相沈積為熱沈積。在某些實施例中,化學氣相沈積包括電漿增強型化學氣相沈積(PECVD)。
化學氣相沈積反應物及視需要包含蝕刻氣體及/或電性摻雜劑前驅物的二或更多種反應物較佳以分離氣體的形式或藉由相互混合以形成原料氣體(feed gas)而被引入至腔室。相互混合以形成原料氣體可在腔室中或在將所述原料氣體引入腔室之前進行。化學氣相沈積腔室中的總壓力較佳處於約10-5 托至約1000托範圍內,更佳處於約10-4 托至約大氣壓力(例如約760托)範圍內。在某些實施例中,化學氣相沈積條件包括至少約10-5 托的腔室壓力,較佳為約760托或小於760托的腔室壓力,例如約760托、740托、720托、700托、680托、660托、640托、620托、600托、580托、560托、540托、520托、500托、480托、460托、440托、420托、400托、350托、300托、250 托、200托、150托或小於150托的壓力,或處於約10-4 托至約760托範圍內的壓力,例如約10-4 托、10-3 托、10-2 托、10-1 托、1托、5托、10托、30托、50托、100托、150托、200托、250托、300托、350托、400托、450托、500托、600托、650托、700托、750托、或760托,包括所列值中的任何兩個值之間的範圍。腔室壓力在本文中可被稱為沈積壓力。錫前驅物的分壓較佳處於總壓力的約0.0001%至約100%的範圍內,更佳為總壓力的約0.001%至約50%。在某些實施例中,化學氣相沈積反應腔室的溫度為約600℃或小於600℃,例如約550℃或小於550℃。在某些實施例中,反應腔室的溫度為約500℃或小於500℃,例如小於500℃、490℃、480℃、470℃、460℃、450℃、440℃、430℃、420℃、410℃、400℃、375℃、350℃、325℃、或300℃或小於300℃,包括所列值中的任何兩個值之間的範圍。
在某些實施例中,若執行化學氣相沈積及原子層沈積兩者,則化學氣相沈積在不同於原子層沈積的溫度下執行。舉例而言,化學氣相沈積反應物可具有較原子層沈積反應物中的一或多者不同的熱穩定性及/或冷凝溫度。不受任何理論的限制,設想藉由在第一溫度下使基板與第一站處的化學氣相沈積反應物接觸、以及在第二溫度下且與所述第一站氣體隔離時使基板與第二站中具有第二反應物的原子層沈積反應物接觸,實質上無涉及化學氣相沈積反應物、原子層沈積反應物的氣相反應或化學氣相沈積反應物與原子層沈積反應物之間的反應發生(或無涉及該些反應物的氣相反應發生),且實質上無顆粒形成發生(或無顆粒形成發生)。 站
本文所用的「站」廣義上是指可容納基板以使得可在所述站中的基板上執行沈積反應的位置。站因而可指反應器、或反應器的一部分、或者反應器內的反應空間或反應腔室。
較佳地,根據本文中的實施例的站彼此「氣體隔離」,或被配置成在於所述站內處理基板時氣體隔離。本文所用的「氣體隔離」意指第一站中的第一反應物不能以可偵測方式流至或擴散至另一站,且此外其他反應物(例如,來自其他站)不能以可偵測方式流至或擴散至所述第一站中。根據本文中的實施例的站可永久性地彼此氣體隔離(例如,藉由固體壁分離或作為分立腔室),或可以可逆方式彼此氣體隔離(例如,藉由在將基板定位於給定站中之後或恰好在將基板置於給定站中之前定位固體障壁或氣體軸承或氣簾(例如,例如N2 簾等惰性氣簾),以使得固體障壁、或氣體軸承、或氣簾將基板放置成氣體隔離)。在某些實施例中,所述站藉由物理障壁而非氣體軸承或氣簾而氣體隔離。在某些實施例中,所述站藉由物理障壁連同氣體軸承及氣簾而氣體隔離。在某些實施例中,在將基板置於特定站中之後或與此同時,將所述基板放置成與其他站氣體隔離(以使得可在所述站中執行製程步驟),且在所述基板已暴露至所述站中的反應物之後,所述站脫離氣體隔離,且可自所述站移除所述基板並將所述基板定位於中間空間中。可將來自多個不同站的基板置於共用中間空間中以在不同站之間移動。例如可藉由物理障壁將所述站放置成氣體隔離。在某些實施例中,一或多個站包括加熱系統及/或冷卻系統,因而不同站中的不同反應物可同時在不同溫度下與基板接觸。如此一來,在某些實施例中,整個第一站處於較整個第二站低或高的溫度下,抑或第一站包括處於較第二站中的基座低或高的溫度下的基座,及/或第一反應物流至第一站中而第二反應物流至處於較第一站低或高的溫度下的第二站中。在某些實施例中,每一站提供僅一種反應物。在某些實施例中,每一反應物實質上在僅一個溫度下接觸基板,且二或更多種不同反應物在不同溫度下與基板接觸。
在某些實施例中,站藉由固體材料彼此分離,而不藉由氣體軸承或氣簾彼此分離。在某些實施例中,站藉由固體材料或氣簾彼此分離,而不藉由氣體軸承彼此分離。在某些實施例中,站藉由固體材料或氣體軸承彼此分離,而不藉由氣簾彼此分離。視需要,物理障壁可連同使基板在所述站與中間空間之間穿梭移動的移動工作台一起移動,以使得物理障壁與將基板置於所述站中同時(或在將基板置於所述站中略微之前或略微之後)將所述站放置成氣體隔離。視需要,物理障壁可連同氣體障壁一起使用例如以填充物理障壁所遺留的某些間隙。在某些實施例中,提供物理障壁而不提供氣體障壁或氣簾。
在某些實施例中,站包括反應器的模組或腔室,使得每一站包括分離的腔室或模組。在某些實施例中,站包括反應腔室的一部分,所述反應腔室的一部分可藉由將壁、氣簾或氣體軸承定位於多個站之間而被放置成與所述反應腔室的其他部分氣體隔離。視需要,給定的站完全由一或多個壁、氣簾、氣體軸承、或該些項中的任意者的組合包封。設想提供不同反應物的兩個站之間的物理分離可更有利於根據本文中的某些實施例的氣體分離,及/或可更有利於使基板在不同溫度下與不同站中的不同反應物接觸。因此,在某些實施例中,提供第一反應物的第一站不緊鄰提供第二反應物的第二站,而是在所述第一站與所述第二站之間維持物理空間以及例如壁、或氣體壁、或氣體軸承、及/或中間腔室等可選特徵。在某些實施例中,清除器(例如與真空氣體連通的二次前驅物清除器)定位於多個站之間以清除已自站逸出及/或與基板一起被拖動的任何前驅物。
根據本文中的某些實施例,用於沈積的站與反應物源氣體連通,以使得反應物可流至所述站中。通常,根據本文中的各種實施例的用於沈積(例如,原子層沈積)的站每次將提供僅一種反應物(例如,第一站可提供僅一種反應物用於第一半反應,且第二站可提供僅一種不同反應物用於第二不同半反應以完成所述原子層沈積反應)。不同反應物可在適用於每一特定反應物的不同溫度下與基板接觸。因此,對於原子層沈積,第一站可提供第一反應物,且第二站可提供不同於第一反應物的第二反應物。第一反應物可在第一溫度下與第一站中的基板接觸。第二站中的第二反應物可在第二溫度下與藉由在第一站處與基板接觸的第一反應物的吸附而獲得的層(通常僅一個單層)發生反應,其中第二溫度不同於第一溫度。在某些實施例中,第二溫度大於第一溫度。在某些實施例中,第二溫度小於第一溫度。在某些實施例中,每一反應物在僅一個溫度下實質上接觸基板,且二或更多種不同反應物在不同溫度下與基板接觸。注意,多個第一氣體及/或電漿反應物以及第二氣體及/或電漿反應物(若彼此接觸)可導致不期望的化學氣相沈積(CVD)型反應,所述不期望的化學氣相沈積型反應可在反應器及/或基板的表面上產生不期望的沈積物。此外,若反應物處於用於維持穩定性及/或避免冷凝的適當範圍外的溫度下,則反應物可參與氣相反應,及/或可形成反應物的顆粒。選擇性原子層沈積製程對因氣相反應、顆粒形成、及/或化學氣相沈積反應而導致的選擇性喪失及/或膜品質降低特別敏感。此外,涉及多於兩種反應物的原子層沈積製程(例如雙重選擇性原子層沈積(其可涉及4、6、或更多種反應物))尤其易受因氣相反應、顆粒形成、及/或各種反應物之間的化學氣相沈積反應而導致的選擇性喪失及/或膜品質降低的影響。因此,設想根據本文中的某些實施例,不同反應物在不同溫度下與基板接觸,且提供不同反應物之間的物理分離及/或時間分離以避免不期望的氣相反應、顆粒形成、及/或化學氣相沈積型反應。較佳地,第一站提供第一反應物而非第二反應物,其中第一站中的基板可在第一溫度下與第一反應物接觸,且第二站提供第二反應物而非第一反應物,其中第二站中的基板可在不同於第一溫度的第二溫度下與第二反應物接觸。第一站與第二站可彼此氣體隔離。如此一來,第二反應物可實質上或完全不存在於第一站中,且第一反應物可實質上或完全不存在於第二站中。因此,就第一站及第二站用以使基板在不同溫度下與反應物接觸的程度而言,實質上不使基板在第二溫度下與第一反應物接觸或實質上不使基板在第一溫度下與第二反應物接觸。設想此分離可最小化或消除每一反應物的氣相反應且可最小化或消除不良化學氣相沈積型反應。注意,並非僅任何多站型原子層沈積反應器將提供多個站之間的氣體隔離。舉例而言,多個傳統多站型原子層沈積反應器可涉及多種反應物之間的不完全的分離或不存在多種反應物之間的分離,例如因在同一站處提供多種反應物,或因在容許「尾隨(trailing)」反應物隨著基板行進並與其他反應物發生反應的同時使基板在多個站之間迅速移動。此外,多個傳統多站型原子層沈積反應器可包括加熱器,但用以使整個反應器維持處於相同溫度下,且因此不用以:使基板在第一溫度下與第一反應物接觸同時使另一基板在第二不同溫度下與第二反應物接觸。此外,傳統上僅著重於提高產量可加劇不可取的冷凝、顆粒形成、氣相反應、化學氣相沈積型反應、或其他不期望的反應的可能性,例如因在反應物的濃度為高時使基板迅速移動遠離站(且將相對高濃度的「尾隨」反應物帶入下一站),及/或因努力在單一「折中」溫度下執行所有製程步驟,因而使得某些反應物高於其分解溫度及/或某些反應物低於其冷凝溫度。設想根據本文中的某些實施例,相對低的產量是可接受的以獲得以下製程優點:例如使基板在對於每一反應物而言合適的溫度下與所述反應物接觸、高度選擇性沈積、高膜品質、及/或反應器上無沈積物。在某些實施例中,沈積具有低污染物水準(例如,低碳及/或氫水準)的膜。設想減少污染物可提高例如TiN膜或AlN膜等薄氮化物膜的蝕刻速度,且因此可使得更薄的膜能夠實用於圖案化應用。
在某些實施例中,站用以進行熱原子層沈積。在某些實施例中,站用以進行電漿增強型原子層沈積。視需要,電漿可藉由遠端電漿產生器產生或可原位產生。
在某些實施例中,站中的反應物經由噴頭來遞送。視需要,噴頭包括經加熱的噴頭以在所需溫度或溫度範圍下將反應物提供至站。在某些實施例中,經加熱的噴頭在反應物接觸基板的溫度下或在接近所述溫度下將反應物提供至站。視需要,噴頭包括圍繞其周邊的真空排氣清除器以捕獲過量反應物,並使可能被獲得以與其他反應物進行化學氣相沈積反應的反應物的量最小化。在某些實施例中,反應物容納於站(及/或反應物源管線及/或吹洗管線)內,但不被容許進入所述站之間的任何空間。
注意,對於根據本文中的某些實施例的某些指數多站型(indexed multi-station)製程(例如,其中基板在多個站之間移動的製程)而言,具有最慢製程時間的站為限速的。亦即,若第一站需要3秒來沈積及吹洗,則每三秒僅一個基板可循環經過站,即使其他站需要少於三秒來提供及吹洗反應物。此可導致更慢的製程及/或在反應物被不斷供應於需要至基板的更短暴露時間的站中時可浪費反應物。在某些實施例中,反應物並非被不斷提供於每一站中,而是每一站中的暴露時間是基於在所述站中發生的具體反應來選擇。視需要,使第一反應物在第一站中在第一溫度下與基板接觸,而使第二反應物在第二站中在不同於第一溫度的第二溫度下的基板接觸。因此,若第一站處的第一反應物需要較第二站處的第二反應物短的暴露時間,則可在第一反應物的足夠沈積時間之後在第一站中切斷第一反應物的流動,即使仍在第二溫度下將第二反應物提供於第二站中。視需要,回收過量反應物。舉例而言,若第一反應物在第一站處與基板接觸1秒且第二反應物在第二站處與基板接觸3秒,則在基板於第一站處與第一反應物接觸1秒之後,在於第二站處繼續進行所述接觸的同時,真空可回收過量第一反應物。注意,第一反應物可繼續流動或可在所述接觸之後切斷第一反應物的流動。視需要,經由噴頭或噴頭狀分配器提供反應物,所述噴頭或噴頭狀分配器更包括圍繞其周邊的真空。在供反應物進行沈積的足夠時間之後,真空回收任何過量反應物。視需要,加熱噴頭或噴頭狀分配器以在所需溫度下將反應物提供至站中。視需要,噴頭或噴頭狀分配器可用以使反應物自基板的中心流至基板的邊緣。設想反應物流動的此佈置可最小化或消除可為錯流設計(cross-flow design)的特徵的邊緣效應。
根據本文中的某些實施例,基板在二或更多個站之間穿梭移動,其中每一站在不同溫度下提供不同反應物。舉例而言,第一站可在第一溫度下提供選擇性地吸附於基板的第一暴露表面(相對於基板的上面無或實質上無吸附發生的第二不同暴露表面)上的第一反應物以在第一暴露表面上形成僅一個單層,第二站可在不同於第一溫度的第二溫度下提供不同於第一反應物的第二反應物並與所吸附的第一反應物發生反應,以使得僅一個第二反應物的單層吸附於基板的第一暴露表面上方(但不與基板的第二不同暴露表面發生反應)。基板可在第一站與第二站之間重複往返穿梭移動,直至形成所需厚度的膜。在某些實施例中,基板在多個站之間連續移動。然而,設想連續移動可導致不同反應物的相互混合(例如,若基板保持器在站1與站2之間連續移動,則來自站1的某一反應物可保持與基板保持器相關聯並「一起尾隨」至站2),此可例如在尾隨反應物在不同溫度下被帶入站時導致不同反應物之間的不期望的化學氣相沈積反應及/或不同反應物的氣相反應(或因此而導致顆粒形成)。另一方面,在基板位於站中時涉及暫停或接近暫停的停啟(stop-start)運動以及多個站之間的快速運動(例如指數)可使其中基板位於站外部的時間最小化(且因此可使至已自其他站逸出的反應物的潛在暴露最小化)及/或可有利於在基板退出給定站之前吹洗所述站。因此,在某些實施例中,基板在多個站之間的運動為不連續的,而是包括指數運動(indexing motion),例如停啟運動或慢快交替運動。
根據本文中的某些實施例用於使基板在不同站之間移動的方法以及對應製程步驟的實例在圖3至圖6中進行示意性地說明並在下文更詳細地進行闡述。
在某些實施例中,基板在以下時間(例如,第一站與第二站之間的移動時間,且未必包括在站中的時間)內按照製程序列自一個站移動至下一站:少於1000毫秒(msec),例如少於1000毫秒、900毫秒、800毫秒、700毫秒、600毫秒、500毫秒、400毫秒、300毫秒、200毫秒、175毫秒、150毫秒、125毫秒、100毫秒、75毫秒、50毫秒、25毫秒、10毫秒、或5毫秒,包括所列值中的任何兩個值之間的範圍,例如10毫秒至1000毫秒、10毫秒至500毫秒、10毫秒至400毫秒、10毫秒至300毫秒、10毫秒至200毫秒、10毫秒至100毫秒、30毫秒至1000毫秒、30毫秒至500毫秒、30毫秒至400毫秒、30毫秒至300毫秒、30毫秒至200毫秒、30毫秒至100毫秒、50毫秒至1000毫秒、50毫秒至500毫秒、50毫秒至400毫秒、50毫秒至300毫秒、50毫秒至200毫秒、50毫秒至100毫秒、100毫秒至1000毫秒、100毫秒至500毫秒、100毫秒至400毫秒、100毫秒至300毫秒或100毫秒至200毫秒。視需要,基板可在藉由例如壁等固體材料而非氣體軸承或氣簾分離的二或更多個站之間穿梭移動。視需要,基板沿著圓形路徑或弧形路徑而非線性路徑在多個站之間穿梭移動。視需要,基板沿著線性路徑而非弧形或圓形路徑在多個站之間穿梭移動。亦設想根據本文中的某些實施例使基板在不同站之間移動而不穿過任何額外位置可藉由使處理時間最小化而提高產量。視需要,基板直接自第一站移動至第二站而不穿過額外位置。
更設想根據本文中的某些實施例,使在不同站之間穿過的物理結構最小化可有利於不同站之間的氣體隔離。舉例而言,在每一站中提供基座而非使基座在多個站之間移動可使尾隨基座的殘餘反應物最小化,並可更使基座自身上的化學氣相沈積型沈積物最小化。舉例而言,僅將基板移動至不存在反應物的站中可最小化或消除涉及尾隨反應物的氣相反應,並可最小化或消除基座自身上的不期望的化學氣相沈積型沈積物。在某些實施例中,基板在不同站之間移動並在每一站處置於靜止的基座上。如此一來,不將基板置於在多個站之間移動的任何基座上。在某些實施例中,沒有基座在不同站之間移動。舉例而言,旋轉板晶圓保持器(例如,「轉盤(lazy Susan)」配置)有可能在不同站之間攜帶「尾隨」殘餘反應物。此外,用於保持多個板及/或旋轉所述板以在不同站之間傳送晶圓及/或在晶圓保持支撐於所述板上的同時將晶圓暴露至反應物的傳統「板」晶圓保持器具有以下缺點:與晶圓相鄰的表面在不同站之間行進。如此一來,可在所述板的表面上發生不可取的沈積(原子層沈積及/或化學氣相沈積)。因此,在某些實施例中,不將基板置於旋轉晶圓保持器上。在某些實施例中,原子層沈積反應器不包括旋轉晶圓保持器。在某些實施例中,僅將基板置於靜止的基板保持器上。在某些實施例中,每一站包括至少一個容納於所述站內且不移動至所述站外部的晶圓保持器。在某些實施例中,傳送構件將基板置於站中的基座上或站中的晶圓保持器上。在某些實施例中,反應器的任何表面皆不暴露至多於一種反應物。如此一來,在某些實施例中,沒有表面與多於一種反應物實質上接觸。視需要,所述站可在將基板置於所述站中時處於特定溫度下,或可在將基板置於所述站中之後被加熱或冷卻至特定溫度。
較佳地,在傳送構件將基板置於站中的基座上之後,所述傳送構件自所述站縮回以使得所述傳送構件不與任何反應物接觸。
根據本文中的某些實施例,晶圓表面為重複且依序與二或更多種反應物接觸的僅有表面(即,例如基座、傳送構件、腔室表面、氣體源管道、及/或排放管道等其他表面不與二或更多種不同反應物接觸)。根據本文中的某些實施例,晶圓表面為在不同溫度下與反應物接觸的僅有表面(例如,晶圓可在第一溫度下與第一反應物接觸並在第二溫度下與第二反應物接觸,而其他表面不與任何反應物接觸或在單一溫度下與僅一種反應物接觸)。根據本文中的各種實施例,在不同溫度下與不同反應物的接觸可在不同站中發生。因此,站的所有內表面(包括與站的內空間直接連通的壁表面、基座表面、氣體管道及排放管道表面)以及存在於站內部的任何其他反應器部分與僅一種反應物實質上接觸。此外,當存在反應物時,每一反應物通常可處於適當溫度下以最小化或消除氣相反應、最小化或消除顆粒形成、並最小化或消除在站或反應器的表面上的沈積。亦即,不受任何理論的限制,使每一反應物保持處於適當溫度下直至反應物與基板發生反應可最小化或消除與反應物處於不期望的溫度下相關聯的氣相反應及/或顆粒形成。
注意,站的內表面除反應物氣體外可與一或多種惰性氣體(例如,載氣及/或吹洗氣體)接觸。用於將晶圓自一個站傳送至另一站並使晶圓自一個站移動至另一站的任何晶圓傳送構件在使晶圓與反應物接觸期間將不存在於所述站中,且因此將不與反應物接觸。
視需要,基板可在被暴露至每一站中的反應物的同時保持靜止。在某些實施例中,基板經由旋轉晶圓支撐系統而在二或更多個站之間移動。可將基板置於晶圓支撐件(例如槳葉)上,所述晶圓支撐件可旋轉以使基板在多個站之間移動。視需要,在使基板與站中的反應物接觸之後,在旋轉晶圓支撐件使基板旋轉至後續站之前對所述旋轉晶圓支撐件應用吹洗。在某些實施例中,基板經由支架(例如本文所述的支架)在二或更多個站之間移動。在某些實施例中,基板在末端執行器上自一個站輸送至另一站。
注意,若兩個不同站包含兩種不同反應物,則可在所述不同站中維持不同反應條件(例如不同壓力及/或溫度)。舉例而言,第一站可處於針對第一站處的第一反應物而最佳化的第一溫度及壓力下,且第二站可處於針對第二站處的第二反應物而最佳化的第二溫度及壓力下。如此一來,在某些實施例中,整個第一站處於不同於整個第二站的溫度下。在某些實施例中,整個第一站處於不同於整個第二站的壓力下。在某些實施例中,整個第一站處於不同於整個第二站的溫度及壓力下。在某些實施例中,整個第一站處於不同於整個第二站的溫度下,但所述兩個站處於相同壓力下。在某些實施例中,整個第一站處於相同於整個第二站的溫度下,但所述兩個站處於不同壓力下。
視需要,站更與吹洗氣體源及/或真空氣體連通,以使得可吹洗所述站。舉例而言,根據本文中的某些實施例,在使基板與第一站處的反應物接觸之後(但在基板移動至第二站之前),在基板保持於第一站中的同時可吹洗所述站,以最小化或消除逗留的反應物與晶圓一起輸送至第二站的可能性。設想在基板移動至下一站時在基板上尾隨的反應物可導致不期望的顆粒形成、氣相反應及/或與所述下一站處的不同反應物的化學氣相沈積型反應(尤其在所述兩種不同反應物具有不同溫度穩定性時),且如此一來,根據本文中的某些實施例,吹洗可有利於不同反應物之間的分離,且此使此類不期望的化學氣相沈積型反應最小化。
視需要,「吹洗位置」可與吹洗氣體及/或真空氣體連通,但不供應反應物至基板。設想在與第一站中的第一反應物接觸之後,可將基板置於吹洗位置。當基板處於吹洗位置時可執行吹洗以自基板移除任何逗留的第一反應物。在吹洗之後,可將基板置於提供第二反應物至基板的第二站中。視需要,吹洗位置與提供反應物的站中的每一者氣體隔離。注意,吹洗位置可與吹洗反應站自身相容。舉例而言,在使基板與站中的反應物接觸之後(且當基板仍處於所述站內部時),可向所述站提供吹洗氣體以吹洗所述站,且然後可將基板置於用於進行額外吹洗的吹洗位置。舉例而言,在使基板與站中的反應物接觸之後(且當基板仍處於所述站內部時),可將基板置於用於進行額外吹洗的吹洗位置,且當正在吹洗位置中吹洗基板的同時可吹洗所述站自身(所述站的吹洗可在基板被移除之前、同時、或之後開始)。在某些實施例中,中間空間(位於站外部)包括吹洗位置,抑或中間空間由或基本上由吹洗位置組成。
對於某些原子層沈積製程,在某些反應物條件集合(例如,溫度、壓力、反應物的量)下的某些反應物可使得反應物難以自腔室或站吹洗。設想根據本文中的某些實施例的方法及裝置可解決「難以吹洗」反應物及條件的問題。舉例而言,若在特定反應條件集合下的特定反應物難以在某一站處吹洗,則可自所述站移除基板同時在將另一基板置於所述站中之前繼續吹洗所述站。視需要,可將基板移動至吹洗站以移除任何剩餘的尾隨反應物,同時繼續自其站吹洗「難以吹洗的」反應物。
設想若彼此反應的兩種反應物均存在於同一吹洗位置或吹洗管線中,則所述反應物可在所述吹洗位置上及/或在吹洗管線中留下不期望的化學氣相沈積沈積物。因此,在某些實施例中,不同站與不同吹洗管線氣體連通,以使得第一反應物不接觸吹洗管線中的第二反應物。舉例而言,提供第一反應物的站可與第一吹洗管線氣體連通,且提供第二反應物的站可與不同於第一吹洗管線的第二吹洗管線氣體連通。因此,在某些實施例中,不同吹洗位置與吹洗不同反應物相關聯。舉例而言,第一吹洗位置可定位於提供第一反應物的第一站的下游(在製程流程中),且第二吹洗位置可定位於提供第二反應物的第二站的下游(在製程流程中),以使得第一反應物與第二反應物不在同一吹洗位置被吹洗。
視需要,例如在雙重選擇性原子層沈積(例如在於2015年4月15日提出申請的美國申請案第14/687833號中所述,所述美國申請案全文併入本案供參考)的上下文中,第三站更提供第三反應物(不同於第一反應物及第二反應物),所述第三反應物相對於第一暴露表面(或沈積於第一暴露表面上的膜)而選擇性地吸附於基板的第二暴露表面上以形成僅一個單層。第三反應物可具有不同於第一反應物、第二反應物或第一反應物及第二反應物二者的溫度穩定性。如此一來,可使基板在不同於第一溫度及/或第二溫度的第三溫度下與和第一站及第二站氣體隔離的第三站中的第三反應物接觸。如此一來,第三反應物在與吸附第一反應物及/或第二反應物的溫度不同的溫度下可優先吸附於基板的第二暴露表面(相對於第一暴露表面)上。此外,第四站更提供與吸附於第二表面上的第三反應物發生反應的第四反應物(不同於第三反應物且具有不同於第一反應物、第二反應物、及/或第三反應物的溫度穩定性),以使得僅一個第四反應物的單層吸附於第二表面上。第一站、第二站、第三站、及第四站中的每一者可彼此連續地或暫時地(例如當基板定位於每一站內部時)氣體隔離。
視需要,根據本文中的某些實施例的一或多個站包括可在上面放置基板的基座。基座可被加熱,且因此可用以將基板加熱至合適的溫度。如此一來,在某些實施例中,第一站中的基座被加熱至第一溫度,而第二站中的基座被加熱至第二溫度。注意,不同反應物可在不同溫度下發生反應。因此,在某些實施例中,基座可將基板加熱達不同持續時間以使基板能夠達到適當溫度。
視需要,基座可具有較基板低的質量,以使得基座可較基板被更迅速地加熱。視需要,基座不在不同站之間移動。視需要,基座包括經加熱的基座。在某些實施例中,在將基板置於基座上之前,所述基座處於用於沈積反應物的適當溫度下。在某些實施例中,在將基板置於基座上之後,將基座加熱至用於沈積反應物的適當溫度。
在某些實施例中,原子層沈積反應器包括至少2個站,例如至少2個、3個、4個、5個、6個、7個、8個、9個、10個、11個、12個、13個、14個、15個、16個、17個、18個、19個、20個、30個、40個、50個、100個、150個、200個、250個、300個、400個、或500個站,包括所列值中的任何兩個值之間的範圍。設想為藉由根據本文中的某些實施例維持具有不同溫度穩定性的不同反應物之間的分離來最小化不期望的化學氣相沈積反應、氣相反應、及/或顆粒形成,使反應器具有為基板的至少兩倍的站可能是有用的。舉例而言,反應器可被配置為每一站少於或等於0.5個基板的比率,例如每一站0.5個、0.4個、0.3個、0.2個、0.1個或0.05個基板,包括所列值中的任何兩個值之間的範圍。 沈積的方法
根據本文中的某些實施例,提供沈積(例如原子層沈積(ALD))的方法。所述方法可包括向基板提供暴露表面。所述方法可包括:使第一站中的整個基板在第一溫度下與第一反應物接觸,以使得僅一個第一反應物的單層吸附於暴露表面上。所述方法可包括:將基板置於第二站中,以及在實質上無第一反應物的情況下使第二站中的整個基板在不同於第一溫度的第二溫度下與第二反應物接觸,以使得僅一個第二反應物的單層吸附於吸附第一反應物的暴露表面上。視需要,傳送系統將基板置於第一站及第二站中,其中傳送系統的任何表面皆實質上不存在多於一種反應物。視需要,重複所述方法直至在暴露表面上方沈積所需厚度的膜。視需要,除基板自身外,任何其他表面皆不與第一反應物及第二反應物二者接觸(例如,第一站及第二站、基板傳送構件、氣體源管線、吹洗管線、基座、及/或基板傳送機構(若存在)的表面不與第一反應物及第二反應物二者接觸)。視需要,原子層沈積包括選擇性原子層沈積。視需要,原子層沈積包括雙重選擇性原子層沈積。在某些實施例中,使第一晶圓在第一溫度下與第一反應物接觸,而使第二晶圓在第二溫度下與第二反應物接觸,且其中第一站與第二站彼此氣體隔離。在某些實施例中,每一反應物在僅一個溫度下實質上接觸基板,且二或更多種不同反應物在不同溫度下與基板接觸。在某些實施例中,任何站、基板傳送構件、基板傳送機構、及/或吹洗管線的表面皆不與多於一種反應物實質上接觸。如此一來,站內的任何表面(除基板自身外,若存在)皆不與多於一種反應物實質上接觸。
在某些實施例中,所述方法包括選擇性原子層沈積。所述方法可包括:提供包括兩個不同暴露表面(例如,不同組成及/或不同形態或結晶度)的基板。所述方法可包括:使第一站中的整個基板在第一溫度下與第一反應物接觸,以使得僅一個第一反應物的單層優先於基板的第二不同暴露表面而吸附於第一暴露表面上。所述方法可包括:將基板置於第二站中,以及在於第二站處實質上無第一反應物的情況下使第二站中的整個基板在第二溫度(不同於第一溫度)下與第二反應物接觸,以使得僅一個第二反應物的單層吸附於吸附第一反應物的第一暴露表面上。視需要,重複所述方法直至在第一暴露表面(相對於第二暴露表面)上方選擇性地沈積所需厚度的膜。根據所述方法,在第二暴露表面上不發生第一反應物的任何吸附。視需要,所述方法包括雙重選擇性原子層沈積。視需要,除基板自身的表面外,任何其他表面皆不與第一反應物及第二反應物二者接觸(例如,第一站及第二站、氣體源管線、吹洗管線、基座、及/或基板傳送構件(若存在)的表面不與第一反應物及第二反應物二者接觸)。在某些實施例中,使第一站中的第一基板在第一溫度下與第一反應物接觸而使第二站中的第二基板在第二溫度下與第二反應物接觸。
不受任何理論的限制,設想氣相反應、顆粒形成、及/或化學氣相沈積反應可例如藉由降低或消除選擇性而干涉原子層沈積、尤其是選擇性原子層沈積或雙重選擇性原子層沈積。此外,不期望的氣相反應、顆粒形成、及/或化學氣相沈積反應可降低所沈積膜的品質及/或使不期望的沈積物留在反應器上,因而需要進行額外清潔製程及/或會破壞反應器。設想根據本文中的某些實施例的選擇性原子層沈積製程最小化及/或消除氣相反應、顆粒形成、及/或化學氣相沈積反應,藉此產生高度選擇性沈積、高膜品質,且此外防止反應器表面上的任何沈積以及延長反應器的運作壽命。因此,在某些實施例中,在原子層沈積反應物之間維持物理分離及視需要時間分離,且不同反應物在不同溫度下與基板接觸。在某些實施例中,任何兩種不同反應物在原子層沈積製程期間的任何時間皆不存在於同一位置。在某些實施例中,每一反應物在僅一個溫度下實質上接觸基板,且二或更多種不同反應物在不同溫度下與基板接觸。舉例而言,可將基板移動至不同站,所述站中的每一者與其他站氣體隔離並提供不同反應物以在針對特定反應物的適當溫度下接觸基板(以使得所述溫度可在不同站之間不同)。此外,在將基板置於後續站之前可自所述基板移除殘餘反應物以最小化將涉及跟隨基板至後續站的殘餘反應物的不可取的化學氣相沈積反應物及/或將涉及跟隨基板至處於不同於較早站的溫度下的後續站的殘餘反應物的不可取的氣相交互作用。
圖1A為說明根據本文中的某些實施例的一種沈積(例如原子層沈積)的方法的流程圖。所述方法可包括:提供第一基板105。所述方法可包括:(a)將第一基板置於第一站中115。可藉由多種方法(例如包括例如旋轉基板保持器或支架等傳送構件的基板傳送系統)將第一基板置於第一站中。視需要,傳送構件將基板置於工作台或基座上,且界定第一站的一或多個可移動障壁被定位成將第一站中的基板安置成氣體隔離。可將基板置於延伸的提升銷(lift pin)上,所述提升銷可被降下以將基板定位於工作台或基座的適當表面上。視需要,傳送構件將基板置於中間空間中的第一基板傳送機構(例如,可移動工作台)上,且第一基板傳送機構將基板移動至第一站中。視需要,每一基板傳送機構包括多個提升銷,所述提升銷用以自中間空間中的基板傳送機構延伸及提升基板或縮回以將基板定位於適當表面上。經提升的基板可易於由例如支架等基板傳送構件拾起以將基板移動至中間空間中的不同基板傳送構件。視需要,基板傳送構件在將基板置於第一站中的工作台或基座上之後或將基板置於第一基板傳送機構上之後縮回至中間空間中。視需要,可將第一站放置成氣體隔離125,例如與其中提供反應物的任何其他站(例如,本文所述的第二站)氣體隔離。與已將基板置於第一站中同時或在其之後可將第一站放置成氣體隔離。作為另一選擇,在將基板置於第一站中時第一站可氣體隔離。在某些實施例中,第一站與第二站連續氣體隔離。所述方法可包括:(b)在實質上無第二反應物的情況下且在第一站與第二站氣體隔離的同時,在第一溫度下使第一站中的第一基板與第一反應物接觸。所述與第一反應物接觸可在第一基板上形成一層第一反應物135。在將第一基板置於第一站中之後第一反應物可流至第一站中,抑或當將第一基板置於第一站中時第一反應物可已存在於第一站中。視需要,當第一站處於為第一溫度或不同於第一溫度的溫度下時,第一反應物可例如經由經加熱的噴頭在第一溫度下流至第一站中。視需要,整個第一站可處於第一溫度下。視需要,第一站包括經加熱的基座,所述經加熱的基座用以使第一基板維持處於第一溫度下。視需要,在將基板置於第一站中時第一反應物不存在於第一站中。視需要,在暴露至第一站中的第一反應物之後且在置於第二站中之前,可將第一基板暴露至第一站中及/或不同於第一站的吹洗位置(例如,中間空間中的吹洗位置)中的吹洗。所述方法可包括:(c)將第一基板置於第二站中145。視需要,界定第一站的一或多個可移動障壁被移動成將基板暴露至中間空間。提升銷(若存在)可被延伸以使基板可與傳送構件接觸。傳送構件(例如,旋轉基板保持器或支架)可拾起基板並將基板置於第二工作台或基座上。可將基板置於延伸的提升銷上,所述延伸的提升銷可縮回以將基板定位於適當表面上。界定第二站的一或多個可移動障壁可被移動成將第二站中的基板安置成氣體隔離。視需要,將第一基板置於第二站中包括:經由例如可移動工作台等第一基板傳送機構使基板移動至中間空間,且然後在中間空間內使基板移動至中間空間中的第二基板傳送機構(例如第二可移動工作台),所述第二基板傳送機構可將基板置於第二站中。視需要,基板可經由傳送構件(例如,支架或旋轉基板保持器)而自中間空間中的第一基板傳送機構移動至中間空間中的第二基板傳送機構。視需要,在將基板置於第二站中的工作台或基座上之後或將基板置於第二基板傳送機構上之後,基板傳送構件縮回至中間空間中。視需要,可將第二站放置成與第一站氣體隔離155,例如可將第二站放置成與其中提供反應物的任何其他站(例如,第一站)氣體隔離。與已將基板置於第二站中同時或在其之後可將第二站放置成氣體隔離。作為另一選擇,在將基板置於第一站中時第二站可氣體隔離。在某些實施例中,第二站與第二站連續氣體隔離。所述方法可包括:(d)在實質上無第一反應物的情況下且在第二站與第一站氣體隔離的同時,在第二溫度下使第二站中的第一基板與第二反應物接觸。第二反應物可不同於第一反應物,並與第一表面上的第一反應物發生反應。第二溫度可不同於第一溫度165。在將第一基板置於第二站中之後可使第二反應物流至第二站中,抑或當將第一基板置於第一站中時第二反應物可已存在於第二站中。視需要,在將基板置於第一站中時第二反應物不存在於第二站中。視需要,第二反應物可例如經由經加熱的噴頭在第二溫度下流至第二站中。視需要,第二站可處於第二溫度下。視需要,第二站包括經加熱的基座,所述經加熱的基座用以使第一基板維持處於第一溫度下。視需要,在暴露至第二站中的第二反應物之後且在置於另一站(例如第一站或第三站)中之前,可將第一基板暴露至第二站中及/或不同於第二站的吹洗位置(例如中間空間中的吹洗位置)中的吹洗。所述方法可包括:重複步驟(a)至步驟(d)直至在第一基板的表面上沈積所需厚度的膜175。視需要,使除基板自身的表面外的任何其他表面皆不與第一反應物及第二反應物二者接觸185(例如,第一站及第二站、氣體源管線、吹洗管線、基板傳送構件、基座、及/或基板傳送機構(若存在)的表面不與第一反應物及第二反應物二者接觸)。熟習此項技術者將瞭解,本文所列步驟可根據某些實施例而以不同次序執行、消除、或複製。
圖1B為說明根據本文中的某些實施例的一種選擇性原子層沈積的方法的流程圖。所述方法可包括:提供包括第一暴露表面及不同於第一暴露表面的第二暴露表面的第一基板110。所述方法可包括:(a)將第一基板置於第一站中120。可藉由多種方法(例如包括例如旋轉基板保持器或支架等傳送構件的基板傳送系統)而將第一基板置於第一站中。視需要,傳送構件將基板置於工作台或基座上,且界定第一站的一或多個可移動障壁被定位成將站中的基板安置成氣體隔離。可將基板置於提升銷上,所述提升銷可被降下以將基板定位於適當表面上。視需要,傳送機構將基板置於中間空間中的第一基板傳送機構(例如,可移動工作台)上,且第一基板傳送機構將基板移動至第一站中。視需要,每一基板傳送機構包括多個提升銷,所述多個提升銷用以自中間空間中的基板傳送機構延伸及提升基板。經提升的基板可易於由傳送構件(例如,支架)拾起以將基板移動至中間空間中的不同基板傳送機構。視需要,在將基板置於第一站中的工作台或基座上之後或將基板置於第一基板傳送機構上之後,基板傳送構件縮回至中間空間中。視需要,可將第一站放置成氣體隔離130,例如與其中提供反應物的任何其他站(例如,本文所述的第二站)氣體隔離。與已將基板置於第一站中同時或在其之後可將第一站放置成氣體隔離。作為另一選擇,在將基板置於第一站中時,第一站可氣體隔離。在某些實施例中,第一站與第二站連續氣體隔離。所述方法可包括:(b)在實質上無第二反應物的情況下且在第一站與第二站氣體隔離的同時,在第一溫度下使第一站中的第一基板與第一反應物接觸。第一反應物可相對於第二暴露表面優先與第一暴露表面發生反應,以使得僅一個第一反應物的單層吸附於第一暴露表面上140。在將第一基板置於第一站中之後第一反應物可流至第一站中,抑或當將第一基板置於第一站中時第一反應物可已存在於第一站中。視需要,在將基板置於第一站中時第一反應物不存在於第一站中。視需要,當第一站處於為第一溫度或不同於第一溫度的溫度下時,第一反應物可例如經由經加熱的噴頭在第一溫度下流至第一站中。視需要,整個第一站可處於第一溫度下。視需要,第一站包括經加熱的基座,所述經加熱的基座用以使第一基板維持處於第一溫度下。視需要,在暴露至第一站中的第一反應物之後且在置於第二站中之前,可將第一基板暴露至第一站中及/或不同於第一站的吹洗位置(例如,中間空間中的吹洗位置)中的吹洗。所述方法可包括:(c)將第一基板置於第二站中150。視需要,界定第一站的一或多個可移動障壁被移動成將基板暴露至中間空間,且傳送構件(例如,旋轉基板保持器或支架)拾起基板並將基板置於第二工作台或基座上。可將基板置於提升銷上,所述提升銷可被降下以將基板定位於適當表面上。界定第二站的一或多個可移動障壁可被移動成將第二站中的基板安置成氣體隔離。視需要,將第一基板置於第二站中包括經由例如可移動工作台等第一基板傳送機構而將基板移動至中間空間。提升銷(若存在)可被升高以使基板可與傳送構件接觸。然後,在中間空間內,傳送機構可將基板移動至中間空間中的第二基板傳送機構(例如第二可移動工作台)。可將基板置於提升銷上,所述提升銷可被降下以將基板定位於適當表面上。傳送構件可將基板置於第二站中。視需要,基板可經由傳送機構(例如,支架或旋轉基板保持器)而自中間空間中的第一基板傳送機構移動至中間空間中的第二基板傳送機構。視需要,在將基板置於第二站中的工作台或基座上之後或將基板置於第二基板傳送機構上之後,基板傳送構件縮回至中間空間中。視需要,可將第二站放置成氣體隔離160,例如可將第二站放置成與其中提供反應物的任何其他站(例如,第一站)氣體隔離。與已將基板置於第二站中同時或在其之後,可將第二站放置成氣體隔離。作為另一選擇,在將基板置於第一站中時,第二站可氣體隔離。在某些實施例中,第二站與第二站連續氣體隔離。所述方法可包括:(d)在實質上無第一反應物的情況下且在第二站與第一站氣體隔離的同時,在第二溫度下使第二站中的第一基板與第二反應物接觸。第二反應物可不同於第一反應物,並優先與第一暴露表面上的所述僅一個第一反應物的單層發生反應,以使得僅一個第二反應物的單層吸附於第一暴露表面上。第二溫度可不同於第一溫度170。在將第一基板置於第二站中之後第二反應物可流至第二站中,抑或當將第一基板置於第一站中時第二反應物可已存在於第二站中。視需要,當第二站處於為第二溫度或不同於第二溫度的溫度下時,第二反應物可例如經由經加熱的噴頭在第二溫度下流至第二站中。視需要,整個第二站可處於第二溫度下。視需要,第二站包括經加熱的基座,所述經加熱的基座用以使第一基板維持處於第二溫度下。視需要,在將基板置於第一站中時第二反應物不存在於第二站中。視需要,在暴露至第二站中的第二反應物之後且在置於另一站(例如第一站或第三站)中之前,可將第一基板暴露至第二站中及/或不同於第二站的吹洗位置中的吹洗。所述方法可包括:重複步驟(a)至步驟(d)直至相對於第二暴露表面選擇性地在第一暴露表面上沈積所需厚度的膜180。視需要,使除基板自身的表面外的任何其他表面皆不與第一反應物及第二反應物二者接觸190(例如,第一站及第二站、氣體源管線、吹洗管線、基板傳送構件、基座、及/或基板傳送機構(若存在)的表面不與第一反應物及第二反應物二者接觸)。熟習此項技術者將瞭解,本文所列步驟可根據某些實施例而以不同次序執行、消除、或複製。
在某些實施例中,根據本文中的某些實施例,在將基板置於第一站中之前,執行涉及難以吹洗或易於出現化學氣相沈積反應的一或多種反應物的至少一個製程步驟。舉例而言,首先將基板置於至少一個初步站中,並使基板與難以吹洗及/或易於出現化學氣相沈積反應的初步反應物(或反應物的組合)接觸。在使基板與初步反應物(或反應物的組合)接觸之後,將基板置於第一站中。舉例而言,基板可在初步站中經歷初步鈍化步驟或初步化學氣相沈積反應。視需要,基板在與初步反應物(或反應物的組合)接觸之後但在置於第一站中之前經受吹洗(在初步站中或在吹洗位置中)。
在某些實施例中,基板不與除第一站外的任何位置處的第一反應物接觸,且基板不與除第二站外的任何位置處的第二反應物接觸。如此一來,不在第二站處提供第一反應物及/或不在第一站處提供第二反應物。視需要,每一站提供僅一種類型的反應物。如此一來,在某些實施例中,第一站提供僅一種類型的反應物,且第二站僅提供一種類型的反應物,所述反應物不同於第一站所提供的反應物。在某些實施例中,每一站提供僅一種反應物。在某些實施例中,每一反應物在僅一個溫度下實質上接觸基板,且二或更多種不同反應物在不同溫度下與基板接觸。
更設想維持多種反應物之間的時間分離可有利於維持「氣體隔離」並可有利於根據本文中的某些實施例使不同反應物處於不同溫度下,並且如此一來,可最小化或消除氣相反應、顆粒形成、及不期望的化學氣相沈積反應。舉例而言,若第一反應物未與第二反應物同時流至反應器中,則該些反應物可維持時間氣體隔離。舉例而言,在其中氣體壁或氣體軸承維持空間氣體隔離的實施例中,時間隔離可藉由最小化或消除擴散至站外的痕量氣體的影響而更有利於氣體隔離。舉例而言,對於其中物理壁維持氣體隔離的實施例,時間隔離可進一步最小化或消除反應物向其他站中的擴散或洩漏。在某些實施例中,氣體隔離包括處於不同溫度下的兩種反應物之間的時間分離。在某些實施例中,氣體隔離包括處於不同溫度下的兩種反應物之間的物理分離及時間分離。在某些實施例中,原子層沈積製程中的所有反應物皆在物理上分離。在某些實施例中,原子層沈積製程中的所有反應物皆在時間上分離。在某些實施例中,原子層沈積製程中的所有反應物皆在物理上及時間上分離。注意,維持多種反應物之間的時間分離可降低產量,但根據本文中的某些實施例,降低產量以使得可達成例如高選擇性、高膜品質、及/或長的反應器壽命等製程優點是可接受的。
在某些實施例中,在使第一基板與第一反應物接觸之後,在第一基板存在於第一站中的同時吹洗第一站。在使第一基板與第二反應物接觸之後,可在第一基板存在於第二站中的同時吹洗第二站。視需要,第一站及第二站包括本文所述的分離吹洗管線以使吹洗管線中第一反應物與第二反應物之間的可能的不期望的化學氣相沈積反應最小化。設想根據本文中的某些實施例,若第一基板暴露至其中第一基板已與反應物接觸的站中的吹洗,則在吹洗之後,可將第一基板直接置於後續站中而不置於例如吹洗位置及/或晶圓處理腔室等中間位置中。
在某些實施例中,在使第一站中的第一基板與第一反應物接觸之後,將基板置於第二站中而不置於額外位置。額外位置的實例包括吹洗位置及用以遞送反應物的其他站。注意,基板可在自第一站移動至第二站時穿過三維空間(例如「中間空間」),但只要所述三維空間不包括不同站或吹洗位置,基板將一直被視為尚未置於「額外位置」中。如此一來,在某些實施例中,在使第一站中的第一基板與第一反應物接觸之後,將基板置於第二站中而不置於額外位置,且如此一來,所述基板在與第一反應物接觸之後且在與第二反應物接觸之前不與任何額外反應物接觸。
在某些實施例中,第一基板在與第一反應物接觸之後且在置於第二位置中之前在第一吹洗位置吹洗。第一吹洗位置可為不與第一站氣體連通的位置。在某些實施例中,第一基板在與第二位置中的第二反應物接觸之後在第二吹洗位置吹洗。第二吹洗位置可為不與第二站氣體連通的位置。在某些實施例中,第二吹洗位置不同於第一吹洗位置。在某些實施例中,第二吹洗位置相同於第一吹洗位置。
如本文所述,最小化或消除可在反應器表面上及/或基板上留下不期望的沈積物的化學氣相沈積(CVD)型反應可為可取的。因此,在某些實施例中,在第一站的任何表面上實質上無化學氣相沈積型反應發生,且其中在第二站的任何表面上實質上無化學氣相沈積型反應發生。本文所用的「實質上無化學氣相沈積型」(包括此根詞的變型)意指涉及反應空間中的過量反應物的反應的僅0.1%、較佳地僅0.01%為化學氣相沈積型反應。在某些實施例中,在反應器的任何表面上實質上無化學氣相沈積型反應發生。在某些實施例中,在基板上實質上無化學氣相沈積型反應發生。在某些實施例中,在吹洗管線及/或吹洗位置中實質上無化學氣相沈積型反應發生。本文所用的「實質上無氣相反應」(包括此根詞的變型)意指涉及反應空間中的過量反應物的反應的僅0.1%、較佳僅0.01%為氣相反應。在某些實施例中,在站中實質上無氣相反應發生。在某些實施例中,在吹洗管線及/或吹洗位中置實質上無氣相反應發生。注意,氣相反應可導致顆粒形成。如此一來,在某些實施例中,實質上無氣相反應發生的出現是藉由實質上無顆粒形成來達成。注意,若「在實質上無」或「實質上沒有」第二反應物的情況下使基板與第一反應物接觸(或反之亦然),則即使第一反應物及第二反應物將參加化學氣相沈積型反應及/或彼此氣相反應,實質上亦無化學氣相沈積型反應或氣相反應。因此,如本文所用,若第一反應物「實質上無」或「實質上沒有」第二反應物(或反之亦然),則第一反應物對第二反應物的莫耳比為至少10,000:1,例如至少10,000:1、20,000:1、30,000:1、40,000:1、50,000:1、75,000:1、100,000:1、150,000:1、200,000:1、250,000:1、300,000:1、400,000:1、500,000:1、600,000:1、700,000:1、800,000:1、900,000:1、1,000,000:1、或1,000,000,000:1,包括所列值中的任何兩個值之間的範圍。注意,本文所用的「實質上無」或「實質上沒有」亦囊括完全無。亦即,若完全無第二反應物,則反應在「實質上無」或「實質上沒有」第二反應物的情況下執行,但若實質上無(或「實質上沒有」)第二反應物,則未必完全無(或「完全沒有」)第二反應物。如此一來,本文所用的片語「任何表面皆不與多於一種反應物實質上接觸」(以及此根詞的變型)意指每一可應用表面(除晶圓外)在原子層沈積製程期間與至多一種反應物接觸,但僅存在少量的任何其他反應物,以使得對於接觸所述表面的任何氣體而言,任何其他反應物對總氣體的莫耳比少於1:10,000,例如少於1:10,000、1:20,2000、1:30,000、1:40,000、1:50,000、1:75,000、1:100,00、1:150,000、1:200,000、1:250,000、1:300,000、1:400,000、1:500,000、1:600,000、1:700,000、1:800,000、1:900,000、1:1,000,000、或1:1,000,000,000,包括所列值中的任何兩個值之間的範圍。注意,本文所用的片語「任何表面皆不與多於一種反應物實質上接觸」(以及其變型)亦囊括表面不接觸反應物或與僅一種反應物接觸。
設想根據本文中的某些實施例,降低製程產量可為可接受的以最小化或消除不期望的化學氣相沈積反應及/或氣相反應,以使得實質上無不期望的化學氣相沈積反應及/或氣相反應發生。然而,亦設想在某些實施例中,兩個晶圓可在同時利用第一站及第二站時,有效地在第一站與第二站之間交換以最小化或消除不期望的化學氣相沈積反應及/或氣相反應。因此,在某些實施例中,在第一基板不存在於第一站中時,可將第二基板置於第一站中,其中第二基板包括第三暴露表面及不同於第三暴露表面的第四暴露表面。在第一站與第二站氣體隔離時,在第一溫度下可使第一站中的第二基板與第一反應物接觸(在實質上無第二反應物的情況下),以使得第一反應物相對於第四暴露表面優先與第三暴露表面發生反應,從而使得僅一個第一反應物的單層吸附在第三暴露表面上。在使第一站中的第二基板在第一溫度下與第一反應物接觸之後且在使第二站中的第一基板在第二溫度(不同於第一溫度的溫度)下與第二反應物接觸之後,在實質上無第一反應物的情況下可將第二基板置於第二站中,且在實質上無第二反應物的情況下將第一基板置於第一站中,藉此將第一基板與第二基板進行交換以使得可重複使每一基板與第一反應物及第二反應物交替接觸的循環。在某些實施例中,第一反應物不與第四表面發生反應。在某些實施例中,反應器包括多對站,且在每一對站中,重複交換一對晶圓直至選擇性地在每一晶圓上沈積所需厚度的膜。
根據本文中的某些實施例,可在基板上執行額外原子層沈積反應,例如作為雙重選擇性原子層沈積製程序列的一部分。不受任何理論的限制,設想根據本文中的各種實施例的方法及裝置對雙重選擇性原子層沈積極為有用。由於雙重選擇性原子層沈積通常涉及多於兩種反應物(例如4種或6種反應物),因此設想雙重選擇性原子層沈積可尤其易受不同反應物之間的不期望的化學氣相沈積反應的影響。因此,根據本文中的各種實施例維持多種反應物之間的空間分離及/或時間分離以及使基板在不同溫度下與不同反應物接觸可產生具有高度選擇性、高品質所沈積膜、以及反應器上存在最少沈積物至無沈積物的雙重選擇性原子層沈積。可在除第一站或第二站外的站中執行額外原子層沈積反應。在某些實施例中,在基板上執行額外非選擇性原子層沈積反應。在某些實施例中,額外原子層沈積反應具有選擇性並在基板的兩個不同表面上提供雙重選擇性原子層沈積。在某些實施例中,藉由原子層沈積選擇性地在基板的第一表面上沈積所需厚度的第一膜,且藉由原子層沈積選擇性地在第一基板的第二不同表面上沈積所需厚度的不同第二膜(第一膜與第二膜可具有相同厚度、或可具有不同厚度)。視需要,所需厚度的第二膜是藉由以下方式而沈積:使晶圓在提供第三反應物的第三站與提供第四反應物的第四站之間穿梭移動,其中第三站及第四站與第一站及第二站氣體隔離且彼此氣體隔離,且其中第三反應物及第四反應物選擇性地吸附於第二表面上,因此在第一基板上提供雙重選擇性原子層沈積。在某些實施例中,所述方法更包括第二選擇性原子層沈積製程,所述第二選擇性原子層沈積製程在第一基板的第二表面上而非在第一基板的第一表面上沈積第二薄膜。舉例而言,所述方法可包括雙重選擇性原子層沈積。在某些實施例中,每一站提供僅一種反應物。在某些實施例中,每一反應物在僅一個溫度下實質上接觸基板,且二或更多種不同反應物在不同溫度下與基板接觸。
在某些實施例中,在多個基板上並行執行選擇性原子層沈積反應。在某些實施例中,在重複如上所述的步驟(a)至步驟(d)時,將第三基板置於第三站中。第三基板可包括第五暴露表面及不同於第五暴露表面的第六暴露表面。在實質上無第二反應物的情況下,在第一溫度下可使第三站中的第三基板與第一反應物接觸,其中第三站與第一站及第二站氣體隔離(或與將基板置於第三站中同時或在其之後被放置成與第一站及第二站氣體隔離),且其中第一反應物與第五暴露表面而非第六暴露表面發生反應,以使得僅一個第一反應物的單層吸附於第五暴露表面上。在使第三站中的第三基板與第一反應物接觸之後,可將第三基板置於第四站中,其中第四站與第一站、第二站、及第三站氣體隔離(或與將基板置於第四站中同時或在其之後被放置成與第一站、第二站、及第三站氣體隔離)。在實質上無第一反應物的情況下,在第二溫度(不同於第一溫度)下可使第四站中的第三基板與第二反應物接觸,其中第二反應物相對於第六暴露表面優先與第五暴露表面上的所述僅一個第一反應物的單層發生反應,以使得僅一個第二反應物的單層吸附於第五暴露表面上。此外,為達成所需厚度的選擇性沈積的膜,所述方法可包括重複以下步驟直至選擇性地在第五表面而非第六表面上沈積所需厚度的膜:在實質上無第二反應物的情況下在第一溫度下使第三站中的第三基板與第一反應物接觸,以及在實質上無第一反應物的情況下在第二溫度下使第四站中的第三基板與第二反應物接觸。
根據本文中的方法及反應器,各種方法適合用於提供多個站(例如第一站與第二站)之間的氣體隔離。此外,注意,多個站可連續氣體隔離或可在將基板置於站中之後但在將前驅物提供至所述站中之前被放置成氣體隔離。在某些實施例中,至少一種固體材料提供第一站與第二站之間的氣體隔離,例如玻璃或陶瓷或金屬或聚合物壁。在某些實施例中,氣體軸承或氣簾提供第一站與第二站之間的氣體隔離。在某些實施例中,第一站與第二站之間的氣體隔離不包括氣體軸承或氣簾中的任一者而是完全依賴於材料壁。
在某些實施例中,多個站相對於彼此處於固定位置。在某些實施例中,第一站相對於第二站處於固定位置。在某些實施例中,基板在與站中的反應物接觸時(例如,在與第一站中的第一反應物及/或第二站中的第二反應物接觸時)不運動。
根據本文中的方法及反應器,各種方法適合用於使基板在不同站之間移動。在某些實施例中,提供旋轉基板保持器(例如,包括旋轉槳葉)。因此,在某些實施例中,將第一基板置於第二站中包括:旋轉用於保持第一基板的基板保持器,藉此將第一基板置於第二站中。在某些實施例中,提供支架。因此,在某些實施例中,支架將第一基板置於第一站中,自第一站移除第一基板,並將第一基板置於第二站中。視需要,多個站可相對於彼此固定。在某些實施例中,將第一基板置於第一站處的基板保持器中,且其中在不移動基板保持器的情況下執行將第一基板置於第二站中。在某些實施例中,提供旋轉基板保持器及支架二者。
在圖3至圖6中示意性地說明根據本文中的某些實施例用於使基板在不同站之間移動的方法的實例。如在圖2A至圖2B中示意性地說明,用於涉及單一腔室的沈積的先前技術方法(參見圖2A)可涉及同一腔室中的多個製程步驟(參見圖2B)。如此一來,來自不同製程步驟的殘餘反應物可彼此反應,因而導致不可取的化學氣相沈積反應。如在圖3A中示意性地說明,根據本文中的某些實施例,根據本文中的某些實施例基板可自一個腔室移動至另一腔室(在圖3B中示意性地說明對應製程步驟)。舉例而言,可在第一站中執行第一製程步驟,且可在第二站中執行第二製程步驟。若第一製程步驟涉及難以吹洗及/或與稍後製程步驟的反應物特別具反應性的反應物,則根據本文中的某些實施例第一製程步驟與後續製程步驟之間的空間分離可減少涉及第一反應物的反應。
如在圖4A中示意性地說明,根據本文中的某些實施例,基板可在分離的站中經歷二或更多個製程步驟(例如,在第一站「RC1」中經歷第一製程步驟,然後被置於第二站「RC2」中進行第二製程步驟),並然後被放置至第三站「RC3」。在圖4C中示意性地說明對應製程步驟。注意,涉及單一腔室(「RC1」)的先前技術方法通常將涉及以下步驟:交替地及依序地施加反應物的脈衝(例如,步驟1、步驟2、步驟3、及步驟4),以及在腔室中執行對應吹洗步驟(例如,步驟1p、步驟2p、步驟3p)(參見圖4B)。注意,端視吹洗的效率而定,先前技術方法仍可導致殘餘反應物與後續不同反應物之間的化學氣相沈積反應。根據本文中的某些實施例,使基板移動至不同站進行不同反應,以使得某些吹洗或全部吹洗不增加處理時間。舉例而言,如在圖4C中所說明,可將基板暴露至站1、站2、及站3(分別為「RC1」、「RC2」、及「RC3」)中的四個不同製程步驟。在某些實施例中,在將基板暴露至製程步驟之後,可吹洗站。多種反應物之間的物理分離可藉由使多個站維持氣體隔離而達成。視需要,基板可在每一站處或在分離的吹洗位置中被吹洗以進一步使不同反應物之間的化學氣相沈積反應最小化。視需要,在自站移除基板時或之後可繼續吹洗。注意,吹洗與維持多種反應物之間的空間分離的組合相較於圖4B中所指示的方法未必實質上增加製程時間,但可產生實質上更高的選擇性及膜品質,同時最小化或消除反應器上的化學氣相沈積沈積物。在某些實施例中,反應物在每一站中持續流動,且在自站移除基板之後,將其置於吹洗位置並暴露至惰性氣體以自站實質上移除任何尾隨反應物。在圖4所示實例中,站連接至中央晶圓處理腔室,且晶圓經由中央晶圓處理腔室而在不同站之間傳送。
如在圖5中示意性地說明,根據本文中的某些實施例,基板可在三或更多個站(「RC1」、「RC2」、「RC3」)之間重複穿梭移動,且例如在雙重選擇性原子層沈積的上下文中,在多個站中的每一者中可發生不同製程步驟。舉例而言,可將基板置於站1(「RC1」)中進行其中使第一反應物與基板接觸的第一製程步驟,可將基板置於站2(「RC2」)中進行其中使第二反應物與基板接觸的第二製程步驟,且可將基板置於站3(「RC3」)中進行至少第三製程步驟。視需要,可重複所述製程直至在基板的所需表面上沈積所需厚度的膜。在圖5所示實例中,站不連接至中央晶圓處理腔室,而晶圓直接自一個站傳送至相鄰另一站。站可定位於藉由隔離閥分離的分離反應腔室中,所述隔離閥可打開以有利於晶圓傳送。腔室可以圓形構型佈置成彼此鄰近,以使得最後腔室(RC3)鄰近第一腔室(RC1)且晶圓可在環圈中移動。
如在圖6中示意性地說明,根據本文中的某些實施例,可重複使基板在多個站(例如,「RC1」、「RC2」、「RC3」、及「RC4」)之間旋轉。視需要,可重複所述旋轉直至形成所需厚度的膜。可在二或更多個不同站中提供不同反應物。舉例而言,每一對站可執行不同原子層沈積製程,抑或二或更多對站可執行同一原子層沈積製程。亦即,成對的「RC1」及「RC2」可執行「製程1」,且成對的「RC3」及「RC4」可執行「製程1」或「製程2」。在某些實施例中,在RC1中提供第一反應物,在RC2中提供第二反應物,在RC3中提供第三反應物,且在RC4中提供第四反應物。視需要,例如在單一選擇性原子層沈積製程的上下文中,第一反應物相同於第三反應物(但不同於第二反應物及第四反應物),且第二反應物相同於第四反應物(但不同於第一反應物及第三反應物)。視需要,例如在雙重選擇性原子層沈積的上下文中,第一反應物、第二反應物、第三反應物、及第四反應物彼此不同。
注意,在某些實施例中,二或更多對站可提供相同反應物(例如,RC1及RC2分別提供第一反應物及第二反應物,且RC3及RC4分別提供第一反應物及第二反應物)。如此一來,多個沈積循環可涉及以下步驟:在兩對站之間「旋轉」基板(例如,經由循環RC1-> RC2 -> RC3 -> RC4),或在成對的站之間「交換」基板(使第一基板在RC1與RC2之間重複循環)。在圖7A中示意性地說明交換。在圖7B中示意性地說明旋轉。注意,即使兩個站在相同條件下提供相同反應物,仍可存在較小的差異,並導致所沈積膜的特性的較小差異。因此,設想在本文中的某些實施例中,基板藉由交換而在不同站之間移動(例如,第一基板位於RC1中且第二基板位於RC2中,且基板同時交換以使得第一基板位於RC2中且第一基板位於RC1中)。
在某些實施例中,二或更多對站對二或更多個基板並行執行同一沈積製程。舉例而言,使第一基板與RC1中的第一反應物接觸且使第二基板與RC2中的第一反應物接觸。然後將第一基板交換至RC3中且接著將第二基板交換至RC4中,並且在RC3及RC4中提供第二反應物。可藉由以下方式重複所述沈積循環:(a)在RC1與RC2之間交換第一基板直至達成所需厚度的膜,以及(b)在RC3與RC4之間交換第二基板直至達成所需厚度的膜。視需要,基板成對存在於每一站中,且每一對基板彼此交換(例如,第一基板位於RC1中,第二基板位於RC2中,第三基板位於RC3中,且第四基板位於RC4中,並且第一基板與第二基板彼此交換而第三基板與第四基板彼此交換)。
在某些實施例中,第一反應物不與第二反應物流至第二站中同時流至第一站中。在某些實施例中,第一反應物連續流至第一站中及/或第二反應物連續流至第二站中。視需要,在將基板置於所述站中且與連續流動的反應物接觸之後且在置於後續站中之前將基板置於吹洗位置中進行吹洗。
在某些實施例中,在與將第一基板暴露至第二站處的第二反應物的壓力不同的壓力下將第一基板暴露至第一站中的第一反應物。舉例而言,在第一站與第二站之間可存在至少0.5倍的壓力差,例如所述兩個站之間的0.5倍、1倍、1.5倍、2倍、2.5倍、3倍、3.5倍、4倍、4.5倍、5倍、6倍、7倍、8倍、9倍、10倍、15倍、20倍、25倍、20倍、40倍、或50倍的壓力差。在某些實施例中,第一站處於大於第二站的壓力下。在某些實施例中,第二站處於大於第一站的壓力下。 基板及沈積化學品
根據本文中的實施例可使用各種基板及沈積化學品。
在某些實施例中,執行單一選擇性原子層沈積。在某些實施例中,執行雙重選擇性原子層沈積。雙重選擇性原子層沈積可包括:在基板(例如,介電質)的第一暴露表面上方選擇性沈積第一膜以及在基板(例如,金屬)的第二不同暴露表面上方選擇性沈積第二不同膜。視需要,可重複在第一暴露表面上方的第一薄膜的沈積直至達成所需厚度的第一膜,且可重複在第二表面上方的第二厚膜的沈積直至達成所需厚度的第二膜。在某些實施例中,完成所需厚度的第一膜的沈積(例如,重複第一薄膜的沈積某些次),且然後沈積第二膜(例如,重複第二薄膜的沈積某些次)。在某些實施例中,執行第一膜與第二膜的交替沈積(例如,重複第一薄膜的沈積一或多次,重複第二薄膜的沈積一或多次),且重複此循環一或多次。
在某些實施例中,執行選擇性沈積。設想根據本文中的某些實施例在不同溫度下使基板與不同反應物接觸(例如,對於具有不同溫度穩定性的不同反應物)可產生高度選擇性沈積並產生高品質膜。舉例而言,不同溫度下的不同反應物可實質上不提供氣相反應及/或實質上不提供顆粒形成,以使得高品質薄膜相對於其他表面優先沈積於基板的所需表面上。
在某些實施例中,執行非選擇性沈積。舉例而言,非選擇性沈積製程中的兩種不同反應物可具有不同溫度穩定性。因此,在第一溫度下使基板與第一反應物接觸以及在第二溫度下使基板與第二反應物接觸可產生高品質膜。不受任何理論的限制,執行所述沈積以使得在第二溫度下實質上無第一反應物與基板接觸且在第一溫度下實質上無第二反應物與基板接觸可實質上不提供氣相反應及/或實質上不提供顆粒形成,因而產生高品質所沈積薄膜。舉例而言,在某些實施例中,沈積Al/N薄膜,其中在第一溫度下(且在實質上無N前驅物的情況下)使基板與Al前驅物接觸,且其中在第二溫度下(且在實質上無Al前驅物的情況下)使基板與N前驅物接觸。
在某些實施例中,Sb選擇性地沈積於基板(例如,金屬)的第一暴露表面上,且W選擇性地沈積於基板(例如,介電質)的第二暴露表面上。圖8示意性地說明根據本文中的某些實施例的Sb/W對的各種製程流程。基板可依據用於沈積W層及Sb層的反應循環的所需數目而在四個站之間自由傳送。 反應器
根據本文中的某些實施例的反應器包括彼此氣體隔離的第一站及第二站(或其中反應器用以在將基板置於給定站中之後將所述給定站放置成與其他站氣體隔離),其中第一站與第一反應物源氣體連通且第二站與第二反應物源氣體連通,其中第一站及第二站可用以使基板在不同溫度下與反應物接觸,且其中第一反應物及第二反應物彼此不同。反應器可更包括控制器,所述控制器被設定成控制基板在不同站之間的移動、反應物向站中的流動、及/或站及/或吹洗位置的吹洗。視需要,反應器可用以使第一站提供第一反應物以在第一溫度下接觸第一站中的基板,且第二站提供第二反應物以在第二溫度下接觸第二站中的不同基板。在某些實施例中,反應器用以進行選擇性沈積。在某些實施例中,反應器用以進行非選擇性沈積。在某些實施例中,反應器包括原子層沈積反應器。在某些實施例中,原子層沈積反應器用以進行選擇性原子層沈積,例如單一選擇性原子層沈積或雙重選擇性原子層沈積。
反應器可用以在基板上進行原子層沈積。反應器可包括用以容納第一基板的第一站,其中第一站用以使第一基板在第一溫度下與第一反應物接觸,其中第一反應物與第一基板發生反應以使得僅一個第一反應物的單層吸附於第一基板的表面上。反應器可包括與第一站氣體隔離(或與將基板置於第二站中同時或在其之後被放置成與第一站氣體隔離)的第二站,其中第二站用以容納第一基板並在實質上無第一反應物的情況下且在不同於第一溫度的第二溫度下使第一基板與第二反應物接觸,且其中第二反應物不同於第一反應物並與所述僅一個第一反應物的單層發生反應,以使得僅一個所需材料的單層形成於第一暴露表面上。反應器可用以使第一站中的第一基板在第一溫度下與第一反應物接觸而第二站中的第二基板在第二溫度下與第二反應物接觸。在某些實施例中,每一站提供僅一種反應物。每一站可用以使基板在不同溫度下與反應物接觸。
反應器可更包括基板傳送系統,所述基板傳送系統用以將第一基板置於第一站中,並隨後在使第一基板與第一反應物接觸之後將所述基板置於第二站中。反應器可包括中間空間(參見圖16對根據本文中的某些實施例的亦被稱為「基板傳送空間」的「中間空間」的說明)。基板傳送系統可包括例如支架等基板傳送構件,所述基板傳送構件用以使基板在中間空間內移動。在某些實施例中,移動用於界定站的可移動障壁,以將基板暴露至中間空間,且傳送構件將基板穿過中間空間傳送至不同站,所述不同站然後藉由可移動障壁而被放置成氣體隔離。在某些實施例中,反應器的基板傳送系統包括一或多個基板傳送機構(例如,可移動工作台),其中每一基板傳送機構與僅一個站相關聯,並可使基板在其站與中間空間之間穿梭移動。如此一來,用於每一站的傳送機構可使基板自特定站移動至中間空間,或自中間空間移動至所述站。舉例而言,可移動工作台可在中間空間與同所述特定可移動工作台相關聯的站之間升高及降下基板。在某些實施例中,用以接納基板的站中的基板傳送機構、或工作台或基座包括多個提升銷。當提升銷延伸時,安放於延伸的提升銷上的基板可易於與基板傳送構件(例如,支架)接觸以進行拾起或放下。當提升銷縮回時,基板可定位於適當表面(例如,工作台或基座的表面)上。在中間空間中,基板可例如經由例如支架等旋轉基板傳送構件(參見例如圖9)而自一個站移動至另一站或自一個基板傳送機構(例如,可移動工作台)移動至另一基板傳送機構。視需要,每一基板傳送機構(例如,可移動工作台)包括多個提升銷,所述多個提升銷用以自在中間空間中的基板傳送機構延伸及提升基板。經提升的基板可易於由例如支架等傳送構件拾起以將基板移動至中間空間中的不同基板傳送構件。視需要,在將基板置於站中(例如,置於基座或工作台上)或置於與站相關聯的基板傳送機構上之後,基板傳送構件縮回至中間空間中。因此,基板傳送系統可使基板在不同站之間移動,但基板傳送系統的任何表面皆不暴露至多於一個站或其中的反應物。亦即,基板傳送系統的每一部分可實質上暴露至僅一種反應物(例如,例如可移動工作台等基板傳送機構),或可不實質上暴露至任何反應物(例如,中間空間內的例如支架等基板傳送構件)。此外,在某些實施例中,每一反應物在僅一個溫度下與基板傳送系統接觸。設想將每一表面暴露至僅一種反應物可使所述表面上的不期望的原子層沈積及/或化學氣相沈積反應最小化。反應器可用以例如在本文所述的控制器的控制之下在使第一基板與第二反應物接觸之後將第一基板置於第一站中。視需要,反應器用以重複所述製程直至在暴露表面上方沈積所需厚度的膜。視需要,反應器的任何表面皆不與第一反應物及第二反應物二者接觸(例如,第一站及第二站、氣體源管線、吹洗管線、基板傳送構件、基座、及/或基板傳送機構(若存在)的表面不與第一反應物及第二反應物二者接觸)。然而,注意,基板可由第一反應物及第二反應物兩者接觸。視需要,反應器的任何表面皆不在兩個不同溫度下或在兩個不同溫度下與特定反應物接觸。
在某些實施例中,反應器用以在包括兩個不同暴露表面的第一基板上進行選擇性原子層沈積。反應器可包括用以容納第一基板的第一站,所述第一基板包括第一暴露表面及第二暴露表面,其中第一站用以使第一基板在第一溫度下與第一反應物接觸,其中第一反應物相對於第二暴露表面優先與第一暴露表面發生反應,以使得僅一個第一反應物的單層吸附於第一暴露表面上。反應器可包括與第一站氣體隔離(或與將基板置於第二站中同時或在其之後可被放置成與第一站氣體隔離)的第二站,其中第二站用以容納第一基板並使第一基板在實質上無第一反應物的情況下且在不同於第一溫度的第二溫度下與第二反應物接觸,且其中第二反應物不同於第一反應物並相對於第二暴露表面優先與第一暴露表面上的所述僅一個第一反應物的單層發生反應,以在第一暴露表面上形成僅一個所需材料的單層。反應器可更包括傳送構件,所述傳送構件用以將第一基板置於第一站中,並隨後在使第一基板與第一反應物接觸之後將所述基板置於第二站中,且其中反應器用以在使第一基板與第二反應物接觸之後將第一基板置於第一站中。視需要,傳送構件包括支架。視需要,傳送構件包括旋轉式構件,例如旋轉基板保持器。反應器可更用以重複以下步驟直至選擇性地在第一表面而非第二表面上形成所需厚度的膜:使第一站中的第一基板在實質上無第二反應物的情況下與第一反應物接觸,以及使第二站中的第一基板在實質上無第一反應物的情況下與第二反應物接觸。視需要,傳送構件用以使基板在二或更多個不同對站之間移動。視需要,傳送構件用以重複地在特定的成對的站之間交換基板。原子層沈積反應器可更包括控制器,所述控制器被設定成經由傳送構件將基板移動至第一站,引導第一站以使第一基板在第一溫度下與第一反應物接觸,經由傳送構件將基板移動至第二站,並引導第二站以使第一基板在第二溫度下與第二反應物接觸。視需要,反應器用以在二或更多個晶圓上並行執行選擇性沈積。舉例而言,二或更多個晶圓可在二或更多不同對站中經歷選擇性。舉例而言,一對晶圓可在所述相同對站中同時經歷選擇性(以使得第一晶圓開始離開第一站,第二晶圓開始離開第二站,且然後將第一晶圓與第二晶圓進行交換,並且重複所述交換直至形成所需厚度的膜)。
在某些實施例中,反應器包括至少2對站,例如至少2對、3對、4對、5對、6對、7對、8對、9對、10對、11對、12對、13對、14對、15對、16對、17對、18對、19對、20對、25對、30對、35對、40對、45對、或50對站,包括所列值中的任何兩個值之間的範圍。視需要,所述站中的某些站或所有站持續彼此氣體隔離。視需要,某些或所有站可例如藉由將基板包封在本文所述的物理障壁內而在將基板置於所述站中之前、同時、或之後被放置成彼此氣體隔離。設想反應器可用以保持與所存在的站一樣多的晶圓,或視需要較所存在的站少的晶圓。在某些實施例中,反應器所處理的晶圓對站的數目之比小於1:1,例如少於0.9:1、0.8:1、0.7:1、0.6:1、0.5:1、0.4:1、0.3:1、0.2:1、0.1:1、0.05:1、或0.01:1,包括所列值中的任何兩個值之間的範圍。視需要,旋轉式基板傳送構件用以使基板至少在一個站處停止(例如,以使得基板在沈積製程期間不連續運動)。在圖5、圖6、圖10、圖11A至圖11C、圖14A至圖14C、圖18、及圖19A中說明根據本文中的某些實施例的站的示例性佈置。
視需要,反應器用以使基板進行線性移動。舉例而言,一系列站之間的線性移動可與本文所述的對基板進行「交換」或「旋轉」相容。
本文所用的「基板傳送構件」或「傳送構件」是指可使基板自第一站(或自與第一站相關聯的傳送機構)移動至第二站(或至與第二站相關聯的傳送機構)的例如旋轉式構件或支架等結構。在某些實施例中,傳送系統包括傳送構件,所述傳送構件包括支架。本文所用的「支架」是指具有多個臂的晶圓傳送構件,每一臂用以藉由支架末端執行器與晶圓接合。支架可相對於多個反應站居中安放。在圖9中說明根據本文中的某些實施例的示例性支架。圖9為說明相對於4個反應站201、202、203、及204居中安放的支架200的示意圖。所述支架具有4個臂205,每一臂設置有接合晶圓的支架末端執行器206。當晶圓需要進行傳送時,晶圓由提升銷或類似結構提起,且將支架200旋轉成使得支架末端執行器206位於晶圓下面,且支架末端執行器與晶圓接合。然後,將支架旋轉90度(或若存在不同數目的站則旋轉不同值;對於均勻分佈的站,所述值可為360度除以站的數目),支架末端執行器206與晶圓脫開,以使晶圓位於表面上(或位於站中的基座上,或位於本文所述的基板傳送機構上),支架末端執行器206亦可包括用於提起基板的提升銷或類似結構。然後可將支架200移動至位於站201、202、203、204之間的中間位置,以使得當站彼此氣體隔離時,支架或其構成部件中的任一者皆不暴露至反應氣體中的任一者。視需要,額外末端執行器207可將晶圓移出反應站的群集,並將晶圓移入晶圓處理腔室、負載鎖定腔室、及/或反應站的另一群集中。注意,對於上述基板傳送系統,反應器的任何表面皆不與兩種不同反應物實質上接觸,且反應器的任何表面皆不在兩個不同溫度或非重疊溫度範圍下與同一反應物實質上接觸。舉例而言,基板自身可與二或更多種不同反應物(且在不同溫度下)實質上接觸,且支架與僅一種反應物實質上接觸(或在某些實施例中,支架不與任何反應物實質上接觸)。
在某些實施例中,基板傳送系統包括多個「基板傳送機構」,其中每一基板傳送機構與僅一個站相關聯,並可例如藉由升高及降下而使基板在特定站與中間空間之間穿梭移動。視需要,每一基板傳送機構(例如,可移動工作台)包括多個提升銷,所述多個提升銷用以自中間空間中的基板傳送機構延伸及提升基板。經提升的基板可易於由例如支架等傳送機構拾起以將基板移動至中間空間中的不同基板傳送機構。如此一來,每一基板傳送機構暴露至僅一個站,且因此實質上暴露至僅一種反應物(或製程步驟)。在某些實施例中,每一基板傳送機構包括可移動工作台。
圖15示出根據本文中的某些實施例的具有彼此氣體隔離的多個反應器腔室(RC)310、311(例如,以使得每一反應腔室包括不同站)的製程模組(PM)300的橫截面。一或多個工作台320、321可被移動(例如,向上或向下)成使得製程模組可包括中間空間(參見圖16中的315)。如圖15所示,每一工作台320、321被定位成(位於「向上」位置)使得製程模組的表面330、331及工作台320、321分別界定包括根據本文中的某些實施例的單一站的反應腔室310、311。視需要,各個站的工作台可在其特定站與單一中間空間之間移動,以使得基板可自中間空間移動至站中的任一者,並可自站中的任一者被置於中間空間中。如此一來,根據本文中的某些實施例的中間空間容許基板在製程模組與晶圓處理腔室之間或在製程模組中的每一工作台之間傳送(參見圖17)。在某些實施例中,反應器被配備有一或多個基板傳送系統,一者用於負載鎖定腔室-製程模組之間的傳送,且另一者為製程模組中的反應腔室-反應腔室傳送。製程模組中的每一反應腔室(每一反應腔室包括不同站)視需要配備有可獨立控制的氣體、壓力、溫度、RF、及其他參數的系統。
圖16為示出包括中間空間315的製程模組(PM)305的橫截面的圖。根據本文中的某些實施例,分別對應於各個站的工作台320、321可在其特定站(例如,反應腔室310、311)與單一中間空間315之間移動,以使得基板可自中間空間315移動至站310、311中的任一者,並可自站310、311中的任一者被置於中間空間315中。如圖16所示,每一工作台320、321被定位成(處於「向下」位置)使得中間空間315設置於工作台320、321與製程模組的表面330、331之間。如此一來,根據本文中的某些實施例的中間空間315容許基板在製程模組與晶圓處理腔室之間或在製程模組中的每一工作台310、311之間傳送。
圖18A示出根據本文中的某些實施例的反應器配置,其中中央晶圓處理腔室與包括三個氣體隔離的反應腔室(例如,以使得每一反應腔室包括不同站)的製程模組結合,且在每一反應腔室中具有製程工作台。在製程模組的中心,包括支架的工作台-工作台傳送機構亦被設置作為基板傳送系統的一部分。每一工作台可升高及降下以使得工作台可在腔室與中間空間之間移動,且支架可使基板在中間空間中的不同工作台之間旋轉。如此一來,基板傳送系統可藉由上/下移動及旋轉移動而傳送基板。圖18B示出其中在三個晶圓上同時進行的三個不同製程(例如在圖1中所示)的序列。在圖18B中,藉由轉動而在三個基板上同時重複所述三個不同製程。所述三個基板可連續地以及視需要在不同溫度下經歷所述三個不同製程(例如,以使得每一基板在任何給定時間經歷製程中的一者),以使根據本文中的某些實施例的「等待」步驟最小化。注意,圖18B所示製程包括很少的反應腔室「等待」步驟,以使得所有反應腔室正在工作,且至少出於此原因而提供相較於圖12所示傳統情形實質上更高效的序列。
不受任何理論的限制,基板處理時間通常長於傳送時間。設想根據本文中的某些實施例,基板處理時間長於傳送時間。在圖19中,模擬不同製程時間的總序列時間。比較傳統工具與本發明之間的總序列時間T。針對可變的製程/傳送時間比n(n=1~7)繪製T的圖。在於3個基板上重複3個不同製程x5次的前提條件下進行模擬。對於傳統工具,T藉由公式T=39n+39給出(參見例如圖12),且對於根據本文中的某些實施例的反應器及製程,T藉由T=15n+18給出,例如在圖18B中。注意,根據本發明實施例中的某些實施例的製程使序列時間T減少了約60%,並提供約2.5倍的更高效的生產率。注意,圖19說明根據本文中的某些實施例,無論製程時間長度如何生產率皆為高,且因此根據本文中的某些實施例的製程及反應器可產生高效率而無論製程時間長度如何。
圖20示出當根據本文中的某些實施例在m件基板上重複m種不同的製程(m=1~5)x5次時的序列時間T。在此模擬中,製程/傳送時間比被固定為2(n=2)。在傳統工具配置的情形中T藉由公式T=12m2+3m給出(參見例如圖12),且對於根據本文中的某些實施例的反應器及製程T藉由T=16m給出,例如在圖18B中。曲線示出優點隨著m取更大的數目而變得越來越大(即,相較於傳統方法,在執行更多不同種類的製程時,傳統配置使得更多反應腔室處於等待狀態,而根據本文中的實施例的配置顯示更大的優點)。
在圖10A至圖10C中說明根據本文中的某些實施例的反應器的配置的額外實例。在某些實施例中,反應器包括圖10A至圖10C中的任一者的配置或該些配置中的二或更多者的組合。
在某些實施例中,傳送系統包括旋轉基板保持器,所述旋轉基板保持器用以自第一站移除第一基板並藉由旋轉將所述第一基板置於第二站中。視需要,原子層沈積反應器包括旋轉式指數反應器(rotary indexing reactor)。旋轉式指數反應器可包括例如平台等旋轉式構件,所述旋轉式構件用以使一或多個基板在多個站之間旋轉。視需要,旋轉式構件可由伺服馬達驅動。
視需要,原子層沈積反應器的站包括噴頭或噴頭狀分配器,所述噴頭或噴頭狀分配器用以使反應物自基板的中心流至基板的邊緣。設想以此種方式分配反應物可最小化或消除可為錯流設計的特徵的邊緣效應。旋轉式反應器使站維持氣體隔離。視需要,旋轉式指數反應器藉由物理壁或其他物理障壁而維持氣體隔離。視需要,旋轉式指數反應器不依賴於氣體軸承或氣體壁來維持氣體隔離。視需要,旋轉式指數反應器包括至少2個站,例如至少2個、3個、4個、6個、7個、8個、9個、10個、11個、12個、13個、14個、15個、16個、17個、18個、19個、或20個,包括所列值中的任何兩個值之間的範圍。視需要,旋轉式指數反應器可具有可變指數及駐留時間。在某些實施例中,指數反應器的指數時間被配置成每特定旋轉度數對應的特定時間,且如此一來,指數時間的持續時間取決於晶圓的數目(例如,在某些實施例中,存在100毫秒/30度的指數時間,因此對於包括6個基板的旋轉式構件,每一基板將為60度,從而產生200毫秒的指數時間)。注意,旋轉式指數反應器的旋轉速度越快,基板在不同站之間傳送期間花費的時間越少。在某些實施例中,指數速度不取決於沈積時間(例如,若沈積時間相對短暫且吹洗時間為限速的)。因此,在某些實施例中,旋轉式指數反應器不依賴於相對於旋轉的壓板中心的徑向位置而提供全劑量的每一反應物至晶圓。在某些實施例中,旋轉式指數反應器由大批量、高產量、多組分膜的可撓性、控制顆粒的能力、及/或對電漿增強型原子層沈積製程的順從性中的至少一者表徵。
在某些實施例中,原子層沈積反應器用以防止在原子層沈積反應器的任何站中同時存在大量第一反應物及第二反應物。舉例而言,每一站可包括如本文所述的物理障壁及/或氣體障壁等障壁以維持隔離。舉例而言,每一站可包括如本文所述的物理障壁而非氣體障壁以維持隔離。視需要,原子層沈積反應器包括一或多個清除器。設想清除器可更增強氣體隔離。舉例而言,包括真空的氣體清除器可移除已逸出站的任何反應物,並防止或最小化逸出的反應物進入其他站中。在某些實施例中,清除器定位於多個站之間。在某些實施例中,清除器鄰近站定位。在某些實施例中,站包括清除器。
在某些實施例中,原子層沈積反應器更包括吹洗位置,所述吹洗位置用以在使第一基板與第一反應物接觸之後但在將第一基板置於第二站中之前接納第一基板。吹洗位置可用以對其中的第一基板執行吹洗。吹洗位置可不與第一站氣體連通,並不與第二站氣體連通。在某些實施例中,第一站用以在使第一基板與第一反應物接觸之後且在將第一基板置於第二站中之前吹洗第一反應物。在某些實施例中,在第一基板位於第一站內部的同時第一站執行吹洗。在某些實施例中,在第一基板位於第一站內部的同時在第一站處執行所述吹洗的起始部分,在所述吹洗期間自第一站移除基板並將其傳送至吹洗站,且在吹洗站處完成所述吹洗(例如,若第一反應物的特徵為具有長的吹洗時間)。
不受任何理論的限制,設想如本文所述維持多個站之間的氣體隔離可最小化或消除不期望的化學氣相沈積反應。因此,在某些實施例中,原子層沈積反應器用以實質上防止在原子層沈積反應器的第一站及第二站的任何表面上發生化學氣相沈積反應。
在某些實施例中,原子層沈積反應器的站相對於彼此固定。視需要,當站保持靜止時,基板可自各個站移除並被置於各個站中。視需要,站可相對於基板移動,但相對於彼此保持於固定位置。在某些實施例中,基板在不同站之間移動,但當基板與站處的反應物接觸時基板不運動。
在某些實施例中,控制器包括處理器,所述處理器提供指令以使傳送系統到達第一站及/或經由傳送系統將基板移動至第二站。處理器可更提供指令以引導第一站使第一基板在第一溫度下與第一反應物接觸。處理器可更提供指令以引導第二站使第一基板在不同於第一溫度的第二溫度下與第二反應物接觸。處理器可更引導每一站以在特定溫度(或溫度範圍)及/或壓力(或壓力範圍)下提供反應物。處理器可更提供指令以使基座將基板加熱至特定溫度或容許基板冷卻至特定溫度。處理器可更提供指令以例如藉由使惰性氣體流至站中及/或藉由對站應用真空而吹洗所述站。處理器可更提供指令至吹洗位置以在基板存在於吹洗位置中時例如藉由使惰性氣體流至吹洗位置及/或藉由對吹洗位置應用真空而提供吹洗。
在某些實施例中,原子層沈積反應器用以自動重複沈積循環直至獲得所需厚度的膜。如此一來,原子層沈積反應器可用以在不存在例如人類操作者等操作者介入的情況下重複一或多個沈積循環。
在某些實施例中,原子層沈積反應器用以同時且在不同的成對的站中處理二或更多個基板。所述成對的站可用以執行相同或不同原子層沈積製程。在某些實施例中,原子層沈積反應器包括與第一站及第二站氣體隔離(或與將基板置於第三站中同時或在其之後可被放置成與第一站及第二站氣體隔離)的第三站,第三站用以保持包括第三暴露表面及第四暴露表面的第二基板。第三站可用以使第二基板在第一溫度下與第一反應物接觸,藉此使僅一個第一反應物的單層吸附於第三暴露表面上。原子層沈積反應器亦可包括與第一站、第二站、及第三站氣體隔離(或與將基板置於第四站中同時或在其之後可被放置成與第一站、第二站、及第三站氣體隔離)的第四站,其中第四站用以使第二基板在第二溫度下且在實質上無第一反應物的情況下與第二反應物接觸,其中第二反應物與第三暴露表面而非第四暴露表面上的所述僅一個第一反應物的單層發生反應,以使得僅一個第二反應物的單層吸附於第三暴露表面上。
在某些實施例中,原子層沈積反應器用以進行單一選擇性原子層沈積,以選擇性地在基板的第一表面上沈積第一膜。在某些實施例中,原子層沈積反應器用以進行雙重選擇性原子層沈積,以選擇性地在基板的第一表面上沈積第一膜,且選擇性地在基板的第二不同表面上沈積第二不同膜。在某些實施例中,原子層沈積反應器更包括與第一站及第二站氣體隔離(或與將基板置於第三站中同時或在其之後可被放置成與第一站及第二站氣體隔離)的第三站,第三站用以容納第一基板,其中第三站用以使第一基板在相同於或不同於第一溫度及/或第二溫度的第三溫度下與不同於第一反應物及第二反應物的第三反應物接觸,藉此使僅一個第三反應物的單層吸附於基板的第二暴露表面上。原子層沈積反應器可更包括與第一站、第二站、及第三站氣體隔離(或與將基板置於第四站中同時或在其之後可被放置成與第一站、第二站、及第三站氣體隔離)並用以容納第一基板的第四站,其中第四站用以使第一基板在相同於或不同於第一溫度、第二溫度及/或第三溫度的第四溫度下且在實質上無第一反應物、第二反應物、及第三反應物的情況下與不同於第一反應物、第二反應物、及第三反應物的第四反應物接觸,其中第四反應物與所述僅一個第三反應物的單層而非第一暴露表面發生反應,以使得僅一個第四反應物的單層吸附於第二暴露表面上。 額外實施例
在半導體及液晶顯示(LCD)產業中,常常執行一種在不將基板暴露至空氣的情況下在基板上進行不同製程的方法。此外,有時交替地在基板上重複其中製程條件(例如,氣體流、壓力、及/或溫度)不同的多個製程。舉例而言,根據某些實施例,執行層壓處理與例如沈積、蝕刻、及預/後表面處理等製程的組合。圖11示出根據本文中的某些實施例在一個基板上輪流重複三個不同製程的實例。
原子層沈積為一種可用於甚至以選擇性方式處理半導體元件的新的高要求材料以及材料的組合的方法。選擇性沈積例如因縮小的尺寸(三維結構)而在半導體產業中備受關注,所述縮小的尺寸的製造需要相對先進的圖案化技術且其亦可容許主動材料層佔用越來越小的空間。根據本文中的某些實施例的選擇性沈積可包括例如金屬上沈積金屬、金屬上沈積介電質、介電質上沈積金屬、及介電質上沈積介電質等單一選擇性沈積,甚至包括雙重選擇性沈積。此外,對於單一選擇性沈積選項,自下而上填充在沈積內部通孔或溝槽時可提供大量優點。
用於大批膜處理的先前技術沈積工具的當前狀態不能必定用於選擇性沈積。此外,在本文中設想原子層沈積的問題為可能的化學氣相沈積生長。儘管在原子層沈積中,個別前驅物可例如以其之間的吹洗步驟而被分離,但在反應腔室中同時存在兩種前驅物例如在傳統原子層沈積方法中可為可能的。設想兩種前驅物同時存在可易於破壞選擇性,並可能導致將不進行選擇性沈積(例如,優先在某些表面上)的不期望的化學氣相沈積生長。兩種不同材料的沈積(例如雙重選擇性生長)可使該些問題惡化,其中前驅物數目可介於4個至6個前驅物的範圍內,包括沈積層的前驅物及可能的鈍化劑。此外,可能的是,該些前驅物的最佳沈積/反應溫度可不同,以使得傳統沈積方法與在僅一個反應腔室中的沈積不相容。
在某些實施例中,在選擇性沈積中製程流程的最佳化針對每一材料沈積或每一前驅物使用分離的站。根據某些實施例,具有分離的站的裝置容許多個站之間的最快的可能的晶圓傳送。此配置(包括氣體隔離的站)亦可應用於傳統沈積,例如在多種前驅物之間的不期望的反應可導致顆粒產生增強的情形中。
圖12A及圖12B示出傳統工具配置的實例,其中中央晶圓處理腔室(WHC)與負載鎖定腔室(LLC)及反應器腔室(RC)進行組合以用於在基板上實行製程,所述製程可為在每一反應腔室中的相同類型的製程。設想使用該些傳統工具來執行多製程式沈積(例如,在圖11中概述的製程),一次使用僅一個反應腔室(或反應腔室單元)而其他反應腔室保持處於等待狀態(參見圖13,圖13說明一種使用例如圖12A及圖12B所示傳統工具等傳統工具在基板上重複例如圖11所示3個不同製程的製程流程)。
圖14(改編自US 6469283 B1:用於減少基板支撐件內的熱梯度的方法及裝置)顯示另一傳統工具配置。在此配置中,多個製程工作台位於製程模組(PM)中。即使使用此配置在不同工作台上同時進行不同製程,所指出的配置在製程模組中具有4個製程工作台,但每一製程區域不實質上分離。因此,設想圖14所示配置不能防止每一製程空間之間的例如氣流及壓力等製程條件的干擾,尤其在製程在真空下運行時。如此一來,設想所指出的傳統工具及方法不用以依據不同條件執行製程模組中的良好分離的製程。此外,不同製程氣體在置於製程工作台之下的共用真空排氣埠處交匯。此結構容許來自不同製程的不利的氣體混合,此可因副產物形成而潛在地導致顆粒問題及安全問題。
在某些實施例中,提供包括一或多個製程模組(PM)的基板處理設備,在所述一或多個製程模組中具有彼此氣體隔離的多個站。所述站可包括反應空間。基板處理設備可包括至少兩個基板傳送系統,一者用於使基板在負載鎖定腔室(LLC)與製程模組之間移動,且另一者用於使基板在製程模組中的多個製程工作台之間移動。製程模組中的製程工作台可移動,以將站配置成氣體隔離以用於處理,並將基板置於一個中間空間中以供在多個站之間傳送。在某些實施例中,製程模組中氣體隔離的站(例如,實質上分離的反應腔室)視需要具有對例如氣體、壓力、溫度、RF、及其他參數等製程參數的分離的控制能力。在某些實施例中,製程模組被配置用於至少在製程步驟期間多個站之間的氣體隔離,此有效地發揮作用以防止多個站之間的干擾(及/或在其中具有多個相同功能的站)。視需要,製程模組被配備有以下能力:藉由視需要獨立地控制例如氣體、溫度、壓力、RF、及其他參數等製程條件而在彼此氣體隔離的站中(或在具有相同功能的多個站中)同時運行至少兩個不同製程。 實例1:選擇性W沈積
用於W沈積的前驅物(WF6 及二矽烷)彼此具有高度反應性。對於在存在SiO2 的情況下在基板的暴露的Cu或W表面上的選擇性沈積,使用以下製程。例如支架等基板傳送系統將基板置於第一站處。藉由關閉門而將第一站放置成氣體隔離,包括與第二站氣體隔離。然後在第一站處提供WF6 。在使基板在適合用於沈積WF6 的第一溫度下與第一站處的WF6 接觸之後,在基板仍位於第一站內部的情況下吹洗第一站。基板傳送系統然後將基板置於第二站中。藉由關閉門而將第二站放置成與第一站氣體隔離。使基板在適合用於沈積二矽烷且不同於第一溫度的第二溫度下與第二站處的二矽烷接觸,且然後在基板仍位於第二站內部的情況下吹洗第二站。基板傳送系統然後自第二站移除基板。重複在第一站與第二站之間交換基板。視需要,提供與第一站及第二站氣體隔離的第三站以用於自Cu移除鈍化層(因CMP步驟而在Cu上產生的有機層)。基板傳送系統將基板置於第三站中,且執行自Cu移除鈍化層。鈍化層是在通常為250℃的溫度下使用如乙酸等氣相劑或使用電漿而被移除。傳送系統可接著自第三站移除基板。視需要,提供與第一站及第二站以及第三站氣體隔離的第四站以用於SiO2 的鈍化。傳送系統將基板置於第四站中以用於不利於W沈積的SiO2 表面鈍化層(Si-OH鈍化層)的矽烷化化合物移除,並然後自第四站移除基板。注意,自Cu移除熱鈍化層涉及不同於在低於120℃下實行的W沈積的溫度。 實例2:HfO2 上的TiN沈積
設想,在用於電晶體閘極應用的HfO2 上自TiCl4 及NH3 沈積超薄連續TiN將得益於根據本文中的某些實施例保持處於不同溫度下的分離的反應腔室。使TiCl4 在與第二站氣體隔離的第一站中在低於300℃的溫度下發生反應,以避免Hf-OH或Hf-NH2 基的任何直接氯化。在高於350℃的溫度下且在與第一站氣體隔離時將NH3 引入第二站中,以容許高效的Cl移除並防止產生NH4 Cl。 實例3:AlN的熱ALD沈積
傳統上,使用TMA及NH3 氣體在單一晶圓工具中在約375℃下執行AlN的熱ALD沈積。此沈積溫度高於TMA(及如TMA的其他金屬有機前驅物)的分解溫度,並可導致所沈積AlN膜中混入大量的C及H。然而,需要高溫來進行反應的第二半,涉及NH3 起作用。此反應的第二半甚至在375℃下仍相對慢並在較350℃低得多的溫度下完全不起作用。
根據本文中的某些實施例,在與第二站氣體隔離時將基板置於第一站中。在150℃至250℃的溫度下提供TMA脈衝。不受任何理論的限制,設想此溫度範圍避免TMA前驅物的分解。然後在第一站中吹洗第一站(以排空殘餘TMA及/或副產物)。打開界定第一站的可移動障壁,且由支架將基板自第一站移動至第二站。藉由界定第二站的可移動障壁將內部存在基板的第二站放置成與第一站氣體隔離。在第二站中,使基板在400℃下與NH3 的脈衝接觸。然後在基板位於第二站內部的情況下吹洗第二站以移除任何殘餘NH3 及/或副產物。可重複所述循環直至形成3奈米至4奈米的AlN膜。更設想污染物的減少(例如,C及/或H的減少)可提高例如上述者等薄AlN膜的蝕刻速度,並因此可減少所需要的總膜厚度,並且延長AlN的可能使用以圖案化應用於相對更薄的膜。 實例4:2維材料沈積
在介電表面上沈積例如WS2 或MoS2 等2維材料作為超薄層。所述層完全覆蓋表面。所述沈積是藉由以下方式來實現:使WF6 或MoF5 在低溫下在與第二站氣體隔離的第一站中與介電表面發生反應以避免所述介電質的任何蝕刻。然後使基板經受吹洗以移除殘餘WF6 或MoF5 ,並將基板置於第二站中。在與第一站氣體隔離時且在實質上無WF6 及MoF5 的情況下,在更高溫度下在第二站中的基板上實行H2 S處理。不受任何理論的限制,亦設想在多個站中具有不同溫度亦容許在所需的更高的H2 S處理溫度下不使前驅物分解的情況下使用Mo及W β-二酮。
儘管已在某些實施例及實例的上下文中提供了本發明,但熟習此項技術者將理解本發明延伸超出具體所述的實施例至其他替代實施例及/或所述實施例的使用以及對所述實施例顯而易見的潤飾及其等效形式。此外,儘管已詳細顯示及闡述了本發明的實施例的若干變型,但藉由閱讀本發明,處於本發明的範圍內的其他潤飾將易於對熟習此項技術者顯而易見。亦設想可進行實施例的具體特徵與態樣的各種組合或子組合,且所述各種組合或子組合仍落於本發明的範圍內。應理解,所揭露的實施例的各種特徵及態樣可彼此組合或相互替代,以形成本發明的實施例的變化的模式。因此,旨在使本發明的範圍不應受限於本文所述的特定實施例。
本文所提供的標題(若存在)僅為方便起見且未必影響本文所揭露的元件及方法的範圍或意義。
105、115、125、135、145、155、165、175、185、110、120、130、140、150、160、170、180、190‧‧‧步驟
200‧‧‧支架
201、202、203、204‧‧‧站
205‧‧‧臂
206‧‧‧支架末端執行器
207‧‧‧額外末端執行器
210‧‧‧末端執行器
300‧‧‧製程模組
305‧‧‧製程模組
310‧‧‧反應腔室
311‧‧‧反應腔室
315‧‧‧中間空間
320‧‧‧工作台
321‧‧‧工作台
330‧‧‧表面
331‧‧‧表面
LLC‧‧‧負載鎖定腔室
LD‧‧‧裝載基板
n‧‧‧製程/傳送時間比
P1‧‧‧製程腔室
P1, 1‧‧‧在工作台-1上的第一基板上執行製程1
P2‧‧‧製程腔室
P2, 1‧‧‧在工作台-2上的第一基板上執行製程2
P3‧‧‧製程腔室
P3, 1‧‧‧在工作台-3上的第一基板上執行製程3
P4‧‧‧製程腔室
RC1‧‧‧第一站
RC2‧‧‧第二站
RC3‧‧‧第三站
RC4‧‧‧第四站
T‧‧‧序列時間
UL‧‧‧卸載基板
WHC‧‧‧晶圓處理腔室
圖1A為說明根據本文中的某些實施例的原子層沈積方法的流程圖。圖1B為說明根據本文中的某些實施例的選擇性原子層沈積方法的流程圖。 圖2A為示意性地說明先前技術反應器配置的圖,且圖2B為示意性地說明先前技術製程(其可在圖2A所示反應器中實作)的圖。 圖3A為示意性地說明根據本文中的某些實施例的反應器及使基板在多個站之間移動的方法的圖。圖3B為示意性地說明製程步驟(其可在圖3A所示反應器中以及按照圖3A所示方法來實作)的圖。 圖4A為示意性地說明根據本文中的某些實施例的反應器及可視需要進行重複的使基板在多個站之間移動的方法的圖。圖4B為示意性地說明先前技術製程的圖。圖4C為示意性地說明製程步驟(其可在圖4A所示反應器中以及按照圖4A所示方法來實作)的圖。 圖5為示意性地說明根據本文中的某些實施例的反應器及可視需要進行重複的使基板在多個站之間移動的方法的圖。 圖6為示意性地說明根據本文中的某些實施例的反應器及可視需要進行重複的使基板在多個站之間旋轉的方法的圖。 圖7A為示意性地說明根據本文中的某些實施例進行交換的圖。圖7B為示意性地說明根據本文中的某些實施例進行旋轉的圖。 圖8為說明根據本文中某些實施例的銻(Sb)/鎢(W)對的各種製程流程的示意圖。 圖9為說明根據本文中的某些實施例的支架的示意圖。 圖10A為根據本文中的某些實施例的反應器的俯視圖。每一反應腔室包括三個製程腔室(P1、P2、P3,每一製程腔室包括與其他站氣體隔離的不同站),其中支架將基板在不同製程腔室之間移動。安置於晶圓處理腔室(wafer handling chamber,WHC)中的末端執行器210可自支架(與製程腔室連通)及/或負載鎖定腔室(load lock chamber,LLC)添加及移除基板。 圖10B為根據本文中的某些實施例的反應器的俯視圖。每一反應腔室包括兩個第一種製程腔室(P1)以及兩個第二種製程腔室(P2)。如此一來,多個晶圓可在每一反應腔室中的P1與P2之間進行交換。反應器亦包括晶圓處理腔室(WHC),所述晶圓處理腔室包括末端執行器210,末端執行器210可自支架(與製程腔室連通)添加或移除基板及/或自負載鎖定腔室(LLC)添加或移除基板。 圖10C為根據本文中的某些實施例的反應器的俯視圖。每一反應腔室包括四個製程腔室P1、P2、P3、P4。如此一來,晶圓可在所述四個不同製程腔室之間旋轉。反應器亦包括晶圓處理腔室(WHC),所述晶圓處理腔室包括末端執行器210,末端執行器210可自支架(與製程腔室連通)添加或移除基板及/或自負載鎖定腔室(LLC)添加或移除基板。 圖11為示出根據本文中的某些實施例,重複將來自多個不同製程的不同膜層壓在基板上的實例的圖。所述不同製程可包括組合,例如沈積、蝕刻、及/或預/後表面處理。 圖12A及圖12B為傳統工具配置的實例的圖,所述傳統工具配置具有當在基板上執行製程(通常,為相同種類的製程)時與負載鎖定腔室(LLC)反應器腔室(reaction chamber,RC)組合的中央晶圓處理腔室(WHC)。 圖13A及圖13B以及圖13C為傳統工具配置中的不同製程層壓物的序列(在基板上重複例如圖11所示3個不同製程)的圖。圖13D說明圖13A至圖13C的對應製程流程。注意,若上述不同製程層壓物藉由該些傳統工具而沈積於基板上,則僅一個反應腔室(RC)或反應腔室單元運作以進行處理而其他反應腔室保持於等待狀態,因此,我們無法進行高效的製程流程。在圖13D中使用的縮寫包括以下者:LD:裝載基板;UL:卸載基板;P1, 1:在工作台-1上的第一基板上執行製程1;P2, 1:在工作台-2上的第一基板上執行製程2;P3, 1:在工作台-3上的第一基板上執行製程3。深灰色意指反應腔室處於等待狀態(無製程,無傳送)。由於在其他反應腔室正運作用於製程時2個反應腔室處於等待狀態,因此處理效率極低。 圖14為說明可見於US 6469283 B1中的傳統裝置的圖。注意,此圖中的參考編號對應於US 6469283 B1的參考編號。 圖15為說明根據本文中的某些實施例的製程模組(process module,PM)的橫截面的圖,所述製程模組具有實質上分離的多個反應器腔室(RC,每個反應腔室包括站)。舉例而言,圖15示出處於「向上」位置的工作台,其將所述站放置成彼此氣體隔離。 圖16為說明根據本文中的某些實施例,在基板傳送中的製程模組(PM)的橫截面的圖。製程模組可藉由工作台的移動而得到一個中間空間。舉例而言,圖16示出處於「向下」位置的工作台,以提供可自多個站被共同地進入的中間空間。 圖17為說明根據本文中的某些實施例,在製程模組(PM)中的旋轉基板傳送的圖。中間空間能夠實現在製程模組與晶圓處理腔室之間或製程模組中的每一工作台之間的基板傳送。 圖18A為說明根據本文中的某些實施例,其中中央晶圓處理腔室與包括彼此氣體隔離的三個反應腔室(每一反應腔室包括站)的製程模組加以組合的工具配置實例的圖。在每一反應腔室中具有製程工作台。在製程模組的中心,不同工作台之間的基板傳送構件亦被提供作為基板傳送系統的一部分。基板傳送系統藉由上/下移動以及旋轉移動來傳送基板。圖18B為根據本文中的某些實施例,一種可例如與圖18A所示配置結合使用的製程流程。 圖19為示出根據本文中的某些實施例,當同時在三個晶圓上重複三個不同製程(例如在圖11中)時的序列的曲線圖。據觀察,幾乎不存在反應腔室等待步驟,且相較於在圖12中所示的傳統工具情形高效得多的序列得以執行。比較傳統工具與根據本文中的某些實施例的反應器之間的總序列時間T。所述T針對可變的製程/傳送時間比n(n=1~7)來繪製。在於3個基板上重複3個不同製程x5次的前提下進行模擬。 圖20為示出當我們在m件基板上重複m種不同製程(m=1~5)x5次時的序列時間T的曲線圖。在此模擬中,製程/傳送時間比被固定為2(n=2)。在傳統工具配置的情形中T藉由公式T=12m2+3m給出,且對於本發明的情形T藉由T=16m給出。曲線圖示出優點隨著m取更大的數目而變得越來越大。
105、115、125、135、145、155、165、175、185‧‧‧步驟

Claims (29)

  1. 一種薄膜沈積的方法,所述薄膜沈積的方法包括: (a)將第一基板置於第一站中,所述第一站能夠與第二站氣體隔離; (b)在實質上無第二反應物的情況下且在所述第一站與第二站氣體隔離的同時,在第一溫度下使所述第一站中的所述第一基板與第一反應物接觸,其中所述與所述第一反應物接觸在所述第一基板上形成一層所述第一反應物; (c)在使所述第一站中的所述第一基板與所述第一反應物接觸之後,將所述第一基板置於第二站中; (d)在實質上無所述第一反應物的情況下且在所述第二站與所述第一站氣體隔離的同時,在第二溫度下使所述第二站中的所述第一基板與第二反應物接觸,其中所述第二反應物不同於所述第一反應物且與所述第一基板上的所述一層所述第一反應物發生反應, 其中所述第二溫度不同於所述第一溫度;以及 重複步驟(a)至步驟(d)直至在所述第一基板上沈積所需厚度的膜。
  2. 如申請專利範圍第1項所述的薄膜沈積的方法,其中在步驟(d)期間所述第一站維持處於所述第一溫度下,而所述第二站維持處於所述第二溫度下。
  3. 如申請專利範圍第1項所述的薄膜沈積的方法,其中除所述第一反應物外無反應物被提供至所述第一站,且其中除所述第二反應物外無反應物被提供至所述第二站。
  4. 如申請專利範圍第1項所述的薄膜沈積的方法,其中所述第一站的每一表面在整個所述薄膜沈積的方法中實質上無所述第二反應物,且其中所述第二站的每一表面在整個所述薄膜沈積的方法中實質上無所述第一反應物。
  5. 如申請專利範圍第1項所述的薄膜沈積的方法,其中所述第一反應物在所述第一溫度下較在所述第二溫度下在所述第一基板上更高效地形成所述一層所述第一反應物。
  6. 如申請專利範圍第1項所述的薄膜沈積的方法,其中所述與所述第一反應物接觸在所述第一基板上形成僅一個所述第一反應物的單層。
  7. 如申請專利範圍第1項所述的薄膜沈積的方法,其中在所述第二溫度下使所述第二站中的所述第一基板與第二反應物接觸包括:藉由被加熱至所述第二溫度的噴頭而引入所述第二反應物。
  8. 如申請專利範圍第7項所述的薄膜沈積的方法,其中在藉由被加熱至所述第二溫度的所述噴頭而引入所述第二反應物的同時,所述第一站維持處於所述第一溫度下且所述第二站維持處於所述第二溫度下。
  9. 如申請專利範圍第7項所述的薄膜沈積的方法,其中在藉由被加熱至所述第二溫度的所述噴頭而引入所述第二反應物的同時,所述第一站維持處於所述第一溫度下且所述第二站維持處於所述第一溫度下。
  10. 如申請專利範圍第1項所述的薄膜沈積的方法,其中所述第二溫度大於所述第一溫度。
  11. 如申請專利範圍第1項所述的薄膜沈積的方法,其中將所述第一基板置於所述第二站中的基座上,所述基座具有較所述第一基板低的質量。
  12. 如申請專利範圍第1項所述的薄膜沈積的方法,其中將所述第一基板置於所述第二站中的基座上,在將所述第一基板置於所述基座上之後將所述基座加熱或冷卻至所述第二溫度。
  13. 如申請專利範圍第1項所述的薄膜沈積的方法,其中至少一種固體材料提供所述第一站與所述第二站之間的氣體隔離。
  14. 如申請專利範圍第1項所述的薄膜沈積的方法,其中在與將所述第二反應物提供至所述第二站中的時間不同的時間處將所述第一反應物提供至所述第一站中。
  15. 如申請專利範圍第1項所述的薄膜沈積的方法, 其中在將所述第一基板置於所述第一站中之後將所述第一反應物提供至所述第一站中,且 其中在將所述第一基板置於所述第二站中之後將所述第二反應物提供至所述第二站中。
  16. 如申請專利範圍第1項所述的薄膜沈積的方法,其中支架將所述第一基板置於所述第一站中,並將所述第一基板置於所述第二站中。
  17. 如申請專利範圍第16項所述的薄膜沈積的方法,其中在所述支架將所述第一基板置於每一站中之後,自所述站縮回所述支架以使得所述支架不接觸任何反應物。
  18. 如申請專利範圍第1項所述的薄膜沈積的方法,其中所述沈積包括選擇性原子層沈積(ALD), 其中所述基板包括第一表面及不同於所述第一表面的第二表面, 其中所述第一反應物相對於所述第二表面選擇性地吸附於所述第一表面上, 其中所述第二反應物與所述第二表面不發生反應,且 其中所需厚度的所述膜相對於所述第二表面選擇性地沈積於所述第一表面上。
  19. 如申請專利範圍第1項所述的薄膜沈積的方法,更包括: 當所述第一基板不存在於所述第一站中時,將第二基板置於所述第一站中; 在實質上無所述第二反應物的情況下在所述第一溫度下使所述第一站中的所述第二基板與所述第一反應物接觸,其中所述第一反應物與所述第二基板發生反應以使得僅一個所述第一反應物的單層吸附於所述第二基板上; 在使所述第一站中的所述第二基板與所述第一反應物接觸後且在使所述第二站中的所述第一基板與所述第二反應物接觸後,將所述第二基板置於實質上無所述第一反應物的第二站中且將所述第一基板置於實質上無所述第二反應物的所述第一站中,藉此將所述第一基板與所述第二基板進行交換。
  20. 一種沈積反應器,包括: 第一站,用以容納第一基板; 第二站,用以容納所述第一基板, 其中所述第一站用以在第一溫度下且在與所述第二站氣體隔離時使所述第一站中的所述第一基板與第一反應物接觸,以在所述第一基板上沈積一層所述第一反應物, 其中所述第二站用以在第二溫度下且實質上無所述第一反應物的情況下使所述第二站中的所述第一基板與第二反應物接觸; 傳送系統;以及 控制器,被設定成控制以下步驟的循環: 經由所述傳送系統將所述基板移動至所述第一站,引導所述第一站以使所述第一基板在所述第一溫度下與所述第一反應物接觸,經由所述傳送系統將所述基板移動至所述第二站,以及引導所述第二站以使所述第一基板在所述第二溫度下與所述第二反應物接觸,且 更被設定成重複所述循環直至選擇性地在所述第一表面上而非所述第二表面上形成所需厚度的膜, 其中所述沈積反應器的任一表面皆不與所述第一反應物及所述第二反應物中的多於一者實質上接觸。
  21. 如申請專利範圍第20項所述的沈積反應器,其中所述沈積反應器用以使所述第一站維持處於所述第一溫度下,而使所述第二站維持處於所述第二溫度下。
  22. 如申請專利範圍第20項所述的沈積反應器,其中所述第二站包括經加熱的噴頭,且其中所述沈積反應器用以使所述第一站維持處於所述第一溫度下,同時藉由所述經加熱的噴頭將所述第二反應物遞送至處於所述第二溫度下的所述第二站。
  23. 如申請專利範圍第20項所述的沈積反應器,更包含至少一種固體材料,所述至少一種固體材料使所述第二站與所述第一站保持氣體隔離。
  24. 如申請專利範圍第20項所述的沈積反應器,更包括氣體軸承,所述氣體軸承使所述第二站與所述第一站保持氣體隔離。
  25. 如申請專利範圍第20項所述的沈積反應器,更包括位於所述第一站與所述第二站外部的中間空間,且其中所述傳送系統包括用於將基板移動穿過所述中間空間的傳送構件,且其中所述中間空間用以容置所述傳送構件,其中所述傳送構件更用以在將所述基板置於所述第一站中之後但在將所述基板置於所述第二站中之前被移動至所述中間空間。
  26. 如申請專利範圍第25項所述的沈積反應器,其中所述傳送構件包括旋轉基板保持器,所述旋轉基板保持器用以自所述第一站移除所述第一基板並藉由旋轉將所述第一基板置於所述第二站中。
  27. 如申請專利範圍第20項所述的沈積反應器,其中所述傳送構件包括支架。
  28. 如申請專利範圍第20項所述的沈積反應器,其中每一站用以容納可移動工作台,所述可移動工作台用以將所述基板自所述站移動至所述中間空間並自所述中間空間移動至所述站, 其中每一所述可移動工作台用以在其與僅一個站之間來回移動所述基板,且 其中所述傳送構件用以在所述中間空間中而非在所述站自身中將基板置於所述可移動工作台上並自所述可移動工作台移除基板。
  29. 如申請專利範圍第20項所述的沈積反應器,更包括多個可移動物理障壁,所述物理障壁界定所述第一站及所述第二站的至少一部分, 其中所述物理障壁能夠移動以將站中的基板暴露至中間空間,且 其中所述傳送系統包括支架,所述支架用以在所述物理障壁已被移動以暴露出所述基板之後移動所述基板。
TW105122151A 2015-07-28 2016-07-14 溫度指數薄膜沈積的方法與裝置 TWI725976B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/811,435 2015-07-28
US14/811,435 US20170029948A1 (en) 2015-07-28 2015-07-28 Methods and apparatuses for temperature-indexed thin film deposition

Publications (2)

Publication Number Publication Date
TW201706444A true TW201706444A (zh) 2017-02-16
TWI725976B TWI725976B (zh) 2021-05-01

Family

ID=57882235

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105122151A TWI725976B (zh) 2015-07-28 2016-07-14 溫度指數薄膜沈積的方法與裝置

Country Status (4)

Country Link
US (2) US20170029948A1 (zh)
KR (1) KR102642105B1 (zh)
TW (1) TWI725976B (zh)
WO (1) WO2017019249A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI788463B (zh) * 2017-11-20 2023-01-01 日商東京威力科創股份有限公司 用於形成完全自對準介層窗的選擇性膜沉積方法

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US10431451B2 (en) 2014-08-22 2019-10-01 Lam Research Corporation Methods and apparatuses for increasing reactor processing batch size
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10347547B2 (en) * 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10128116B2 (en) 2016-10-17 2018-11-13 Lam Research Corporation Integrated direct dielectric and metal deposition
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
US10242866B2 (en) 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10043656B1 (en) 2017-03-10 2018-08-07 Lam Research Corporation Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
WO2018218078A1 (en) * 2017-05-26 2018-11-29 Applied Materials, Inc. Selective deposition of metal silicides
US10519544B2 (en) 2017-08-24 2019-12-31 United Technologies Corporation Method for enabling optimized material deposition
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
TWI729319B (zh) 2017-10-27 2021-06-01 美商應用材料股份有限公司 具有空間分離的單個晶圓處理環境
CN111295734A (zh) * 2017-10-31 2020-06-16 朗姆研究公司 增加反应器处理批量大小的方法和设备
US10460930B2 (en) 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
JP7014055B2 (ja) 2018-06-15 2022-02-01 東京エレクトロン株式会社 真空処理装置、真空処理システム、及び真空処理方法
KR102554014B1 (ko) * 2018-06-15 2023-07-11 삼성전자주식회사 저온 식각 방법 및 플라즈마 식각 장치
US20200283896A1 (en) * 2019-03-08 2020-09-10 Applied Materials, Inc. Methods for low temperature silicide formation
KR20210063493A (ko) * 2019-11-21 2021-06-02 삼성전자주식회사 반도체 장치의 제조방법 및 반도체 장치의 제조 설비
JP2021180306A (ja) 2020-05-12 2021-11-18 エーエスエム・アイピー・ホールディング・ベー・フェー 高スループットマルチチャンバ基材処理システム
CN115769356A (zh) * 2020-06-17 2023-03-07 瑞士艾发科技 真空处理设备

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100267617B1 (ko) * 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
US5705044A (en) * 1995-08-07 1998-01-06 Akashic Memories Corporation Modular sputtering machine having batch processing and serial thin film sputtering
US6086680A (en) * 1995-08-22 2000-07-11 Asm America, Inc. Low-mass susceptor
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
US6231716B1 (en) * 1998-11-09 2001-05-15 Applied Materials, Inc. Processing chamber with rapid wafer exchange
US20020195056A1 (en) * 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
AU2002232844A1 (en) * 2000-12-06 2002-06-18 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US6902620B1 (en) * 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
KR100515052B1 (ko) * 2002-07-18 2005-09-14 삼성전자주식회사 반도체 기판상에 소정의 물질을 증착하는 반도체 제조 장비
US7735451B2 (en) * 2002-11-15 2010-06-15 Ebara Corporation Substrate processing method and apparatus
JP4879509B2 (ja) * 2004-05-21 2012-02-22 株式会社アルバック 真空成膜装置
CN100362620C (zh) * 2005-08-11 2008-01-16 中微半导体设备(上海)有限公司 半导体工艺件装卸装置及其装载和卸载方法
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US8041450B2 (en) * 2007-10-04 2011-10-18 Asm Japan K.K. Position sensor system for substrate transfer robot
JP6024372B2 (ja) * 2012-10-12 2016-11-16 Tdk株式会社 基板処理装置および基板処理チャンバモジュール
KR102493327B1 (ko) * 2014-11-21 2023-01-27 어플라이드 머티어리얼스, 인코포레이티드 알코올 보조 ald 막 증착

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI788463B (zh) * 2017-11-20 2023-01-01 日商東京威力科創股份有限公司 用於形成完全自對準介層窗的選擇性膜沉積方法

Also Published As

Publication number Publication date
WO2017019249A1 (en) 2017-02-02
KR102642105B1 (ko) 2024-02-29
US20170029948A1 (en) 2017-02-02
TWI725976B (zh) 2021-05-01
KR20180036740A (ko) 2018-04-09
US20200071828A1 (en) 2020-03-05

Similar Documents

Publication Publication Date Title
TWI725976B (zh) 溫度指數薄膜沈積的方法與裝置
US20220341040A1 (en) Apparatuses for thin film deposition
US11401602B2 (en) Catalyst enhanced seamless ruthenium gap fill
JP6591501B2 (ja) 回転式基板処理システム
TWI630281B (zh) 沉積金屬合金膜之方法
US8808455B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP4480516B2 (ja) バリア膜の形成方法
KR101614026B1 (ko) 원자층 증착 장치 및 로딩 방법
KR102451599B1 (ko) 루테늄의 선택적 원자 층 증착
US20220074050A1 (en) Halogen-Containing Silane-Based Metal Silicide As Nucleation Layer For Tungsten ALD
JP2015512144A (ja) マルチチャンバ基板処理システム
KR20050117574A (ko) 기판 처리 장치 및 반도체 디바이스의 제조 방법
US10204790B2 (en) Methods for thin film deposition
TWI755607B (zh) 金屬薄膜之催化沉積
TWI700391B (zh) 薄膜沈積的方法及裝置
US11473198B2 (en) Homoleptic lanthanide deposition precursors
US12000044B2 (en) Catalyzed deposition of metal films
TW201615879A (zh) 高溫二氧化矽原子層沉積技術