TW201642986A - 接合裝置、接合系統、接合方法及電腦記憶媒體 - Google Patents

接合裝置、接合系統、接合方法及電腦記憶媒體 Download PDF

Info

Publication number
TW201642986A
TW201642986A TW105100551A TW105100551A TW201642986A TW 201642986 A TW201642986 A TW 201642986A TW 105100551 A TW105100551 A TW 105100551A TW 105100551 A TW105100551 A TW 105100551A TW 201642986 A TW201642986 A TW 201642986A
Authority
TW
Taiwan
Prior art keywords
chamber
wafer
substrate
bonding
processing chamber
Prior art date
Application number
TW105100551A
Other languages
English (en)
Inventor
小篠勲
蘇尼爾 威克拉瑪納雅卡
Original Assignee
東京威力科創股份有限公司
新加坡科技研究局
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司, 新加坡科技研究局 filed Critical 東京威力科創股份有限公司
Publication of TW201642986A publication Critical patent/TW201642986A/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K20/00Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating
    • B23K20/02Non-electric welding by applying impact or other pressure, with or without the application of heat, e.g. cladding or plating by means of a press ; Diffusion bonding
    • B23K20/021Isostatic pressure welding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2103/00Materials to be soldered, welded or cut
    • B23K2103/50Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26
    • B23K2103/56Inorganic material, e.g. metals, not provided for in B23K2103/02 – B23K2103/26 semiconducting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps

Abstract

將配置於基板上的複數個晶片適當地與該基板接合。 接合裝置(30),係具有:處理腔室(100),被予以分割成上部腔室(101)與下部腔室(102);載置台(150),設置於處理腔室(100)之內部,載置晶圓(W);加熱機構(151),設置於載置台(150),加熱晶圓(W);及氣體供給機構(170),對處理腔室(100)之內部供給加壓氣體。在接合裝置(30)中,係藉由加熱機構(151),一邊將晶圓(W)與複數個晶片(C)加熱至預定溫度,一邊藉由從氣體供給機構(170)所供給的加壓氣體來進行推壓,接合該晶圓(W)與複數個晶片(C)。

Description

接合裝置、接合系統、接合方法及電腦記憶媒體
本發明,係關於將配置於基板上之複數個晶片與該基板接合之接合裝置、具備有該接合裝置之接合系統、使用該接合裝置之接合方法及電腦記憶媒體。
近年來,在半導體元件中,半導體晶片(以下,稱為「晶片」。)之高積體化有所進展。在水平面內配置高積體化的複數個晶片,並以配線連接該些晶片而製品化時,擔心配線長度增大,而造成配線之電阻變大,又配線延遲變大。
因此,提出如下述者:使用將晶片層積成3維的3維積體技術,製造半導體元件。在該3維積體技術中,係所層積之晶片的凸塊彼此接合,該層積後的晶片電性連接。
作為3維積體方法,係例如使用如下述之方法:在半導體晶圓(以下,稱為「晶圓」。)上接合複數個晶片而進行層積。在該方法中,係使用如專利文獻1所 示的接合裝置,一邊加熱晶圓與晶片,一邊進行推壓而接合。亦即,在晶圓上配置複數個晶片,並使板狀體接觸於該複數個晶片上後,一邊加熱晶圓與晶片,一邊推壓晶圓與板狀體,以接合晶圓與複數個晶片。
[先前技術文獻] [專利文獻]
[專利文獻1]日本特開2004-122216號公報
然而,在將複數個晶片配置於晶圓上後之際,存在有複數個晶片之高度不一致的情形。在該情況下,如專利文獻1般,當使用板狀體時,無法均勻地推壓晶圓與複數個晶片。例如當推壓晶圓與晶片時的壓力過小時,則該晶圓與晶片的接合強度並不足夠。另一方面,例如當推壓晶圓與晶片時的壓力過大時,則有凸塊發生變形之虞,而且亦有半導體元件受到損傷之虞。如此一來,無法適當地接合晶圓與複數個晶片。
本發明,係有鑑於該點而進行研究者,以將配置於基板上之複數個晶片適當地與該基板接合為目的。
為了達成前述之目的,本發明,係一種將配 置於基板上之複數個晶片與該基板接合的接合裝置,其特徵係,具有:處理腔室,收容基板;載置台,設置於前述處理腔室的內部,載置基板;加熱機構,設置於前述載置台,加熱基板;及氣體供給機構,對前述處理腔室的內部供給加壓氣體。
根據本發明,在將基板搬入至處理腔室之內部並密閉該處理腔室的內部後,將基板載置於由加熱機構所加熱至預定溫度的載置台。如此一來,基板被予以加熱至預定溫度。其後,從氣體供給機構對處理腔室的內部供給加壓氣體,並將該處理腔室的內部加壓至預定壓力。如此一來,例如即便基板上之複數個晶片的高度不一致,亦由於該複數個晶片是藉由填充於處理腔室之內部的加壓氣體予以推壓,因此,可均勻地以適當的壓力推壓基板與複數個晶片。因此,可一邊將基板與複數個晶片加熱至預定溫度,一邊適當地進行推壓,從而可適當地接合該基板與複數個晶片。
又,由於基板與複數個晶片的推壓,係僅對處理腔室之內部供給加壓氣體即可,因此,如上述之專利文獻1所記載,與使用板狀體來進行接合的情況相比,亦可使裝置構成簡單化。
另一觀點之本發明,係一種具備有前述接合裝置的接合系統,其特徵係,具有:處理站,具備有前述接合裝置與溫度調節裝置,該溫度調節裝置,係調節以前述接合裝置將複數個晶片接合後之基板的溫度;及搬入搬 出站,可保有複數個基板,且對於前述處理站搬入搬出基板。
又,另一觀點之本發明,係一種將配置於基板上之複數個晶片與該基板接合的接合方法,其特徵係,具有:第1工程,將基板搬入至處理腔室之內部,並密閉前述處理腔室的內部;第2工程,將基板載置於由加熱機構所加熱至預定溫度的載置台;及第3工程,從氣體供給機構對前述處理腔室的內部供給加壓氣體,並將該處理腔室的內部加壓至預定壓力,接合基板與複數個晶片。
又,根據另一觀點之本發明,提供一種可讀取之電腦記憶媒體,其係儲存有程式,該程式,係以藉由接合裝置來執行前述接合方法的方式,在控制該接合裝置之控制部的電腦上動作。
根據本發明,可將配置於基板上的複數個晶片適當地與該基板接合。
1‧‧‧接合系統
2‧‧‧搬入搬出站
3‧‧‧處理站
30‧‧‧接合裝置
31‧‧‧溫度調節裝置
32‧‧‧位置調節裝置
33‧‧‧移轉裝置
41‧‧‧晶圓搬送裝置
50‧‧‧控制部
100‧‧‧處理腔室
101‧‧‧上部腔室
102‧‧‧下部腔室
110‧‧‧上部腔室基座
120‧‧‧下部腔室基座
130‧‧‧移動機構
131‧‧‧軸桿
131a‧‧‧貫穿孔
133‧‧‧垂直移動部
140‧‧‧鎖定機構
141‧‧‧鎖定銷
142‧‧‧水平移動部
150‧‧‧載置台
151‧‧‧加熱機構
170‧‧‧氣體供給機構
C‧‧‧晶片
F‧‧‧膜
W‧‧‧晶圓
[圖1]表示本實施形態之接合系統之構成之概略的平面圖。
[圖2]表示本實施形態之接合系統之內部構成之概略的側視圖。
[圖3]晶圓與複數個晶片的立體圖。
[圖4]晶圓與複數個晶片的側視圖。
[圖5]表示接合裝置之構成之概略的縱剖面圖。
[圖6]表示接合裝置之構成之概略的平面圖。
[圖7]表示處理腔室之內部構成之概略的縱剖面圖。
[圖8]表示鎖定機構之構成之概略的縱剖面圖。
[圖9]表示鎖定機構之構成之概略的側視圖。
[圖10]表示接合處理之主要工程的流程圖。
[圖11]表示接合處理的各工程中之加熱機構之溫度、晶圓之溫度及處理腔室之內部壓力的說明圖。
[圖12]接合裝置所致之接合動作的說明圖。
[圖13]接合裝置所致之接合動作的說明圖。
[圖14]接合裝置所致之接合動作的說明圖。
[圖15]接合裝置所致之接合動作的說明圖。
以下,參閱添加圖式,說明本發明之實施形態。另外,並非藉由以下所示之實施形態來加以限定該發明者。
<1.接合系統之構成>
首先,說明本實施形態之接合系統的構成。圖1,係表示接合系統1之構成之概略的平面圖。圖2,係表示接合系統1之內部構成之概略的側視圖。另外,在下述中, 係為了明確位置關係,而規定相互正交之X軸方向、Y軸方向及Z軸方向,並將Z軸正方向設成為垂直向上方向。
在接合系統1中,係如圖3及圖4所示,接合作為基板的晶圓W與複數個晶片C。晶圓W,係例如在矽晶圓或化合物半導體晶圓等形成有元件的半導體晶圓(元件晶圓)。在晶圓W的表面,係形成有複數個凸塊。又,在晶片C的表面亦形成有複數個凸塊,以使形成成有該複數個凸塊的表面朝向晶圓W側的方式,晶片C,係翻面地予以配置。亦即,在晶圓W中形成有複數個凸塊的表面與在晶片C中形成有複數個凸塊的表面,係相對向地予以配置。晶圓W之凸塊與晶片C之凸塊,係形成於分別相對應的位置,以該些凸塊接合的方式,晶圓W與複數個晶片C被予以接合。另外,凸塊,係例如由銅所構成,在該情況下,晶圓W與複數個晶片C之接合,係會使銅與銅接合。
在搬入至接合系統1之晶圓W的表面,係預先在預定位置配置有複數個晶片C。而且,從複數個晶片C上黏貼有膜F,對於晶圓W予以固定複數個晶片C的位置。另外,對於晶圓W固定複數個晶片C的手段,係不限定於膜F,另可使用例如塗佈等任意的手段。
如圖1所示,接合系統1,係具有一體連接例如下述者之構成:搬入搬出站2,予以搬入搬出可在與外部之間收容複數個晶圓W的匣盒Cs;及處理站3,具備有對搭載了複數個晶片C之晶圓W施予預定處理的各種 處理裝置。
在搬入搬出站2,係設置有匣盒載置台10。在匣盒載置台10,係設置有複數個例如2個匣盒載置板11。匣盒載置板11,係在Y軸方向(圖1中之上下方向)上並排配置成一列。在該些匣盒載置板11中,係對於接合系統1的外部搬入搬出匣盒Cs之際,可載置匣盒Cs。如此一來,搬入搬出站2,係構成為可保有複數個晶圓W。另外,匣盒載置板11的個數,係不限定於本實施形態,可任意地進行決定。
在搬入搬出站2,係設置有與匣盒載置台10鄰接的晶圓搬送部20。在晶圓搬送部20,係設置有可在延伸於Y軸方向之搬送路徑21上移動自如的晶圓搬送裝置22。晶圓搬送裝置22,係在垂直方向及垂直軸周圍(θ方向)亦移動自如,並可在各匣盒載置板11上的匣盒Cs與後述之處理站3之位置調節裝置32及移轉裝置33之間,搬送晶圓W。
在處理站3,係設置有接合裝置30、溫度調節裝置31、位置調節裝置32、移轉裝置33。例如在處理站3的正面側(圖1中之Y軸方向負方向側),係設置有接合裝置30,在處理站3的背面側(圖1中之Y軸方向正方向側),係設置有溫度調節裝置31。又,在處理站3的搬入搬出站2側(圖1中之X軸方向正方向側),係設置有位置調節裝置32與移轉裝置33。位置調節裝置32與移轉裝置33,係如圖2所示,從上依序設置為2層。 另外,接合裝置30、溫度調節裝置31、位置調節裝置32、移轉裝置33的裝置數或配置,係可任意地進行設定。
接合裝置30,係接合晶圓W與複數個晶片C的裝置。關於該接合裝置30之構成,係如後述。
溫度調節裝置31,係在接合裝置30進行加熱後之晶圓W之溫度調節的裝置。溫度調節裝置31,係內建有例如泊耳帖元件等的冷卻構件,並具備有可進行溫度調節的溫度調節板(未圖示)。
位置調節裝置32,係調節晶圓W之周方向之朝向的裝置。位置調節裝置32,係具有:卡盤(未圖示),旋轉保持晶圓W;及檢測部(未圖示),檢測晶圓W之槽口部的位置。而且,在位置調節裝置32中,係一邊使保持於卡盤的晶圓W旋轉,一邊以檢測部檢測晶圓W之槽口部的位置,藉此,調節該槽口部之位置,從而調節晶圓W之周方向的朝向。
移轉裝置33,係用以暫時載置晶圓W的裝置。
如圖1所示,在由接合裝置30、溫度調節裝置31、位置調節裝置32、移轉裝置33所包圍的區域,係形成有晶圓搬送區域40。在晶圓搬送區域40,係配置有例如晶圓搬送裝置41。
晶圓搬送裝置41,係具有例如沿垂直方向、水平方向(X軸方向、Y軸方向)及垂直軸(θ方向)移 動自如的搬送臂。晶圓搬送裝置41,係在晶圓搬送區域40內移動,並可將晶圓W搬送至周圍的接合裝置30、溫度調節裝置31、位置調節裝置32、移轉裝置33。
在上述的接合系統1,係設置有控制部50。控制部50,係例如電腦,具有程式儲存部(未圖示)。在程式儲存部,係儲存有控制接合系統1中之晶圓W與複數個晶片C之接合處理的程式。又,在程式儲存部,係亦儲存有用以控制上述之各種處理裝置或搬送裝置等之驅動系統的動作進而實現接合系統1中之後述之接合處理的程式。另外,前述程式,係例如記錄於電腦可讀取之硬碟(HD)、軟碟片(FD)、光碟(CD)、磁光碟(MO)、記憶卡等之電腦可讀取的記憶媒體H者,亦可為由該記憶媒體H安裝於控制部50者。
<2.接合裝置之構成>
其次,說明上述之接合裝置30的構成。圖5,係表示接合裝置30之構成之概略的縱剖面圖。圖6,係表示接合裝置30之構成之概略的平面圖。
如圖5所示,接合裝置30,係具有可密閉內部的處理腔室100。處理腔室100,係具有作為第1腔室的上部腔室101與作為第2腔室的下部腔室102。上部腔室101,係設置於下部腔室102的上方。
如圖7所示,上部腔室101,係具有下面之內側形成開口的中空構造。在上部腔室101的下面,係環狀 地設置有用以保持處理腔室100之內部之氣密性的密封材103。密封材103,係從上部腔室101的下面突出而設置。又,下部腔室102,係具有上面之內側與下面之內側分別形成開口的中空構造。上部腔室101的下面與下部腔室102的上面,係相對向地予以配置。而且,以使密封材103與下部腔室102之上面抵接的方式,處理腔室100的內部會形成為密閉空間。
如圖5所示,上部腔室101,係被予以支撐於上部腔室基座110,該上部腔室基座110,係設置於上部腔室101的上面。上部腔室基座110,係具有大於上部腔室101之上面的徑。
又,上部腔室101,係具有從上方朝向下方而徑呈同心圓狀地擴大的錐形狀,且於側面視圖下,具有斜面部向內側凸的形狀。在上部腔室101的外周部,係在與上部腔室基座110之間,例如於4處設置有肋板111。亦即,在上部腔室基座110,係予以固定並支撐有上部腔室101與肋板111。
在此,由於上部腔室101,係以上部腔室基座110的中央部來予以支撐,因此,例如在處理腔室100的內部被予以加壓後的情況下,當無肋板111時,應力會集中於上部腔室基座110的中央部。該觀點,在本實施形態中,處理腔室100的內部壓力,係經由上部腔室101與肋板111,分散傳遞至上部腔室基座110的中央部與外周部。因此,可抑制應力集中於上部腔室基座110的特定位 置。
在上部腔室基座110之上面的中央部,係設置有冷卻上部腔室基座110的上部冷卻機構112。更詳細而言,在上部腔室基座110之上面的中央部,係為了達成上部腔室基座110之輕量化,而形成有凹窪部,上部冷卻機構112,係設置於該凹窪部。在上部冷卻機構112的內部,係形成有例如冷卻水等之冷卻媒體所流通的冷媒流路(未圖示)。另外,上部冷卻機構112,係不限定於本實施形態,只要可冷卻上部腔室基座110,則可採取各種構成。例如在上部冷卻機構112,係亦可內建有泊耳帖元件等的冷卻構件。
下部腔室102,係被予以支撐於下部腔室基座120,該下部腔室基座120,係設置於下部腔室102的下面。下部腔室基座120,係具有大於下部腔室102之下面的徑。
在下部腔室基座120之下面的中央部,係設置有冷卻下部腔室基座120的下部冷卻機構121。在下部冷卻機構121的內部,係形成有例如冷卻水等之冷卻媒體所流通的冷媒流路(未圖示)。另外,下部冷卻機構121,係不限定於本實施形態,只要可冷卻下部腔室基座120,則可採取各種構成。例如在下部冷卻機構121,係亦可內建有泊耳帖元件等的冷卻構件。
在上部腔室基座110,係設置有使上部腔室基座110亦即上部腔室101沿垂直方向移動的移動機構 130。移動機構130,係具有軸桿131、支撐板132及垂直移動部133。軸桿131,係設置於上部腔室基座110之外周部的例如4處。又,各軸桿131,係延伸於垂直方向,並貫穿下部腔室基座120,予以支撐於支撐板132,該支撐板132,係設置於該下部腔室基座120的下方。在支撐板132,係例如設置有氣缸等的垂直移動部133。藉由該垂直移動部133,支撐板132與軸桿131便沿垂直方向移動,而且,上部腔室基座110與上部腔室101,係構成為沿垂直方向移動自如。
在軸桿131,係設置有限制軸桿131之移動的鎖定機構140。如圖6所示,鎖定機構140,係與軸桿131相對應地設置於例如4處。又,鎖定機構140,係設置於下部腔室基座120上。
如圖8及圖9所示,鎖定機構140,係具有鎖定銷141、水平移動部142及殼體143。鎖定銷141,係被插入至形成於軸桿131的貫穿孔131a。貫穿孔131a,係貫穿於軸桿131的徑方向。又,在貫穿孔131a中,軸桿131之軸方向(垂直方向)的長度,係大於鎖定銷141的徑。
在鎖定銷141的基端部,係例如設置有氣缸等的水平移動部142。藉由該水平移動部142,鎖定銷141,係構成為對於貫穿孔131a,沿水平方向移動自如。
在軸桿131的外周面,係設置有殼體143。在殼體143,係形成有插入鎖定銷141的一對插入孔144、 144。一對插入孔144、144,係形成於與貫穿孔131a相對應的位置,亦即貫穿孔131a的貫穿方向。而且,在鎖定銷141被插入至貫穿孔131a的狀態下,該鎖定銷141,係被予以支撐於插入孔144、144。
如圖7所示,在處理腔室100的內部,係設置有載置晶圓W的載置台150。在載置台150上,係設置有複數個間隙銷(未圖示),以該複數個間隙銷支撐晶圓W。又,在載置台150上,係設置有複數個導引銷(未圖示),藉由該複數個導引銷,予以固定晶圓W之水平方向的位置。在載置台150的內部,係設置有加熱晶圓W的加熱機構151。作為加熱機構151,係例如使用加熱器。另外,載置台150,係亦可區隔成複數個區域,以與所區隔之區域相對應的方式,將加熱機構151予以分割成複數個。在該情況下,載置台150所區隔出的複數個區域,係可對每一區域進行溫度調節。
在載置台150,係例如於3處形成有貫穿於厚度方向的貫穿孔152。在貫穿孔152,係插通有後述的升降銷160。
另外,在載置台150的下方,係亦可設置有隔熱板(未圖示)。藉由該隔熱板,可抑制以加熱機構151來加熱晶圓W之際的熱傳遞至後述之載置台基座154或下部腔室基座120的情形。
載置台150,係經由複數個桿153,予以支撐於設置在載置台150之下方的載置台基座154。載置台基 座154,係載置於下部腔室基座120上。而且,藉由像這樣在載置台150與載置台基座154之間設置空氣層的方式,可抑制以加熱機構151來加熱晶圓W之際的熱傳遞至載置台基座154或下部腔室基座120的情形。
在載置台基座154,係例如於3處形成有貫穿於厚度方向的貫穿孔155。在貫穿孔155,係插通有後述的升降銷160。
載置台基座154,係未被固定於下部腔室基座120。在該情況下,例如即便在接合處理中,處理腔室100的內部被加熱,亦可使載置台基座154自由地熱膨脹,從而可抑制因加以固定而發生的熱應力或撓曲。
如圖5所示,在載置台150的下方,係例如於3處設置有用以從下方支撐晶圓W並使其升降的升降銷160。升降銷160,係插通載置台150、載置台基座154、下部腔室基座120、下部冷卻機構121,予以支撐於設置在下部冷卻機構121之下方的支撐板161。在支撐板161,係設置有內建例如馬達等的升降驅動部162。藉由該升降驅動部162,支撐板161與升降銷160便進行升降,升降銷160,係可從載置台150的上面突出。
在處理腔室100,係設置有對處理腔室100之內部供給加壓氣體的氣體供給機構170。氣體供給機構170,係具有氣體供給部171、氣體供給管線172及氣體供給裝置173。氣體供給部171,係設置於載置台150的上方,對處理腔室100的內部供給加壓氣體。氣體供給部 171,係經由氣體供給管線172,連通於氣體供給裝置173。氣體供給管線172,係貫穿上部腔室101、上部腔室基座110、上部冷卻機構112而設置。氣體供給裝置173,係將加壓氣體儲存於內部,並對氣體供給部171供給該加壓氣體。
在處理腔室100,係設置有對處理腔室之內部進行排氣的排氣機構180。排氣機構180,係具有排氣管線181與排氣裝置182。排氣管線181,係在下部腔室基座120的上面,連接於例如形成在2處的排氣口,並貫穿下部腔室基座120與下部冷卻機構121而設置。又,排氣管線181,係連接於例如真空泵等的排氣裝置182。
另外,接合裝置30中之各部的動作,係藉由上述的控制部50予以控制。
<3.接合系統之動作>
其次,說明使用如上述構成之接合系統1所進行之晶圓W與複數個晶片C的接合處理方法。圖10,係表示該接合處理之主要工程之例子的流程圖。圖11,係表示接合處理的各工程中之加熱機構151(載置台150)之溫度、晶圓W之溫度及處理腔室100之內部之壓力的說明圖。
另外,在本實施形態中,在搬入至接合系統1之晶圓W的表面,係如圖3及圖4所示,複數個晶片C被預先配置於預定位置,而且,藉由膜F,予以固定複數 個晶片C的位置。
首先,收容有複數片晶圓W的匣盒Cs,係被載置於搬入搬出站2之預定的匣盒載置板11。其後,藉由晶圓搬送裝置22,取出匣盒Cs內的晶圓W,並搬送至處理站3的位置調節裝置32。在位置調節裝置32中,係調節晶圓W之槽口部的位置,從而調節該晶圓W之周方向的朝向(圖10之工程S1)。
工程S1,係如圖11所示,在接合裝置30中,加熱機構151的溫度,係被維持於預定溫度例如250℃。該加熱機構151的溫度,係通過接合處理(後述之工程S2~S8),被維持於預定溫度。另外,通過接合處理,上部冷卻機構112的溫度與下部冷卻機構121的溫度亦被維持於常溫例如25℃,上部腔室基座110與下部腔室基座120便分別被冷却。又,晶圓W的溫度,係常溫例如25℃。而且,處理腔室100雖被關閉,但其內部的壓力,係例如成為0.1MPa(大氣壓)。
其後,在接合裝置30中,係如圖12所示,藉由移動機構130,使上部腔室101移動至上方,予以開啟處理腔室100。而且,晶圓W,係藉由晶圓搬送裝置41被搬入至處理腔室100的內部,並收授至預先上升而待機的升降銷160。
接著,如圖13所示,藉由移動機構130,使上部腔室101移動至下方,予以關閉處理腔室100。此時,使密封材103與下部腔室102的上面抵接,予以密閉 處理腔室100的內部(圖10之工程S2)。
其後,如圖13所示,藉由升降驅動部162,一邊使升降銷160下降,一邊調節晶圓W的溫度即所謂進行晶圓W的溫度整平(圖10之工程S3)。在工程S3中,係由於藉由加熱機構151予以加熱處理腔室100之內部的氛圍,因此,晶圓W亦被予以加熱。而且,在載置於載置台150之前,晶圓W,係被調節為約250℃。另外,晶圓W的溫度調節,係亦可以調節升降銷160之下降速度的方式進行控制,或亦可以使升降銷160階段性地下降的方式進行調節。
在此,在工程S3中,當不進行晶圓W之溫度整平而將晶圓W載置於加熱後的載置台150時,則晶圓W的溫度會急遽上升,而導致該晶圓W產生翹曲。該觀點,藉由進行晶圓W之溫度整平的方式,可抑制該晶圓W之翹曲。且,從抑制晶圓W之翹曲的觀點來看,晶圓W,係只要加熱至250℃附近即可,不需要嚴謹地調節成250℃。
其後,如圖14所示,將晶圓W載置於載置台150。如此一來,晶圓W會被加熱至250℃。
當晶圓W被加熱至250℃時,則藉由鎖定機構140的水平移動部142,將鎖定銷141插入至軸桿131的貫穿孔131a。如此一來,軸桿131被予以固定於垂直方向(圖10之工程S4)。
另外,該鎖定機構140所致之軸桿131的固 定,係在後述的工程S5中,從氣體供給部171對處理腔室100的內部供給加壓氣體之前予以進行。上部腔室101,係藉由來自加熱機構151的熱來進行熱膨脹。因此,在上部腔室101之熱膨脹穩定後的狀態下,可藉由固定軸桿131的方式,適當地固定該上部腔室101的位置。
其後,如圖15所示,從氣體供給部171對處理腔室100的內部供給加壓氣體,並將該處理腔室100的內部加壓至預定壓力例如0.9MPa(圖10之工程S5)。該加壓,係例如亦可以固定的加壓速度予以進行,或亦可重複進行預定時間的壓力維持與壓力上升而階段性地進行。又,該加壓的控制,係例如亦可藉由調節設置於氣體供給管線172之閥(未圖示)之開合度的方式來加以進行,或者亦可以控制設置於氣體供給管線172之電空調整器(未圖示)的方式來加以進行。
另外,在工程S5中,在上部腔室101,係對垂直上方施加壓力,而且垂直上方的力亦作用於上部腔室基座110。該觀點,如上述般,由於鎖定銷141被插入至貫穿孔131a,因此,該鎖定銷141的下面會與貫穿孔131a的下面抵接,軸桿131,係不會向垂直上方移動。因此,上部腔室基座110與上部腔室101亦不會向垂直上方移動,可適當地使處理腔室100的內部密閉,並可使內部壓力維持於預定壓力。
而且,使處理腔室100的內部維持於0.9MPa例如30分鐘。如此一來,即便晶圓W上之複數個晶片C 的高度不一致,亦由於該複數個晶片C是藉由填充於處理腔室100之內部的加壓氣體予以推壓,因此,可均勻地以適當的壓力推壓晶圓W與複數個晶片C。因此,可一邊將晶圓W與複數個晶片C加熱至預定溫度,一邊適當地進行推壓,從而適當地予以接合該晶圓W與複數個晶片C(圖10之工程S6)。
其後,停止來自氣體供給機構170之加壓氣體的供給,藉由排氣機構180,對處理腔室100的內部進行排氣(圖10之工程S7)。而且,處理腔室100的內部,係被減壓至0.1MPa。另外,該減壓,係例如亦可以固定的減壓速度予以進行,或亦可重複進行預定時間的壓力維持與壓力下降而階段性地進行。又,該減壓的控制,係例如亦可藉由調節設置於氣體供給管線172之閥(未圖示)之開合度的方式來加以進行,或者亦可以控制設置於氣體供給管線172之電空調整器(未圖示)的方式來加以進行。
另外,在工程S7中,係藉由升降銷160,使晶圓W上升。此時,晶圓W會被冷卻。
而且,當處理腔室100的內部被減壓至0.1MPa時,解除鎖定機構140所致之軸桿131的固定,且進一步藉由移動機構130,使上部腔室101移動至上方,予以開啟處理腔室100。其後,晶圓W,係藉由晶圓搬送裝置41被搬送至處理腔室100的外部。另外,當晶圓W從處理腔室100被搬出時,則處理腔室100再次被 關閉。
其後,晶圓W,係藉由晶圓搬送裝置41被搬送至溫度調節裝置31。在溫度調節裝置31中,晶圓W,係被溫度調節成常溫例如25℃(圖10之工程S8)。
其後,晶圓W,係藉由晶圓搬送裝置41被搬送至移轉裝置33,進一步藉由搬入搬出站2的晶圓搬送裝置22被搬送至預定之匣盒載置板11的匣盒Cs。如此一來,一連串之晶圓W與複數個晶片C的接合處理便結束。
根據以上的實施形態,由於是在工程S5中,藉由供給至處理腔室100之內部的加壓氣體,將該處理腔室100的內部加壓至預定壓力,因此,例如即便晶圓W上之複數個晶片C的高度不一致,亦可均勻地以適當的壓力推壓晶圓W與複數個晶片C。因此,可一邊將晶圓W與複數個晶片C加熱至預定溫度,一邊以預定壓力進行推壓,從而可適當地接合該晶圓W與複數個晶片C。
而且,由於晶圓W與複數個晶片C的推壓,係僅對處理腔室100之內部供給加壓氣體即可,因此,如以往之專利文獻1所記載,與使用板狀體來進行接合的情況相比,亦可使裝置構成更簡單化。
又,由於是在工程S3中,在將晶圓W載置於載置台150之前,一邊使升降銷160下降,一邊進行晶圓W的溫度整平,因此,可抑制晶圓W之翹曲。
又,在工程S5中,在從氣體供給部171對處 理腔室100的內部供給加壓氣體之前,在工程S4中,藉由鎖定機構140予以固定軸桿131。在像這樣上部腔室101之熱膨脹穩定後的狀態下,可藉由固定軸桿131的方式,適當地固定該上部腔室101的位置。
又,在接合系統1中,搬入搬出站2,係可保有複數個晶圓W,並可將晶圓W從該搬入搬出站2連續地搬送至處理站3。而且,由於接合系統1,係具有接合裝置30與溫度調節裝置31,因此,可依序進行上述的工程S1~S8,從而連續地接合晶圓W與複數個晶片C。又,在一接合裝置30中,於進行預定處理的期間,亦可在其他溫度調節裝置31中進行其他處理。亦即,可在接合系統1內並行地處理複數個晶圓W。因此,可效率良好地進行晶圓W與複數個晶片C之接合,從而可使接合處理之生產率提升。
又,接合系統1,係具有位置調節裝置32,在進行工程S2~S8的接合處理之前,在工程S1中,調節晶圓W之周方向的朝向。在此,由於在進行接合處理的晶圓W中,係複數個晶片C被預先固定於預定位置,因此,在接合裝置30中,從接合晶圓W與複數個晶片C的觀點來看,不需要調節晶圓W之周方向的朝向。然而,如本實施形態般,藉由調節晶圓W之周方向之朝向的方式,例如工程S2~S8的接合處理產生不良時,可變得容易跟隨晶圓履歷且特定不良的原因。亦即,在工程S1中,藉由取得晶圓W之位置資訊而事先保存履歷的方 式,可在接合處理中,探索晶圓產生不良的位置。如此一來,變得容易特定該接合處理之不良的原因。因此,可改善接合處理的條件,而更適切地接合晶圓W與複數個晶片C。
<4.其他實施形態>
在以上的實施形態中,在接合裝置30中,移動機構130,雖係使上部腔室101移動,但只要使上部腔室101與下部腔室102相對地移動即可。例如移動機構130,係亦可使下部腔室102移動,或者亦可使上部腔室101與下部腔室102兩者移動。
又,處理腔室100,雖係在垂直方向予以分割成上部腔室101與下部腔室102,但亦可在水平方向予以分割。
又,載置台150,雖係僅載置晶圓W者,但例如亦可真空吸附晶圓W,或者亦可靜電吸附晶圓W。
另外,在以上之實施形態的接合處理中,加熱晶圓W的預定溫度(250℃)、處理腔室100之內部的加壓壓力(0.9MPa)、處理腔室100之內部的加壓時間(30分鐘),係分別為例示,根據各種條件任意設定。
以上,雖參閱附加圖面說明了本發明之適當的實施形態,但本發明並不限定於該例。只要是所屬技術領域中具有通常知識者,可在記載於申請專利範圍的思想範圍內,想到各種變形例或修正例係屬顯見,且了解到關 於該等亦當然屬於本發明之技術範圍者。
100‧‧‧處理腔室
101‧‧‧上部腔室
102‧‧‧下部腔室
103‧‧‧密封材
150‧‧‧載置台
151‧‧‧加熱機構
152‧‧‧貫穿孔
153‧‧‧桿
154‧‧‧載置台基座
155‧‧‧貫穿孔
160‧‧‧升降銷
170‧‧‧氣體供給機構
171‧‧‧氣體供給部
172‧‧‧氣體供給管線
W‧‧‧晶圓

Claims (10)

  1. 一種接合裝置,係將配置於基板上之複數個晶片與該基板接合,該接合裝置,其特徵係,具有:處理腔室,收容基板;載置台,設置於前述處理腔室的內部,載置基板;加熱機構,設置於前述載置台,加熱基板;及氣體供給機構,對前述處理腔室的內部供給加壓氣體。
  2. 如申請專利範圍第1項之接合裝置,其中,前述處理腔室,係予以分割成第1腔室與第2腔室,並更具有使前述第1腔室與前述第2腔室相對地移動的移動機構。
  3. 如申請專利範圍第2項之接合裝置,其中,前述第1腔室,係設置於前述第2腔室的上方,前述移動機構,係具有:軸桿,支撐前述第1腔室,並延伸於垂直方向;及垂直移動部,使前述軸桿沿垂直方向移動,在前述軸桿,係設置有限制該軸桿之移動的鎖定機構,前述鎖定機構,係具有:鎖定銷,被插入至形成於前述軸桿的貫穿孔;及水平移動部,對於前述貫穿孔,使前述鎖定銷沿水平方向移動。
  4. 一種接合系統,係具備有如申請專利範圍第1~3項中任一項之接合裝置,該接合系統,其特徵係,具有: 處理站,具備有前述接合裝置與溫度調節裝置,該溫度調節裝置,係調節以前述接合裝置將複數個晶片接合後之基板的溫度;及搬入搬出站,可保有複數個基板且對於前述處理站搬入搬出基板。
  5. 如申請專利範圍第4項之接合系統,其中,前述處理站,係更具有:位置調節裝置,調節基板之周方向的朝向。
  6. 一種接合方法,係將配置於基板上之複數個晶片與該基板接合,該接合方法,其特徵係,具有:第1工程,將基板搬入至處理腔室之內部,並密閉前述處理腔室的內部;第2工程,將基板載置於由加熱機構所加熱至預定溫度的載置台;及第3工程,從氣體供給機構對前述處理腔室的內部供給加壓氣體,並將該處理腔室的內部加壓至預定壓力,接合基板與複數個晶片。
  7. 如申請專利範圍第6項之接合方法,其中,在前述第2工程中,在將基板載置於前述載置台之前,在前述處理腔室的內部保持基板,並調節該基板的溫度。
  8. 如申請專利範圍第6或7項之接合方法,其中,前述處理腔室,係予以分割成第1腔室與第2腔室,在前述第1工程中,藉由移動機構,使前述第1腔室 與前述第2腔室相對地移動而密閉前述處理腔室的內部。
  9. 如申請專利範圍第8項之接合方法,其中,前述第1腔室,係設置於前述第2腔室的上方,前述移動機構,係具有:軸桿,支撐前述第1腔室,並延伸於垂直方向;及垂直移動部,使前述軸桿沿垂直方向移動,在前述軸桿,係設置有限制該軸桿之移動的鎖定機構,前述鎖定機構,係具有:鎖定銷,被插入至形成於前述軸桿的貫穿孔;及水平移動部,對於前述貫穿孔,使前述鎖定銷沿水平方向移動,在前述第2工程後且在前述第3工程中從前述氣體供給機構對前述處理腔室的內部供給加壓氣體之前,藉由前述水平移動部,將前述鎖定銷插入至前述貫穿孔。
  10. 一種可讀取之電腦記憶媒體,係儲存有程式,該程式,係以藉由接合裝置來執行如申請專利範圍第6或7項之接合方法的方式,在控制該接合裝置之控制部的電腦上動作。
TW105100551A 2015-01-09 2016-01-08 接合裝置、接合系統、接合方法及電腦記憶媒體 TW201642986A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2015003446A JP2016129197A (ja) 2015-01-09 2015-01-09 接合装置、接合システム、接合方法、プログラム及びコンピュータ記憶媒体

Publications (1)

Publication Number Publication Date
TW201642986A true TW201642986A (zh) 2016-12-16

Family

ID=56356057

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105100551A TW201642986A (zh) 2015-01-09 2016-01-08 接合裝置、接合系統、接合方法及電腦記憶媒體

Country Status (3)

Country Link
JP (1) JP2016129197A (zh)
TW (1) TW201642986A (zh)
WO (1) WO2016111374A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109612996B (zh) * 2018-12-27 2024-02-13 赛纳生物科技(北京)有限公司 一种芯片台定位装置

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11340284A (ja) * 1998-05-22 1999-12-10 Towa Corp フリップチップ組立方法
JP3896017B2 (ja) * 2001-08-03 2007-03-22 松下電器産業株式会社 半導体実装体の製造方法、および半導体実装体の製造装置
JP5401709B2 (ja) * 2010-02-02 2014-01-29 アピックヤマダ株式会社 半導体装置の接合装置及び接合方法
JP5427856B2 (ja) * 2011-09-07 2014-02-26 東京エレクトロン株式会社 接合方法、プログラム、コンピュータ記憶媒体及び接合システム

Also Published As

Publication number Publication date
JP2016129197A (ja) 2016-07-14
WO2016111374A1 (en) 2016-07-14

Similar Documents

Publication Publication Date Title
CN110416142B (zh) 接合装置、接合系统以及接合方法
JP5091296B2 (ja) 接合装置
JP5129848B2 (ja) 接合装置及び接合方法
TWI497635B (zh) 基板處理裝置、基板處理方法及電腦記憶媒體
JP5314607B2 (ja) 接合装置、接合方法、プログラム及びコンピュータ記憶媒体
TWI636843B (zh) 接合裝置、接合系統、接合方法及電腦記憶媒體
JP2015015269A (ja) 接合装置、接合システム、接合方法、プログラム及びコンピュータ記憶媒体
JP5797167B2 (ja) 接合装置、接合システム、接合方法、プログラム及びコンピュータ記憶媒体
JP6415328B2 (ja) 接合方法、プログラム、コンピュータ記憶媒体、接合装置及び接合システム
JP5299837B2 (ja) 支持装置、加熱加圧装置及び加熱加圧方法
TW201642986A (zh) 接合裝置、接合系統、接合方法及電腦記憶媒體
JP5447110B2 (ja) 基板貼り合わせ装置、積層半導体の製造方法、積層半導体及び基板貼り合わせ方法
JP6412804B2 (ja) 接合方法および接合システム
TWI630048B (zh) Bonding device, bonding system, bonding method, and computer memory medium
JP5781988B2 (ja) 接合装置、接合システム、接合方法、プログラム及びコンピュータ記憶媒体
JP6770832B2 (ja) 接合方法、プログラム、コンピュータ記憶媒体、接合装置及び接合システム
JP6453081B2 (ja) 接合装置、接合システム、接合方法、プログラム及びコンピュータ記憶媒体
JP6333184B2 (ja) 接合装置、接合システム、接合方法、プログラム及びコンピュータ記憶媒体
JP5323730B2 (ja) 接合装置、接合方法、プログラム及びコンピュータ記憶媒体
JP2016129196A (ja) 接合装置、接合システム、接合方法、プログラム及びコンピュータ記憶媒体
TW202341241A (zh) 接合方法及接合裝置
JP2013232662A (ja) 支持装置、加熱加圧装置及び加熱加圧方法