TW201639077A - 具有溝槽之積體電路和形成之方法 - Google Patents

具有溝槽之積體電路和形成之方法 Download PDF

Info

Publication number
TW201639077A
TW201639077A TW104138921A TW104138921A TW201639077A TW 201639077 A TW201639077 A TW 201639077A TW 104138921 A TW104138921 A TW 104138921A TW 104138921 A TW104138921 A TW 104138921A TW 201639077 A TW201639077 A TW 201639077A
Authority
TW
Taiwan
Prior art keywords
wire
trench
integrated circuit
opening
length
Prior art date
Application number
TW104138921A
Other languages
English (en)
Other versions
TWI604564B (zh
Inventor
林威呈
曾健庭
帕尼斯 娜拉賽緹
超源 楊
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201639077A publication Critical patent/TW201639077A/zh
Application granted granted Critical
Publication of TWI604564B publication Critical patent/TWI604564B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/5328Conductive materials containing conductive organic materials or pastes, e.g. conductive adhesives, inks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一種積體電路,其包含在積體電路的第一金屬層上的第一導線。積體電路進一步包含在積體電路的第二金屬層上的第二導線。積體電路進一步包含溝槽,其將第一導線電氣連接至第二導線。溝槽與第一導線及第二導線相重疊。溝槽延伸超出第一導線或第二導線至少其中之一的周緣。

Description

具有溝槽之積體電路和形成之方法
本發明係關於具有溝槽(slot via)的積體電路,且特別是關於形成該積體電路的製造方法。
積體電路包含由互連結構電氣連接在一起的多個半導體裝置。互連結構包含導線,其在半導體裝置之間提供平行於積體電路之基板頂部表面的佈線。導線藉由傳導通道而電氣連接在一起。所形成的傳導通路之整個頂部表面係連接至較遠離基板之傳導通路上方的導線,以及所形成的傳導通路之整個底部表面係連接至較接近基板之傳導通路下方的導線。
流經互連結構的導線與傳導通路之電流在互連結構內導入電容。在一些例子中,此電容是互連結構內佈線的導線與傳導通路之意外後果,稱為寄生電容。寄生電容影響積體電路的效能,為了決定積體電路的效能特性,在形成積體電路之前,使用電腦模擬程式模擬寄生電容。
傳導通路彼此相間用來降低積體電路內短路的風險。傳導通路的電阻是部分基於傳導通路的尺寸而決定。較小的傳導通路比較大的傳導通路具有更高的電阻。當積體電路的節點尺寸縮小時,傳導通路的尺寸亦縮小,進而維持通路之間有足夠的間隔,而降低短路的風險。當積體電路內的電阻增加時,積體電路的功率消耗益增加。
本發明提供一種積體電路,其包括:第一導線位於該積 體電路之第一金屬層;第二導線位於該積體電路之第二金屬層;以及溝槽用來將該第一導線電氣連接至該第二導線,其中該溝槽與該第一導線及該第二導線相重疊,且該溝槽延伸超過該第一導線與該第二導線的至少其中之一之周緣。
本發明另提供一積體電路其包括第一摻雜區域位於基 板內;第一導線,其中該第一導線的底面與該基板相距一第一距離;第二導線,其中該第二導線的底面與該基板相距第二距離;以及溝槽用來將該第一導線電氣連接至該第二導線,其中該溝槽的第一部分直接接觸該第一導線,該溝槽的第二部分直接接觸該第二導線,且該溝槽延伸超過該第一導線與該第二導線的至少其中之一之周緣。
本發明另提供一種製造積體電路的方法,其包括在該積 體電路之第一金屬層上形成第一導線;在該積體電路之第二金屬層上形成第二導線;以及形成溝槽以將該第一導線電氣連接至該第二導線,其中該溝槽的第一部分與該第一導線相重疊,該溝槽的第二部分與該第二導線相重疊,且該溝槽延伸超過該第一導線與該第二導線的至少其中之一之周緣。
100‧‧‧積體電路
110‧‧‧連接區域
112‧‧‧第一導線
114‧‧‧第一溝槽
116‧‧‧第二導線
118‧‧‧摻雜區域
120‧‧‧第二連接區域
122‧‧‧第三導線
124‧‧‧第二溝槽
126‧‧‧第四導線
128‧‧‧摻雜區域
200‧‧‧積體電路
212‧‧‧第一導線
214‧‧‧第一溝槽
216‧‧‧第二導線
222‧‧‧第三導線
224‧‧‧第二溝槽
218‧‧‧摻雜區域
228‧‧‧摻雜區域
210‧‧‧連接區域
260‧‧‧接觸層
250‧‧‧基板
300‧‧‧積體電路
312‧‧‧第一導線
316‧‧‧第二導線
314‧‧‧第一溝槽
318‧‧‧摻雜區域
350‧‧‧基板
360‧‧‧接觸層
R1-R7‧‧‧重疊區
為協助讀者達到最佳理解效果,建議在閱讀本揭露時同 時參考附件圖示及其詳細文字敘述說明。請注意為遵循業界標準作法,本專利說明書中的圖式不一定按照正確的比例繪製。在某些圖式中,尺寸可能刻意放大或縮小,以協助讀者清楚了解其中的討論內容。
圖1A是根據一些實施例說明積體電路的俯視圖。
圖1B是根據一些實施例說明積體電路之連接區域的剖面圖。
圖1C是根據一些實施例說明積體電路之連接區域的剖 面圖。
圖2A是根據一些實施例說明積體電路的俯視圖。
圖2B是根據一些實施例說明積體電路之連接區域剖面圖。
圖2C是根據一些實施例說明積體電路之連接區域的剖面圖。
圖2C是根據一些實施例說明積體電路之連接區域的剖面圖。
圖3A是根據一些實施例說明積體電路的俯視圖。
圖3B是根據一些實施例說明積體電路之連接區域的剖面圖。
圖4是根據一些實施例說明製造積體電路的方法之流程圖。
本揭露提供了數個不同的實施方法或實施例,可用於實現本發明的不同特徵。為簡化說明起見,本揭露也同時描述了特定零組件與佈置的範例。請注意提供這些特定範例的目的僅在於示範,而非予以任何限制。舉例而言,在以下說明第一特徵如何在第二特徵上或上方的敘述中,可能會包括某些實施例,其中第一特徵與第二特徵為直接接觸,而敘述中也可能包括其他不同實施例,其中第一特徵與第二特徵中間另有其他特徵,以致於第一特徵與第二特徵並不直接接觸。此外,本揭露中的各種範例可能使用重複的參考數字和/或文字註記,以使文件更加簡單化和明確,這些重複的參考數字與註記不代表不同的實施例與配置之間的關聯性。
另外,本揭露在使用與空間相關的敘述詞彙,如“在...之下”,“低”,“下”,“上方”,“之上”,“下”,“頂”,“底”和類似詞彙 時,為便於敘述,其用法均在於描述圖示中一個元件或特徵與另一個(或多個)元件或特徵的相對關係。除了圖示中所顯示的角度方向外,這些空間相對詞彙也用來描述該裝置在使用中以及操作時的可能角度和方向。該裝置的角度方向可能不同(旋轉90度或其它方位),而在本揭露所使用的這些空間相關敘述可以同樣方式加以解釋。
圖1A是根據一些實施例說明積體電路100的俯視圖。積 體電路100包含連接區域110。連接區域110包含第一導線112,用於在積體電路內的裝置之間提供佈線,其方向平行於積體電路的基板(圖1B中的150)之頂面。第一溝槽114電氣連接至第一導線112。溝槽114的頂面電氣連接至第一導線112的底面。第一溝槽114的長度L1平行於基板(圖1B中的150)的頂面。第一溝槽114延伸超過第一導線112的周緣,如俯視平面圖所示。與第一導線112交界的部分之第一溝槽114為重疊區R1。在至少一些實施例中,R1是長度L1的長度部分。第一溝槽114是用於提電氣佈線,其方向垂直於積體電路100的基板(圖1B中的150)之頂面。連接區域110亦包含電氣連接至第一溝槽114的第二導線116。第二導線116是用於提供電氣佈線,其方向平行於積體電路100的頂面。 第二導線116的頂面電氣連接至第一溝槽114的底面。第二導線116延伸於第一導線112下方。第一溝槽114的整段長度L1是與第二導線116交界。第二導線116電氣連接至摻雜區域118。摻雜區域118是在積體電路100的基板(圖1B中的150)內。第一導線112經由第一溝槽114與第二導線116而電氣連接至摻雜區域118。
積體電路100包含第二連接區域120。第二連接區域120 包含第三導線122,用於提供電氣佈線,其方向平行於積體電路100的基板(圖1C中的150)的頂面。第二溝槽124電氣連接至第三導線122。第二溝槽124的頂面電氣連接至第三導線122的底面。第二溝槽124的長度L2是平行於基板(圖1C中的150)的頂面。第二溝槽124延伸超過第三導 線122的周緣。與第三導線122交界的部分之第二溝槽124為重疊區R2。第二溝槽124用於提供電氣佈線,其方向垂直積體電路100的基板(圖1C中的150)之頂面。連接區域120亦包含電氣連接至第二溝槽124的第四導線126。第二導線126用於提供電氣佈線,其方向平行於積體電路100的頂面。第四導線126的頂面電氣連接至溝槽124的底面。第四導線126延伸於第二導線122下方。第二溝槽124的整段長度L2與第四導線126交界。第四導線126電氣連接至摻雜區域118與摻雜區域128。摻雜區域128是在積體電路100的基板(圖1C中的150)內。摻雜區域128與摻雜區域118分離。第三導線122經由第二溝槽124與第二導線126電氣連接至摻雜區域118與摻雜區域128。
當積體電路中的節點尺寸縮小時,積體電路中的通路之 電阻效應增加。基於通道的尺寸而決定通道的電阻,因而縮小的節點尺寸造成較小的通道,進而增加通道的電阻。相較於沿著通路的整段長度而與第一導線及第二導線交界的通路,第一溝槽114有助於降低從第一導線流至第二導線116的電流之電阻。在一些實施例中,相較於沿著通路的整段長度而與兩個導線交界的通路,第一溝槽114的電阻是被降低的。相較於沿著通路的整段長度而與第三導線交界的另一通路,第二溝槽124有助於降低從第三導線流至第四導線126的電流之電阻。 在一些實施例中,相較於沿著通路的整段長度而與兩個導線交界的通路,第二溝槽124的電阻是被降低的。第一溝槽114與第二溝槽124所提供的降低電阻有助於增加積體電路100的速度。此外,降低電阻亦減少積體電路100中的功率消耗。
第一導線112是部分的互連結構,其電氣連接積體電路 100的不同部分。在一些實施例中,第一導線112包含金屬材料,例如銅、鋁、鎢、其合金、或是其他合適的金屬材料。在一些實施例中,第一導線112包含金屬材料之外的傳導材料,例如傳導聚合物,或是其 他合適的傳導材料。第一導線112延伸方向垂直於第二導線116。在一些實施例中,第一導線112包含延伸於第一方向的第一部分,以及延伸於第二方向的第二部分,第二方向不同於第一方向。
在介電材料中形成開口,並且用傳導材料填充開口,形 成第一導線112。在一些實施例中,使用蝕刻製程形成開口。在一些實施例中,蝕刻製程包含微影蝕刻製程。在一些實施例中,使用化學氣相沉積(CVD)、物理氣相沉積(PVD)、濺鍍、原子層沉積(ALD)或其他合適的方法,填充開口。在一些實施例中,使用鑲嵌製程,例如雙鑲嵌製程,形成第一導線112。
積體電路100的第一導線是在第一金屬層M1。第一金屬 層是第一佈線層,其方向平行於接觸層上方的基板(圖1B中的150)之頂面。在一些實施例中,第一導線112是在積體電路100之不同的金屬層,不同於第一金屬層。在一些實施例中,第一導線112連接至供應電壓,例如VDD、VSS。
第一溝槽114將第一導線112電連接至第二導線116。第一 溝槽114是傳導材料。在一些實施例,第一溝槽114包含金屬材料,例如銅、鋁、鎢、其合金、或其他合適的金屬材料。在一些實施例中,第一溝槽114包含金屬之外的傳導材料,例如傳導聚合物、或是其他合適的傳導材料。
第一溝槽114延伸超過導線112的周緣,方向是平行積體 電路100的基板(圖1B中的150)之頂面。與第一導線112交界的部分溝槽114是重疊區R1。重疊區R1的長度小於第一溝槽114的長度L1。在一些實施例中,重疊區R1的長度與長度L1的比例範圍是約0.2至約0.8。在一些實施例中,重疊區R1的長度與長度L1的比例範圍是約0.1至約0.2。在一些實施例中,重疊區R1的長度與長度L1的比例範圍是約0.8至約0.9。
在介電材料中形成開口,並且用傳導材料填充開口,形 成第一溝槽114。在一些實施例中,使用蝕刻製程,形成開口。在一些實施例中,蝕刻製程包含微影蝕刻製程。在一些實施例中,使用與用於第一導線112之開口相同的製程,形成第一溝槽114的開口。在一些實施例中,使用不同於形成第一導線112的製程,形成第一溝槽114的開口。在一些實施例中,同時形成第一溝槽114的開口以及第一導線112的開口。在一些實施例中,連續形成第一溝槽114的開口與第一導線112的開口。在一些實施例中,使用CVD、PVD、濺鍍、ALD、或其他合適的製程,填充開口。在一些實施例中,使用與第一導線112的開口相同的製程,填充第一溝槽114的開口。在一些實施例中,使用不同於形成第一導線112的開口之製程,形成第一溝槽114的開口。在一些實施例中,同時填充第一溝槽114的開口與第一導線112的開口。在一些實施例中,連續填充第一溝槽114的開口與第一導線112的開口。在一些實施例中,使用鑲嵌製程,例如雙鑲嵌製程,形成第一溝槽114。
在一些實施例中,積體電路100中有一個接觸層。在一 些實施例中,積體電路100中有至少兩個接觸層。在一些實施例中,第一溝槽114是在積體電路100的第一接觸層中。在一些實施例中,第二導線116是在積體電路100的第二接觸層中。第一溝槽114是在積體電路100的接觸層。在一些實施例中,積體電路的接觸層稱為金屬零層M0。 接觸層比第一金屬層更接近積體電路100的基板(圖1B中的150)。在一些實施例中,第一溝槽114是在積體電路100的不同金屬層,不同於接觸層。
在一些實施例中,第一溝槽114直接接觸第一導線112。在一些實施例中,第一溝槽114直接接觸第二導線116。
第二導線116是互連結構的部分,其將摻雜區域118電氣連接至積體電路100的其他部分。在一些實施例中,第二導線116包含 金屬材料,例如銅、鋁、鎢、其合金、或其他合適的金屬材料。在一些實施例中,第二導線116包含金屬材料之外的傳導材料,例如傳導聚合物、或其他合適的傳導材料。第二導線116延伸方向垂直於第一導線112。在一些實施例中,第二導線116包含延伸於第一方向的第一部分以及延伸於第二方向的第二部分,第二方向不同於第一方向。
在介電材料中形成開口,並且用傳導材料填充開口,形 成第二導線116。在一些實施例中,使用蝕刻製程,形成開口。在一些實施例中,蝕刻製程包含微影蝕刻製程。在一些實施例中,使用與第一溝槽114的開口相同之製程,形成第二導線116的開口。在一些實施例中,使用不同於形成第二導線116的製程,形成第一溝槽114的開口。 在一些實施例中,同時形成第一溝槽114的開口與第二導線116的開口。在一些實施例中,連續形成第一溝槽114的開口與第二導線116的開口。在一些實施例中,使用CVD、PVD、濺鍍、ALD、或他合適的製程,填充第二導線116的開口。在一些實施例中,使用與第二導線116的開口相同之製程,填充第一溝槽114的開口。在一些實施例中,使用不同於形成第二導線116的製程,填充第一溝槽114的開口。在一些實施例中,同時填充第一溝槽114的開口與第二導線116的開口。在一些實施例中,連續填充第一溝槽114的開口與第二導線116的開口。在一些實施例中,使用鑲嵌製程,例如雙鑲嵌製程,形成第二導線116。
積體電路100的第二導線116是在接觸層M0。在一些實施例中,第二導線116是在積體電路100的不同金屬層,不同於接觸層。
摻雜區域118是積體電路的基板(圖1B中的150)之摻雜部分。在一些實施例中,摻雜區域118是積體電路100的電晶體之源極或汲極。在一些實施例中,摻雜區域118包含p型摻質。在一些實施例中,摻雜區域118包含n型摻質。
第三導線122是互連結構的部分,其電氣連接積體電路 100的不同部分。在一些實施例中,第三導線122包含金屬材料,例如銅、鋁、鎢、其合金、或其他合適的金屬材料。在一些實施例中,第三導線122包含金屬材料之外的傳導材料,例如傳導聚合物、或是其他合適的傳導材料。第三導線122延伸方向垂直於第四導線126。在一些實施例中,第三導線122包含於第一方向延伸的第一部分,以及延伸於第二方向的第二部分,第二方向不同於第一方向。
在介電材料中形成開口,並且用傳導材料填充開口,形 成第三導線122。在一些實施例中,使用蝕刻製程,形成開口。在一些實施例中,蝕刻製程包含微影蝕刻製程。在一些實施例中,使用CVD、PVD、濺鍍、ALD、或他合適的製程,填充開口。在一些實施例中,使用鑲嵌製程,例如雙鑲嵌製程,形成第三導線122。
積體電路100的第三導線122是在第一金屬層M1。在一些 實施例中,第三導線122是在積體電路100的不同金屬層,不同於第一金屬層。在一些實施例中,第三導線122連接至參考電壓,例如VDD、VSS。
第二溝槽124將第三導線122電氣連接至第四導線126。 第二溝槽124是傳導材料。在一些實施例中,第二溝槽124包含金屬材料,例如銅、鋁、鎢、其合金、或其他合適的金屬材料。在一些實施例中,第二溝槽124包含金屬材料之外的傳導材料,例如傳導聚合物、或其他合適的傳導材料。
第二溝槽124延伸超過第三導線122的周緣,其方向平行 於積體電路100的基板(圖1C中的150)之頂面。與第三導線交界的部分之第二溝槽124是重疊區R2。重疊區R2的長度小於第二溝槽124的長度L2。在一些實施例中,重疊區R2的長度與長度L2的比例範圍從約0.2至約0.8。在一些實施例中,重疊區R2的長度與長度L2的比例範圍從約0.1至約0.2。在一些實施例中,重疊區R2的長度與長度L2的比例範圍 從約0.8至約0.9。在一些實施例中,重疊區R2的長度與長度L2的比例等於重疊區R1的長度與長度L1的比例。在一些實施例中,重疊區R2的長度與長度L2的比例不同於重疊區R1的長度與長度L1的比例。
在介電材料中形成開口,並且用傳導材料填充開口,形 成第二溝槽124。在一些實施例中,使用蝕刻製程,形成開口。在一些實施例中,蝕刻製程包含微影蝕刻製程。在一些實施例中,使用於第三導線122的開口之相同製程,形成第二溝槽124的開口。在一些實施例中,使用不同於形成第三導線122的製程,形成第二溝槽124的開口。 在一些實施例中,同時形成第二溝槽124的開口與第三導線122的開口。在一些實施例中,連續形成第二溝槽124的開口與第三導線122的開口。在一些實施例中,使用CVD、PVD、濺鍍、ALD、或其他合適的製程,填充開口。在一些實施例中,使用與第三導線122的開口相同之製程,填充第二溝槽124的開口。在一些實施例中,使用不同於形成第三導線122的開口之製程,填充第二溝槽124的開口。在一些實施例中,同時填充第二溝槽124的開口與第三導線122的開口。在一些實施例中,連續填充第二溝槽124的開口與第三導線122的開口。在一些實施例中,使用鑲嵌製程,例如雙鑲嵌製程,形成第二溝槽124。
在一些實施例中,積體電路100中有一個接觸層。在一 些實施例中,積體電路100中有至少兩個接觸層。在一些實施例中,第二溝槽124是在積體電路100的第一接觸層中。在一些實施例中,第四導線126是在積體電路100的第二接觸層中。第二溝槽124是在積體電路100的接觸層。在一些實施例中,第二溝槽124是在積體電路100的不同金屬層,其不同於接觸層。
在一些實施例中,第二溝槽124直接接觸第三導線122。在一些實施例中,第二溝槽124直接接觸第四導線126。
第四導線126是互連結構的部分,其將摻雜區域118與摻 雜區域128電氣連接至積體電路100的其他部分。在一些實施例中,第四導線126包含金屬材料,例如銅、鋁、鎢、其合金、或其他合適的金屬材料。在一些實施例中,第四導線126包含金屬材料之外的傳導材料,例如傳導聚合物、或其他合適的傳導材料。第四導線126延伸方向垂直於第三導線122。在一些實施例中,第四導線126包含延伸於第一方向的第一部分以及延伸於第二方向的第二部分,第二方向不同於第一方向。
在介電材料中形成開口,並且用傳導材料填充開口,形 成第四導線126。在一些實施例中,使用蝕刻製程,形成開口。在一些實施例中,蝕刻製程包含微影蝕刻製程。在一些實施例中,使用與第二溝槽124的開口之相同製程,形成第四導線126的開口。在一些實施例中,使用不同於形成第四導線126的製程,形成第二溝槽124的開口。 在一些實施例中,同時形成第二溝槽124的開口與第四導線126的開口。在一些實施例中,連續形成第二溝槽124的開口與第四導線126的開口。在一些實施例中,使用CVD、PVD、濺鍍、ALD、或其他合適的製程,填充第四導線126的開口。在一些實施例中,使用與第四導線126的開口之相同製程,填充第二溝槽124的開口。在一些實施例中,使用不同於形成第四導線126的製程,填充第二溝槽124的開口。在一些實施例中,同時填充第二溝槽124的開口與第四導線126的開口。在一些實施例中,連續填充第二溝槽124的開口與第四導線1262的開口。 在一些實施例中,使用鑲嵌製程,例如雙鑲嵌製程,形成第四導線126。
積體電路100的第四導線126是在接觸層M0。在一些實施例中,第四導線126是在積體電路100的不同金屬層,不同於接觸層。
摻雜區域128是積體電路的基板(圖1C中的150)之摻雜部分。在一些實施例中,摻雜區域128是積體電路100的電晶體之源極或汲極。在一些實施例中,摻雜區域128是基板(圖1C中的150)中形成的 槽。在一些實施例中,摻雜區域128包含p型摻質。在一些實施例中,摻雜區域128包含n型摻質。在一些實施例中,摻雜區域128包含與摻雜區域118相同的摻雜型。在一些實施例中,摻雜區域128包含與摻雜區域118不同的摻雜型。
圖1B是根據一些實施例說明積體電路100的連接區域 110之剖面圖。連接區域110包含積體電路100的基板150。摻雜區域118是在基板150中。第二導線116電氣連接至摻雜區域118。第一溝槽114電氣連接至第二導線116;以及第一導線112電氣連接至與第二導線對立的第一溝槽之側上的第一溝槽。
第一溝槽114延伸超過第一導線112的周緣,並且在重疊 區R1與第一導線重疊。第一溝槽114的整段長度L1與第二導線116交界。在一些實施例中,第二導線116延伸超過重疊區R1與摻雜區域118相距最遠的一側,如圖1A所示。在一些實施例中,第二導線116的端面實質對齊重疊區R1的端面,如圖1B所示。
相較於通路未延伸超過第一導線112的周緣之其他方 法,溝槽114提供與第二導線116交界之增加的表面積。此增加的表面積在第一溝槽114與第二導線116之間提供較大面積的電氣轉移,相較於未延伸超過第一導線112之周緣的通路,降低了第一溝槽的電阻。
圖1C是根據一些實施例說明積體電路100的連接區域 120之剖面圖。連接區域120包含積體電路100的基板150。摻雜區域118與摻雜區域128是在基板150中。第四導線126電氣連接至摻雜區域118與摻雜區域128。第二溝槽124電氣連接至第四導線126;以及第三導線122電氣連接至與第四導線對立的第二溝槽之側上的第二溝槽。
第二溝槽124延伸超過第三導線122的周緣,並且在重疊 區R2與第三導線重疊。第二溝槽124的整段長度L2與第四導線126交界。第四導線116延伸超過重疊區R2。
相較於通路未延伸超過第三導線122的周緣之其他方 法,第二溝槽124提供增加的表面積與第四導線交界。此增加的表面積在第二溝槽124與第四導線126之間提供較大面積的電氣轉移,相較於未延伸超過第三導線122之周緣的通路,降低了第二溝槽的電阻。
圖2A是根據一些實施例說明積體電路200的俯視圖。積 體電路200是類似於積體電路100(圖1A)。類似的元件具有相同的元件符號加100。根據積體電路100,圖1A中的第四導線116分為兩個分離的導線226a與226b。導線226a將第二溝槽224電氣連接至摻雜區域218。導線226b將第二溝槽224電氣連接至摻雜區域228。相較於積體電路100,積體電路200包含第一溝槽214,其延伸超過第二導線216的周緣。第二溝槽224亦延伸超過導線226a與導線226b的周緣。相較於積體電路100,第二導線216未與第一導線212重疊。導線226a與導線226b未與第三導線222重疊。
第一溝槽214包含與第二導線216交界的重疊區R3。重疊 區R3位置超出第一導線212的周緣。重疊區R3的長度小於第一溝槽214的長度L3。在一些實施例中,重疊區R3的長度與長度L3的比例範圍是從約0.2至約0.8。在一些實施例中,重疊區R3的長度與長度L3的比例範圍是從約0.1至約0.2。在一些實施例中,重疊區R3的長度與長度L3的比例範圍是從約0.8至約0.9。在一些實施例中,重疊區R3對齊第一導線212的側壁。在一些實施例中,部分的第一溝槽214未與第一導線212或第二導線216交界。
第二溝槽224包含與導線226a交界的重疊區R4。第二溝槽224亦包含與導線226b交界的重疊區R5。重疊區R4的位置超出第三導線222的周緣。重疊區R4的長度小於第二溝槽224的長度L4。在一些實施例中,重疊區R4的長度與長度L4的比例範圍是從約0.1至約0.4。在一些實施例中,重疊區R4的長度與長度L4的比例範圍是從約0.4至約 0.9。在一些實施例中,重疊區R4對齊第三導線222的側壁。在一些實施例中,朝向摻雜區域218延伸的部分之第二溝槽224未與第三導線222或導線226a交界。重疊區R5的位置超出第三導線222的周緣。重疊區R5的長度小於第二溝槽224的長度L4。在一些實施例中,重疊區R5的長度與長度L4的比例範圍是從約0.1至約0.4。在一些實施例中,重疊區R5的長度與長度L4的比例範圍是從約0.4至約0.9。在一些實施例中,重疊區R4的長度與長度L4的比例等於重疊區R5的長度與長度L4的比例。在一些實施例中,重疊區R4的長度與長度L4的比例不同於重疊區R5的長度與長度L4的比例。在一些實施例中,重疊區R5對齊第三導線222的側壁。在一些實施例中,朝向摻雜區域228延伸的部分之第二溝槽224未與第三導線222及導線226b交界。
相較於積體電路100,積體電路200降低第一導線212與 第二導線216之間的電容。第二導線216未延伸於第一導線212下方,因而降低第一導線與第二導線之間非故意的電容。在一些實施例中,非故意的電容稱為寄生電容。藉由降低寄生電容,積體電路200將電阻降低為沿著第一傳到線212或第二導線216的電壓變化,而後有助於增加積體電路的速度。
積體電路200亦降低第三導線222與導線226a之間的電 容,以及第三導線與導線226b之間的電容。導線226a或導線226b未延伸於第三導線222下方,相較於積體電路100,積體電路200內的寄生電容是降低的。藉由降低寄生電容,積體電路200將電阻沿著第三導線222、導線226a或導線226b降低至電壓變化,而後有助於增加積體電路的速度。在一些實施例中,積體電路200的速度大於具有未延伸超過第一導線212的通路之積體電路的速度。
圖2B是根據一些實施例說明積體電路200的連接區域 210之剖面圖。連接區域210是類似於連接區域110。相較於連接區域 110,連接區域210未有第一導線212下方的接觸層260中的部分之第二導線216。
第一溝槽214延伸超過第一導線212的周緣,並且在重疊區R3重疊第二導線216。第一溝槽214不到整段長度L3與第二導線216交界。在一些實施例中,第二導線216的側壁對齊第一導線212的側壁。在一些實施例中,部分的第一溝槽214未與第一導線212及第二導線216電氣交界。
在一些實施例中,第二導線216側壁對齊基板250中的摻雜區域218之邊緣。在一些實施例中,第二導線216的側壁對齊第一導線212的側壁。在一些實施例中,第二導線的側壁未對齊第一導線212的整個側壁或是摻雜區域218的邊緣。
圖2C是根據一些實施例說明積體電路200的連接區域220之剖面圖。相較於連接區域120,連接區域220包含在接觸層260中與摻雜區域218電氣連接的導線226a,以及與基板250中的摻雜區域228電氣連接的導線226b。在一些實施例中,導線226a與導線226b皆未延伸於第三導線222下方。在一些實施例中,導線226a或導線226b至少其中之一延伸於第三導線222下方。
第二溝槽224延伸超過第三導線222的周緣,並且在重疊區R4與導線226a重疊。第二溝槽224延伸超過第三導線222的周緣,並且在重疊區R5與導線226b重疊。第二溝槽224不到整段長度L4與導線226a交界;以及第二溝槽不到整段長度與導線226b交界。在一些實施例中,部分的第二溝槽224未與第三導線222、導線226a以及導線226b電氣交界。
在一些實施例中,導線226a的側壁對齊摻雜區域218的邊緣。在一些實施例中,導線226a的側壁對齊第三導線222的側壁。在一些實施例中,導線226a的側壁未對齊第三導線222的側壁或是摻雜區域 218的邊緣。
在一些實施例中,導線226b的側壁對齊摻雜區域228的 邊緣。在一些實施例中,導線226b的側壁對齊第三導線222的側壁。在一些實施例中,導線226b的側壁未對齊第三導線222的側壁或是摻雜區域228的邊緣。
圖3A是根據一些實施例說明積體電路300的俯視圖。積 體電路300是類似於積體電路100(圖1A)。類似的元件具有相同的元件符號加200。相較於積體電路100,積體電路300包含與第一導線312在相同金屬層上的第二導線316。第二導線316與第一導線312實質相隔。 第二導線316藉由第一溝槽314而電氣連接至第一導線312。第一導線312與第二導線316皆未電氣連接至摻雜區域318。
第一溝槽314延伸超過第一導線312與第二導線316的周 緣。第一溝槽314在重疊區R6與第一導線312交界。重疊區R6的長度小於第一溝槽314的長度L5。在一些實施例中,重疊區R6的長度與長度L5的比例範圍是從約0.1至約0.4。在一些實施例中,重疊區R6的長度與長度L5的比例範圍是從約0.4至約0.9。第一溝槽314在重疊區R7與第二導線316交界。重疊區R7的長度小於第一溝槽314的長度L5。在一些實施例中,重疊區R7的長度與長度L5的比例範圍是從約0.1至約0.4。 在一些實施例中,重疊區R7的長度與長度L5的比例範圍是從約0.4至約0.9。在一些實施例中,重疊區R6的長度與長度L5的比例等於重疊區R7與長度L5的比例。在一些實施例中,重疊區R6的長度與長度L5的比例不同於重疊區R7的長度與長度L5的比例。
相較於具有未延伸超過導線的周緣之通路的積體電 路,積體電路300包含具有較少元件的互連結構。在具有未延伸超過第一導線312或第二導線316的周緣之通路的積體電路中,使用額外的導線,在連接至第一導線與第二導線的通路之間,提供電氣連接。藉由 減少積體電路300的互連結構中的導線數目,降低積體電路的複雜度與成本。
圖3B是根據一些實施例說明積體電路300的連接區域310的剖面圖。連接區域310包含第一溝槽314,將第一導線312電氣連接至導線316,其係與第一導線位於相同金屬層上。連接區域310包含位於第一導線312與第二導線316下方的第一溝槽314。在一些實施例中,第一溝槽314係位於第一導線312與第二導線314上方。第一溝槽314在重疊區R6與第一導線312交界,重疊區R6小於第一導線312的寬度。在一些實施例中,第一溝槽314的側壁對齊第一導線312與第二導線316相距最遠的側壁,因而重疊區R6的長度等於第一導線312的寬度。第一溝槽314在重疊區R7與第二導線316交界,重疊區R7小於第二導線316的寬度。在一些實施例中,第一溝槽314的側壁對齊第二導線316與第一導線312相距最遠的側壁,因而重疊區R7的長度等於第二導線316的寬度。在一些實施例中,第一溝槽314藉由接觸層360而與摻雜區域318相隔。在一些實施例中,第一溝槽314藉由接觸層360中的傳導特徵,而連接至基板350中的摻雜區域318。在一些實施例中,省略接觸層360,第一溝槽314直接接觸摻雜區域318。
圖4是根據一些實施例說明製造積體電路的方法400之流程圖。方法400開始於操作402,在第一金屬層上,形成第一導線。在一些實施例中,在介電層中形成開口,並且用傳導材料填充開口,形成第一導線。在一些實施例中,藉由蝕刻製程,在介電層中形成開口。在一些實施例中,使用CVD、PVD、濺鍍、ALD、或其他合適的填充製程,填充開口。在一些實施例中,用金屬材料填充開口,例如銅、鋁、鎢、其合金、或其他合適的金屬材料。在一些實施例中,用非金屬材料填充開口,例如傳導聚合物。在一些實施例中,第一金屬層是接觸層M0。在一些實施例中,第一金屬層是第一金屬層M1。在 一些實施例中,第一金屬層是不同於第一金屬層M1與接觸層M0。
在操作404中,在第二金屬層上形成第二導線。在一些 實施例中,在介電層中形成開口,並且用傳導材料填充開口,形成第二導線。在一些實施例,藉由蝕刻製程,在介電層中形成開口。在一些實施例中,使用CVD、PVD、濺鍍、ALD、或其他合適的填充製程,填充開口。在一些實施例中,使用與第一導線的開口之相同製程,填充第二導線的開口。在一些實施例中,使用不同於填充第一導線的開口之製程,填充第二導線的開口。在一些實施例中,用金屬材料填充開口,例如銅、鋁、鎢、其合金、或其他合適的金屬材料。在一些實施例中,用非金屬材料填充開口,例如傳導聚合物。在一些實施例中,第二金屬層是接觸層M0。在一些實施例中,第二金屬層是第一金屬層M1。在一些實施例中,第二金屬層不同於第一金屬層M1與接觸層M0。 在一些實施例中,第二導線的第二金屬層係與第一導線的第一金屬層為相同金屬層。在一些實施例中,第二導線的第二金屬層是不同於第一導線的第一金屬層之金屬層。在一些實施例中,同時形成第二導線與第一導線。在一些實施例中,連續形成第二導線與第一導線。
在一些實施例中,至少一部分的第二導線延伸於第一導 線下方。在一些實施例中,第二導線的側壁對齊第一導線的側壁。在一些實施例中,第二導線的側壁與第一導線的側壁相隔,其方向平行於積體電路的基板之頂面。
在操作406中,形成溝槽,將第一導線電氣連接至第二 導線。在一些實施例中,在介電層中形成開口,並且用傳導材料填充開口,形成溝槽。在一些實施例中,藉由蝕刻製程,形成介電層中的開口。在一些實施例中,使用CVD、PVD、濺鍍、ALD、或其他合適的填充製程,填充開口。在一些實施例中,使用與第一導線或第二導線至少其中之一的開口之相同製程,填充溝槽的開口。在一些實施例 中,使用不同於填充第一導線或第二導線至少其中之一的開口之製程,填充溝槽的開口。在一些實施例中,用金屬材料填充開口,例如銅、鋁、鎢、其合金、或其他合適的金屬材料。在一些實施例中,用非金屬材料填充開口,例如傳導聚合物。在一些實施例中,溝槽是在接觸層M0上。在一些實施例中,溝槽是在第一金屬層M1上。在一些實施例中,溝槽是在與第一金屬層或第二金屬層相同的金屬層上。在一些實施例中,溝槽是在不同於第一金屬層或第二金屬層至少其中之一的金屬層上。在一些實施例中,同時形成溝槽與第一導線或第二導線至少其中之一。在一些實施例中,連續形成溝槽與第一導線或第二導線至少其中之一。
至少一部分的溝槽與第一導線重疊。至少一部分的溝槽 與第二導線重疊。至少一部分的溝槽延伸超過第一導線或第二導線至少其中之一的周緣。在一些實施例中,整個溝槽與第一導線或第二導線重疊。在一些實施例中,部分的溝槽未與第一導線及第二導線交界。
在一些實施例中,改變方法400的操作順序。在一些實 施例中,方法400中包含其他操作。在一些實施例中,結合方法400的一些操作於單一操作中。
本揭露之一方面係關於積體電路。積體電路包含在積體 電路的第一金屬層上的第一導線。積體電路進一步包含在積體電路的第二金屬層上的第二導線。積體電路進一步包含溝槽,將第一導線電氣連接至第二導線。溝槽與第一導線及第二導線重疊。溝槽延伸超過第一導線或第二導線至少其中之一的周緣。
本揭露之另一方面係關於積體電路。積體電路包含基板 中的第一摻雜區域。積體電路進一步包含第一導線,其中第一導線的底面與基板相距第一距離。積體電路進一步包含第二導線,其中第二導線的底面與基板相距第二距離。積體電路進一步包含溝槽,將第一 導線電氣連接至第二導線。溝槽的第一部分直接接觸第一導線。溝槽的第二部分直接接觸第二導線。溝槽延伸超過第一導線或第二導線至少其中之一的周緣。
本揭露之一方面係關於製造積體電路的方法。方法包含 在積體電路的第一金屬層上,形成第一導線。方法進一步包含在積體電路的第二金屬層上,形成第二導線。方法進一步包含形成溝槽,將第一導線電氣連接至第二導線。溝槽的第一部分與第一導線重疊,溝槽的第二部分與第二導線重疊。溝槽延伸超過第一導線或第二導線至少其中之一的周緣。
前述內容概述一些實施方式的特徵,因而熟知此技藝之 人士可更加理解本揭露之各方面。熟知此技藝之人士應理解可輕易使用本揭露作為基礎,用於設計或修飾其他製程與結構而實現與本申請案所述之實施例具有相同目的與/或達到相同優點。熟知此技藝之人士亦應理解此均等架構並不脫離本揭露揭示內容的精神與範圍,並且熟知此技藝之人士可進行各種變化、取代與替換,而不脫離本揭露之精神與範圍。
100‧‧‧積體電路
110‧‧‧連接區域
112‧‧‧第一導線
114‧‧‧第一溝槽
116‧‧‧第二導線
118‧‧‧摻雜區域
120‧‧‧第二連接區域
122‧‧‧第三導線
124‧‧‧第二溝槽
126‧‧‧第四導線
128‧‧‧摻雜區域

Claims (10)

  1. 一種積體電路,包括:一第一導線位於該積體電路之一第一金屬層;一第二導線位於該積體電路之一第二金屬層;以及一溝槽用來將該第一導線電氣連接至該第二導線,其中該溝槽與該第一導線及該第二導線相重疊,且該溝槽延伸超過該第一導線與該第二導線的至少其中之一之周緣。
  2. 如申請專利範圍第1項所述之積體電路,其中該溝槽的整段長度與該第二導線相重疊。
  3. 如申請專利範圍第1項所述之積體電路,其中該第一導線之一側壁與該第二導線之一側壁相對齊。
  4. 如申請專利範圍第1項所述之積體電路,其中該第一金屬層與該第二金屬層為同一金屬層。
  5. 如申請專利範圍第1項所述之積體電路,其中該溝槽分別延伸超過該第一導線與該第二導線之周緣。
  6. 如申請專利範圍第1項所述之積體電路,更包括一第三導線位於該積體電路之該第二金屬層,其中該溝槽將該第一導線電氣連接至該第三導線,且該溝槽與該第三導線相重疊。
  7. 如申請專利範圍第6項所述之積體電路,其中該溝槽與該第二導線相重疊的區域的長度相等於該溝槽與該第三導線相重疊的區域的長度。
  8. 如申請專利範圍第6項所述之積體電路,其中該溝槽與該第二導線相重疊的區域的長度不等於該溝槽與該第三導線相重疊的區域的長度。
  9. 一積體電路,包括: 一第一摻雜區域位於一基板內;一第一導線,其中該第一導線的一底面與該基板相距一第一距離;一第二導線,其中該第二導線的一底面與該基板相距一第二距離;以及一溝槽用來將該第一導線電氣連接至該第二導線,其中該溝槽的一第一部分直接接觸該第一導線,該溝槽的一第二部分直接接觸該第二導線,且該溝槽延伸超過該第一導線與該第二導線的至少其中之一之周緣。
  10. 一種製造一積體電路的方法,包括:在該積體電路之一第一金屬層上形成一第一導線;在該積體電路之一第二金屬層上形成一第二導線;以及形成一溝槽以將該第一導線電氣連接至該第二導線,其中該溝槽的一第一部分與該第一導線相重疊,該溝槽的一第二部分與該第二導線相重疊,且該溝槽延伸超過該第一導線與該第二導線的至少其中之一之周緣。
TW104138921A 2015-01-20 2015-11-24 具有溝槽之積體電路和形成之方法 TWI604564B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/600,695 US9478492B2 (en) 2015-01-20 2015-01-20 Integrated circuit having slot via and method of forming the same

Publications (2)

Publication Number Publication Date
TW201639077A true TW201639077A (zh) 2016-11-01
TWI604564B TWI604564B (zh) 2017-11-01

Family

ID=56293653

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104138921A TWI604564B (zh) 2015-01-20 2015-11-24 具有溝槽之積體電路和形成之方法

Country Status (5)

Country Link
US (3) US9478492B2 (zh)
KR (1) KR101699165B1 (zh)
CN (1) CN105810660B (zh)
DE (1) DE102015107422B4 (zh)
TW (1) TWI604564B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9478492B2 (en) * 2015-01-20 2016-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having slot via and method of forming the same
KR20180070793A (ko) 2016-12-16 2018-06-27 삼성전자주식회사 오버레이 패턴들을 포함하는 반도체 소자

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5616934A (en) * 1993-05-12 1997-04-01 Micron Technology, Inc. Fully planarized thin film transistor (TFT) and process to fabricate same
JP3109478B2 (ja) 1998-05-27 2000-11-13 日本電気株式会社 半導体装置
JP4290457B2 (ja) * 2003-03-31 2009-07-08 株式会社ルネサステクノロジ 半導体記憶装置
KR100881620B1 (ko) * 2007-01-29 2009-02-04 삼성전자주식회사 반도체 장치 및 그 형성 방법
US8750011B2 (en) * 2012-03-19 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for ROM cells
US8779592B2 (en) 2012-05-01 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Via-free interconnect structure with self-aligned metal line interconnections
US10096544B2 (en) * 2012-05-04 2018-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor interconnect structure
KR101994280B1 (ko) * 2012-07-26 2019-07-01 에스케이하이닉스 주식회사 가변 저항 메모리 장치 및 그 제조 방법
KR20140074673A (ko) * 2012-12-10 2014-06-18 삼성전자주식회사 반도체 소자
US9478492B2 (en) * 2015-01-20 2016-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having slot via and method of forming the same

Also Published As

Publication number Publication date
KR20160089842A (ko) 2016-07-28
US9984964B2 (en) 2018-05-29
US9741654B2 (en) 2017-08-22
KR101699165B1 (ko) 2017-01-23
US20160211213A1 (en) 2016-07-21
CN105810660A (zh) 2016-07-27
US9478492B2 (en) 2016-10-25
CN105810660B (zh) 2018-09-25
TWI604564B (zh) 2017-11-01
US20170040260A1 (en) 2017-02-09
DE102015107422A1 (de) 2016-07-21
DE102015107422B4 (de) 2020-10-01
US20170345753A1 (en) 2017-11-30

Similar Documents

Publication Publication Date Title
US10672708B2 (en) Standard-cell layout structure with horn power and smart metal cut
US9478533B2 (en) Method and apparatus for forming an integrated circuit with a metalized resistor in a standard cell configuration
TWI585898B (zh) 三維積體電路及其製造方法
KR102142366B1 (ko) 반도체 집적 회로 소자 및 그 제조 방법, 반도체 패키지
JP5388768B2 (ja) ローカルインターコネクトを備えた半導体装置
US10074606B2 (en) Via, trench or contact structure in the metallization, prematallization dielectric or interlevel dielectric layers of an integrated circuit
CN103872014A (zh) 半导体装置
CN105428414A (zh) 半导体器件
US20240153940A1 (en) Semiconductor device having fin structure
TWI604564B (zh) 具有溝槽之積體電路和形成之方法
US10497804B2 (en) Vertical semiconductor device
US11088067B2 (en) Semiconductor device and layout design thereof
US9391271B1 (en) Resistive random access memory and manufacturing method thereof
US20210183772A1 (en) Standard cells having via rail and deep via structures
TW201735377A (zh) 積體電路晶片的電容器結構
CN106611712A (zh) 半导体结构及其形成方法
KR20130036947A (ko) 반도체 소자 및 그 형성 방법
US20220139901A1 (en) Semiconductor devices
US20210366787A1 (en) Metal connections and routing for advanced 3d layout designs
US8835996B2 (en) Integrated circuit configuration having extension conductor structure and fabricating method thereof
CN106653679A (zh) 半导体器件及其形成方法