TW201635470A - 產生具有增加重疊邊界的交替硬遮罩覆蓋互連結構之新穎方法 - Google Patents

產生具有增加重疊邊界的交替硬遮罩覆蓋互連結構之新穎方法 Download PDF

Info

Publication number
TW201635470A
TW201635470A TW104138811A TW104138811A TW201635470A TW 201635470 A TW201635470 A TW 201635470A TW 104138811 A TW104138811 A TW 104138811A TW 104138811 A TW104138811 A TW 104138811A TW 201635470 A TW201635470 A TW 201635470A
Authority
TW
Taiwan
Prior art keywords
interconnect
hard mask
dielectric
cap
dielectric cap
Prior art date
Application number
TW104138811A
Other languages
English (en)
Other versions
TWI673846B (zh
Inventor
羅伯特 布里斯托
曼尼許 錢霍克
詹斯密特 喬拉
弗羅瑞恩 格斯坦
韓應諾
拉米 胡拉尼
啟文 林
理查 史肯克
陶德 洋金
Original Assignee
英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 英特爾股份有限公司 filed Critical 英特爾股份有限公司
Publication of TW201635470A publication Critical patent/TW201635470A/zh
Application granted granted Critical
Publication of TWI673846B publication Critical patent/TWI673846B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1026Forming openings in dielectrics for dual damascene structures the via being formed by burying a sacrificial pillar in the dielectric and removing the pillar

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明的實施例包括互連結構與形成此等結構之方法。在實施例中,互連結構可包括層間介電質(ILD),其中第一硬遮罩層位於ILD之頂表面上。某些實施例包括位於ILD中的一或多條第一互連線與定位於每一條第一互連線上的第一介電質頂蓋。例如,第一介電質頂蓋的表面可接觸第一硬遮罩層的頂表面。實施例也可包括ILD中的一或多條第二互連線,與第一互連線呈交替的式樣配置。在實施例中,第二介電質頂蓋係形成在每一條第二互連線的頂表面之上。例如,第二介電質頂蓋的表面接觸第一硬遮罩層的頂表面。

Description

產生具有增加重疊邊界的交替硬遮罩覆蓋互連結構之新穎方法
通言之,本發明之實施例與半導體裝置之製造有關。特別是,本發明之實施例與半導體裝置的互連結構及製造該等裝置的方法有關。
現代化的積體電路使用導電的互連層來連接晶片上的各個裝置,及/或用來送出及/或接收外部裝置的信號。一般類型的互連層包括耦接到各個裝置的銅與銅合金互連線,包括經由貫通孔互連的其它互連線。具有多層互連的積體電路經常可見。例如,藉由介電材料分隔開的兩或多層互連層。將互連層分隔開的介電層通常稱為層間介電質(ILD)。
為了適應較小晶片之需要,當製造這些具有較小互連線間距的互連層時,正確地對準貫通孔與所需之互連層變得格外的困難。特別是,在製造期間,由於固有的製造變異,貫通孔邊緣關於其所接觸之互連層或互連線的位置會 錯位。不過,貫通孔必須允許一互連層之互連線到達所需之下層或線的連接,而不會錯誤地連接到不同的互連層或線。如果貫通孔錯位且接觸錯的金屬部件,則晶片會短路而導致電性能退化。解決此問題的一辦法是縮小貫通孔的尺寸,例如,使貫通孔較窄。不過,縮小貫通孔尺寸導致電阻增加,並降低製造期間的良率。
100‧‧‧互連結構
101‧‧‧互連結構
102‧‧‧互連結構
103‧‧‧層間介電質
105‧‧‧第一硬遮罩層
121‧‧‧第一互連線
122‧‧‧第二互連線
123‧‧‧第一貫通孔
124‧‧‧第二貫通孔
125‧‧‧第一介電質頂蓋
126‧‧‧第二介電質頂蓋
136‧‧‧蝕刻阻擋襯層
132‧‧‧介電質頂蓋的頂表面
180‧‧‧第二互連層
137‧‧‧第一介電質頂蓋
139‧‧‧選擇性頂蓋
146‧‧‧第二介電質頂蓋
203‧‧‧層間介電質
205‧‧‧第一硬遮罩層
209‧‧‧間隔件
216‧‧‧主幹層
241‧‧‧第一溝槽
230‧‧‧開口
235‧‧‧碳硬遮罩
242‧‧‧第一貫通孔開口
221‧‧‧第一互連線
222‧‧‧第二互連層
223‧‧‧第一貫通孔
232‧‧‧第一互連線的頂表面
243‧‧‧第二溝槽
244‧‧‧第二貫通孔開口
239‧‧‧選擇性頂蓋
224‧‧‧第二貫通孔
248‧‧‧第一聚合物區
249‧‧‧第二聚合物區
226‧‧‧第二介電質頂蓋
292‧‧‧開口
293‧‧‧開口
225‧‧‧第一介電質頂蓋
236‧‧‧蝕刻阻擋襯層
280‧‧‧第二間介電質層
300‧‧‧互連結構
321‧‧‧第一互連線
324‧‧‧第二貫通孔
325‧‧‧第一介電質頂蓋
326‧‧‧第二介電質頂蓋
336‧‧‧蝕刻阻擋襯層
381‧‧‧第二層間介電質
385‧‧‧犧牲材料
396‧‧‧第一開口
397‧‧‧第二開口
405‧‧‧第一硬遮罩層
409‧‧‧間隔件
421‧‧‧第一互連線
443‧‧‧第二溝槽
444‧‧‧第二貫通孔開口
435‧‧‧犧牲的硬遮罩材料
437‧‧‧第一介電質頂蓋
422‧‧‧第二互連線
424‧‧‧第二貫通孔
452‧‧‧導電材料
471‧‧‧凹部
446‧‧‧第二介電質頂蓋
503‧‧‧層間介電質
505‧‧‧第一硬遮罩層
509‧‧‧間隔件
516‧‧‧主幹
521‧‧‧第一互連線
523‧‧‧第一貫通孔
572‧‧‧凹部
539‧‧‧選擇性頂蓋
548‧‧‧第一聚合物區
549‧‧‧第二聚合物區
588‧‧‧開口
567‧‧‧犧牲的硬遮罩材料
589‧‧‧開口
543‧‧‧第二溝槽
522‧‧‧第二互連線
525‧‧‧第一介電質頂蓋
526‧‧‧第二介電質頂蓋
1000‧‧‧轉接板
1002‧‧‧第一基板
1004‧‧‧第二基板
1006‧‧‧球柵陣列
1008‧‧‧金屬互連
1010‧‧‧貫通孔
1012‧‧‧矽穿孔通路
1014‧‧‧內嵌的裝置
1200‧‧‧計算裝置
1202‧‧‧積體電路晶粒
1204‧‧‧中央處理單元
1206‧‧‧晶粒內建記憶體
1208‧‧‧通訊晶片
1210‧‧‧揮發性記憶體
1212‧‧‧非揮發性記憶體
1214‧‧‧圖形處理單元
1216‧‧‧數位信號處理器
1220‧‧‧晶片組
1222‧‧‧天線
1224‧‧‧觸控螢幕顯示器
1226‧‧‧觸控螢幕控制器
1228‧‧‧電池
1230‧‧‧羅盤
1232‧‧‧移動協同處理器或感測器
1234‧‧‧喇叭
1236‧‧‧照相機
1238‧‧‧使用者輸入裝置
1240‧‧‧大量儲存裝置
1242‧‧‧密碼處理器
1244‧‧‧全球定位系統裝置
圖1A係按照實施例之互連結構的橫斷面圖示,其包括形成在第一硬遮罩層上的第一介電質頂蓋與第二介電質頂蓋。
圖1B係按照額外實施例之互連結構的橫斷面圖示,其包括形成在第一硬遮罩層上的第一介電質頂蓋與第二介電質頂蓋。
圖1C係按照實施例之互連結構的橫斷面圖示,其包括形成在第一硬遮罩層上的第一介電質頂蓋與第二介電質頂蓋及形成在第一介電質頂蓋與第二介電質頂蓋之間的蝕刻阻擋襯層。
圖2A-2P係按照實施例的橫斷面圖示,其說明形成具有第一與第二介電質頂蓋之互連結構的方法。
圖3係按照實施例的橫斷面圖示,其說明形成在包括有第一與第二介電質頂蓋之互連結構中的接觸開口。
圖4A-4H係按照實施例的橫斷面圖示,其說明形成具有第一與第二介電質頂蓋之互連結構的方法。
圖5A-5K係按照實施例的橫斷面圖示,其說明形成具有第一與第二介電質頂蓋之互連結構的方法。
圖6係實施本發明之一或多個實施例之轉接板的橫斷面圖示。
圖7係按照本發明之實施例所建構之計算裝置的概示圖。
【發明內容及實施方式】
本文描述包括互連結構的系統,該互連結構允許密間距之互連線的接觸形成,及形成此等裝置的方法。在以下的描述中,將使用此領域之習知技藝者用來傳達他們工作之內容給此領域其它習知技藝者所共同使用的詞彙來描述說明性實施的各種態樣。不過,熟悉此領域之習知技藝者將可明瞭,僅以所描述之態樣中的一些態樣即可實行本發明。基於解釋之目的,為了提供對說明性實施之徹底的瞭解,文中陳述了特定的數字、材料、及組態。不過,熟悉此領域之習知技藝者將可明瞭,實行本發明不需要這些特定的細節。在其它例中,為了不致模糊了說明性的實施,習知的特徵將予省略或簡化。
各種的操作將依次以多個分立的操作來描述,按此方式最有助於瞭解本發明,不過,不能將所描述的順序解釋成暗示這些操作必然是按此順序。特別是,這些操作不需按出現的順序來實施。
本發明的實施可在基板上形成或進行,諸如半導體基 板。在一實施中,半導體基板可以使用大塊矽形成的結晶基板或絕緣體上覆矽的子結構。在其它實施中,半導體基板可使用替代的材料來形成,其可以或不與矽結合,其包括但不限於鍺、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵、砷化銦鎵、銻化鎵、或III-V族或IV族材料的其它組合。在此雖然只描述了幾個用來形成基板的材料例子,但只要是能做為建構半導體裝置之基礎的任何材料都在本發明的範圍內。
圖1A係按照本發明之實施例之互連結構100的橫斷面圖示。互連結構100可用於利用一或多層互連層的任何半導體裝置,諸如IC電路或類似物。互連結構100係形成在層間介電質(ILD)103內。本發明的實施例利用低k的介電材料,都是習知技術中用做為ILD的典型材料,諸如二氧化矽。按照本發明的實施例,適合用來形成ILD 103的低k介電材料也包括但不限於諸如摻雜碳的二氧化矽、多孔二氧化矽、或氮化矽。本發明之額外的實施例可包括以k值低於5之介電材料所形成的ILD 103。實施例也可包括以k值低於2之介電材料所形成的ILD。按照額外的實施例,ILD 103可包括空氣間隙且具有1之k值。按照本發明之實施例,ILD 103之厚度可小於100奈米。按照額外的實施例,ILD 103之厚度可小於40奈米。本發明之額外的實施例可進一步包括厚度在40奈米至80奈米之間的ILD 103。額外的實施例包括厚度大約60奈米的ILD 103。
在實施例中,第一硬遮罩層105形成在ILD 103的頂表面上。舉例來說,第一硬遮罩層105可以是介電材料,諸如氮化物或氧化物。按照實施例,第一硬遮罩層105係阻擋用來蝕刻穿過第二互連層180的蝕刻處理,諸如用來形成互連的額外ILD層,其可形成在第一硬遮罩層105之上。本發明的實施例包括厚度在3奈米至10奈米之間的第一硬遮罩層105。
按照實施例,互連結構100包括以交替方式形成在ILD 103內的第一與第二互連線121、122,如圖1A所示。第一與第二互連線121、122係以導電材料來形成。舉例來說,且非藉其限制,用來形成互連線的導電材料可包括Ag、Au、Co、Cu、Mo、Ni、NiSi、Pt、Ru、TiN、或W。在實施例中,係使用相同的導電材料來形成第一與第二互連線121、122。按照替代的實施例,係使用不同的導電材料來形成第一與第二互連線121、122。
互連線121、122彼此分開一間距P。本發明的實施例包括間距P小於60奈米的高密度互連線。本發明的另些實施例包括小於30奈米的間距P。本發明的實施例包括寬度W小於30奈米的互連線。本發明的額外實施例包括寬度W小於15奈米的互連線。在實施例中,第一與第二互連線121、122具有與第一硬遮罩層105之頂表面實質共平面的頂表面。
按照實施例,第一互連線121可進一步包括選擇性頂蓋139。選擇性頂蓋139可以是導電材料,其用來劃分第 一互連線121與第二互連線122的表面。利用外露表面的差異來確保定向自聚合(DSA)製程期間聚合物之正確的分離,第一介電質頂蓋125與第二介電質頂蓋126即形成在DSA製程所形成的圖案中。以下將參考圖2A-2P更詳細描述DSA製程。在實施例中,選擇性頂蓋139係形成在餘留於最終結構中的第一互連線121之上,如用來形成互連結構100之製程操作的餘留物。舉例來說,並非用來限制,選擇性頂蓋139可以是金屬,諸如鎢(W)、氧化物諸如氧化鉿(HfOx)、或它們的合金,諸如鎢與鈷的合金。在某些實施例中,選擇性頂蓋具有與用於第一與第二互連線121、122之金屬不同的電阻係數。當選擇性頂蓋139使用鎢時,其電阻係數高於很多常用之互連材料(諸如銅)的電阻係數。因此,使選擇性頂蓋的厚度最小化為有利。在實施例中,選擇性頂蓋139的厚度小於第一硬遮罩層105的厚度。在特定的實施例中,選擇性頂蓋139的厚度可小於10奈米。額外的實施例可包括厚度小於5奈米的選擇性頂蓋139。
按照實施例,第一介電質頂蓋125可形成在第一互連線121上,及第二介電質頂蓋126可形成在第二互連線122上。如圖示說明,第一與第二介電質頂蓋125、126係形成在第一硬遮罩層105上。因此,不需要為了形成介電質頂蓋而使第一與第二互連線有深的金屬凹入。本發明的實施例依靠DSA製程來在第一硬遮罩層105上形成圖案,並在圖案中形成第一與第二介電質頂蓋125、126, 用來取代使用其中形成有第一與第二互連線121、122的溝槽來對準介電質頂蓋。由於介電質頂蓋係定位在其內形成有第一與第二互連線的溝槽上,因此,本發明的實施例可包括橫向延伸超過互連線之邊緣的第一與第二介電質頂蓋125、126。就此而論,實施例可包括與第一硬遮罩層105之頂表面直接接觸的第一與第二介電質頂蓋125、126。
本發明的實施例包括由介電材料製成的第一與第二介電質頂蓋125、126,諸如SiOXCYNZ、SiOXCY;非導電金屬氧化物與氮化物,諸如但不限於TiO、ZrO、TiAlZrO、AlO;或有機材料。按照實施例,第一介電質頂蓋125與第二介電質頂蓋126係由不同的材料製成。例如,第一介電質頂蓋125係由蝕刻處理期間之選擇性高於第二介電質頂蓋126的材料製成。如本文所使用,在給定的蝕刻處理期間,當第一材料具有高於第二材料的選擇性時,第一材料係以比第二材料快的速率被蝕刻。例如,對於給定的蝕刻處理,第一介電質頂蓋125對第二介電質頂蓋可具有大約10:1或更大的蝕刻選擇性(即,對於給定的蝕刻處理,蝕刻第一介電質頂蓋的速率大約是蝕刻第二介電質頂蓋之速率的10倍)。按照額外的實施例,第二介電質頂蓋126係由選擇性高於第一介電質頂蓋125之材料製成。例如,對於給定的蝕刻處理,第二介電質頂蓋126對第一介電質頂蓋125可具有大約10:1或更大的蝕刻選擇性。在本發明的額外實施例中,包括以在蝕刻處理期間之選擇 性高於第一硬遮罩層105的材料來形成第一與第二介電質頂蓋125、126。舉例來說,對於給定的蝕刻處理,第一與第二介電質頂蓋兩者對第一硬遮罩層105可具有大約10:1或更大的蝕刻選擇性。
本發明的實施例也包括關於蝕刻阻擋襯層136具有選擇性蝕刻的第一與第二介電質頂蓋125、126。按照實施例,蝕刻阻擋襯層136可以是沉積在一或多個第一與第二介電質頂蓋125、126之表面上及第一硬遮罩層105上的共形層。舉例來說,蝕刻阻擋襯層136可具有大約5奈米或更薄的厚度。額外的實施例包括厚度介於大約2.0奈米與3.0奈米之間的厚度之蝕刻阻擋襯層136。蝕刻阻擋襯層136可以是介電材料。舉例來說,蝕刻阻擋襯層可以是氧化鋁或氧化鉿。舉例來說,蝕刻阻擋襯層可具有對於第一與第二介電質頂蓋大約10:1或更大的蝕刻選擇性。本發明的額外實施例包括的蝕刻阻擋襯層具有對於第一與第二介電質頂蓋大約20:1或更大的蝕刻選擇性。例如,第一與第二介電質頂蓋可以是易被乾蝕刻處理去除的材料,然而,蝕刻阻擋襯層136係對乾蝕刻處理具有抵抗力的材料,但可被濕蝕刻處理選擇性地去除。
利用關於第一與第二介電質頂蓋125、126具有高蝕刻選擇性之蝕刻阻擋襯層136的實施例提供了額外的優點。例如,在實施例中,蝕刻阻擋襯層136係形成在第一介電質頂蓋125或第二介電質頂蓋126的頂表面132上,第一與第二介電質頂蓋125、126之間的蝕刻選擇性不需 要如此高。由於蝕刻阻擋襯層136在蝕刻處理中保護一組介電質頂蓋同時露出另一組介電質頂蓋,因此,第一與第二介電質頂蓋125、126之間的蝕刻選擇性可降低。
例如,在圖1A中,第一介電質頂蓋125不被蝕刻阻擋襯層136覆蓋,而第二介電質頂蓋126被蝕刻阻擋襯層136覆蓋。因此,第一與第二介電質頂蓋125、126關於彼此的蝕刻選擇性可小於大約10:1。在某些實施例中,第一與第二介電質頂蓋125、126可以是相同的材料,因此,彼此之間沒有蝕刻選擇性。雖然圖1A中說明的第二介電質頂蓋126被覆蓋,但須理解,實施例並不限於此等架構。另些實施例也可包括第二介電質頂蓋126被蝕刻阻擋襯層136覆蓋而第一介電質頂蓋125不被蝕刻阻擋襯層136覆蓋。
按照實施例,互連結構100可進一步包括一或多個第一貫通孔123及/或一或多個第二貫通孔124。按照本發明的實施例,第一與第二貫通孔123、124係被整合在第一與第二互連線121、122的交替式樣內。就此而論,在本發明的實施例中,第一貫通孔123係形成在原本要形成第一互連線121之處。同樣地,實施例包括第二貫通孔124係形成在原本要形成第二互連線122之處。
第一貫通孔123與第一互連線121實質上類似,除了所形成的孔係一路延伸貫穿ILD 103。因此,第一貫通孔123提供穿過ILD 103電性連接下層的能力。例如,與下層的電性連接可連接到需要電性連接的導電線、電晶體裝 置的源極/汲極接點、或半導體裝置的任何其它部件。同樣地,第二貫通孔124與第二互連線122實質上類似,除了所形成的孔係一路延伸貫穿ILD 103。同樣地,第二貫通孔124提供穿過ILD 103電性連接下層的能力。為了避免模糊了本發明,本發明之圖中所呈現的圖示省略了可被第一與第二貫通孔接觸到的下層結構。此外,須理解,第一與第二貫通孔123、124可以不沿著其中形成該等第一與第二貫通孔123、124之溝槽的整個長度延伸(即在第三維度中(進入及離開頁面),第一與第二貫通孔123、124可僅沿著部分的溝槽形成,而剩餘的溝槽可形成至深度實質上類似於第一與第二互連線121、122)。
本發明的實施例進一步包括形成在包含第一與第二貫通孔123、124之溝槽上的第一與第二介電質頂蓋125、126,其實質地類似於前文關於在第一與第二互連線121、122之上形成介電質頂蓋的那些描述。在實施例中,也在第一貫通孔123的頂表面上及在第三維度中填充溝槽之導電材料(例如,第一互連線121)的頂表面上形成選擇性頂蓋139,如前所述。在第一貫通孔123上提供選擇性頂蓋139係確保用來形成第一與第二介電質頂蓋的DSA圖案化製程也在貫通孔上連續。
現參考圖1B,圖示說明按照額外實施例的互連結構101。除了省略了選擇性頂蓋及第一介電質頂蓋137與第二介電質頂蓋146的形狀之外,互連結構101與圖1A中圖示說明的互連結構100實質上類似。可以省略選擇性頂 蓋139是因為用來形成介電質頂蓋的處理不依靠DSA製程。第一介電質頂蓋137可以是僅在第一互連線121上選擇性地沉積或生長金屬氧化物來取代。舉例來說,適合的氧化物可包括氧化鉿、氧化鋯、氧化鈦、氧化鋁、或類似物。本發明的實施例包括第二介電質頂蓋146,其以關於第一介電質頂蓋137具有選擇性蝕刻的材料來形成。
按照實施例,第一介電質頂蓋137的形狀乃視氧化物的生長或沉積特性而定。如圖示說明,第一介電質頂蓋可形成為“鉚釘頭”形狀。不過,須瞭解,第一介電質頂蓋137之確切的生長式樣並不限於圖1B中所說明的式樣。在實施例中,互連結構101中之第一介電質頂蓋的特徵為具有非實質垂直的側壁。在實施例中,第一介電質頂蓋137也在第一互連線與第一貫通孔處附近的第一硬遮罩層105的頂表面上延伸。
按照實施例,第二介電質頂蓋的特徵也為具有非實質垂直的側壁。在實施例中,第二介電質頂蓋146具有側壁,其特徵為具有與第一介電質頂蓋之側壁互補的形狀。在說明性的實施例中,第二介電質頂蓋146具有的形狀實質上是“底朝上的鉚釘頭”。本發明的額外實施例可包括互連結構101,其包括凹入到低於第一硬遮罩層105之頂表面的第二互連線122。在此等實施例中,第二介電質頂蓋之側壁的特徵為第一部分實質地垂直而第二部分非實質地垂直。側壁的垂直部分係由其中形成有第二互連線122之溝槽的側壁所定義,而第二部分係由第一介電質頂蓋137 之側壁的形狀所定義。
現參考圖1C,圖示說明按照額外實施例的互連結構102。除了額外的蝕刻阻擋襯層136之外,互連結構102實質上類似於圖1B中說明的互連結構101。按照實施例,圖1C中所說明的蝕刻阻擋襯層136與前文關於圖1A中描述的蝕刻阻擋襯層實質上類似,且因此不再重複。
按照本發明之實施例的互連結構可按照關於圖2A-2P所描述的製程來製造。現參考圖2A,圖中顯示ILD層203。舉例來說,ILD層203可以是本項技術中已知的任何ILD材料,諸如摻雜碳的二氧化矽、多孔的二氧化矽、或氮化矽。按照實施例,諸如氮化物或氧化物材料的第一硬遮罩層205可形成在ILD層203上。按照實施例,ILD層203可形成在一或多個額外的互連結構(未顯示)上,或者ILD層203可形成在裝置基板上,諸如其上形成有電路系統的半導體基板上(未顯示)。
主幹層216可形成在第一硬遮罩層205上。主幹層216可以是適合硬遮罩層之形成的任何材料,諸如非晶矽、多晶矽、非晶碳、氮化矽、碳化矽、鍺、或類似物。主幹層216可用任何慣用的圖案化製程來圖案化,諸如光刻、蝕刻、與濕式清洗。在特定的實施例中,為了得到所要的間距,主幹層216係以多次圖案化製程來形成。
現參考圖2B,沿著主幹216的側壁來形成間隔件209。可用間隔件形成沉積與蝕刻製程來形成間隔件209。例如,可在主幹216與第一硬遮罩層205的表面上 全面式沉積間隔件材料的共形層。在全面式沉積之後,可實施間隔件形成蝕刻。實施例包括各向異性乾蝕刻處理,其選擇性地去除沉積層中形成在水平表面上的部分,藉以留下沿著主幹216之側壁的間隔件209。按照實施例,間隔件209可以是共形材料,諸如但不限於SiO2、SiN、HfO2、TiO、ZrO、AlN、AlO、及這些材料的組合。按照本發明的實施例,用來形成主幹216的材料,可具有在給定的蝕刻處理期間高於用來形成間隔件209之材料的蝕刻選擇性。按照此等實施例,間隔件209能抵抗將主幹216迅速蝕離的蝕刻處理。舉例來說,當主幹216是以非晶矽製成時,則間隔件209可由氧化鈦製成。
現參考圖2C,使用第一溝槽蝕刻製程來形成穿過第一硬遮罩層205且進入到ILD 203的第一溝槽241。為了提供第一溝槽241之間適當的間隔並形成具有所需寬度W的第一溝槽241,第一溝槽蝕刻製程利用間隔件209做為遮罩。按照本發明的實施例,寬度W小於大約30奈米。本發明的額外實施例包括小於15奈米的寬度W。在實施例中,第一溝槽241可具有介於大約20奈米至大約60奈米之間的深度。本發明的額外實施例包括形成深度大約40奈米的第一溝槽241。
現參考圖2D,按照本發明的實施例,可實施貫通孔遮罩製程。在溝槽241內與間隔件209上形成碳硬遮罩235。如圖2D中之說明,將碳硬遮罩235圖案化以在其中一個第一溝槽241上形成開口230。按照實施例,由於僅 形成了第一溝槽241,因此,關於定義碳硬遮罩邊緣之可容許的誤差容限大約是間距P的四分之三。就此而論,碳硬遮罩235的邊緣可被標定著陸在緊鄰所需貫通孔開口230所在位置之主幹216的中心。例如,如果將於隨後形成在主幹216下方的第二溝槽244已被形成,則硬遮罩235的邊緣需要位於緊鄰之間隔件209的中心上,而非位於緊鄰之主幹216的中心上。就此而論,本發明的實施例容許碳硬遮罩235中之開口尺寸的變異,且硬遮罩235之開口的相對布局大約是目前習知技術的3倍大。特別是,本發明的實施例允許圖案化之碳硬遮罩235之側壁的對齊誤差大約是間距P的四分之三,然而,目前的習知技術僅允許間距P之四分之一的對齊誤差。
現參考圖2E,以貫通孔蝕刻處理蝕刻穿過第一溝槽之底部下方的ILD 203剩餘部分,以形成第一貫通孔開口242。須瞭解,由於第一貫通孔開口242係穿過第一溝槽之底部而形成,因此,貫通孔開口係與第一溝槽241自對齊。第一貫通孔開口242可提供與ILD 203下方之層或特徵的連接。在實施例中,例如,貫通孔蝕刻處理也蝕刻穿過諸如一或多層下方的互連層及蝕刻阻擋層(未顯示)。雖然只顯示了單個第一貫通孔開口242,但實施例也包括具有多於一個第一貫通孔開口242的互連層。在貫通孔蝕刻處理之後,接著去除碳硬遮罩235的剩餘部分。
現參考圖2F,按照實施例,在第一溝槽241內形成導電層以形成第一互連線221與第一貫通孔223。本發明 的實施例包括以導電材料形成的第一互連線221與第一貫通孔223,其可以是用於互連線的任何導電材料,諸如Ag、Au、Co、Cu、Mo、Ni、NiSi、Pt、Ru、TiN、或W。實施例包括以習知技術的沉積處理將導電材料沉積到第一溝槽241與第一貫通孔開口242內,諸如但不限於化學氣相沉積(CVD)、原子層沉積(ALD)、或電鍍。按照實施例,為了去除金屬沉積所外溢的材料,第一互連線221的頂表面232與間隔件209的頂表面可一起被平坦化。按照實施例,平坦化可以實施諸如化學機械平坦化(CMP)或蝕刻處理等處理。
現參考圖2G,蝕離主幹216並在ILD 203中形成第二溝槽243與第二貫通孔開口244。按照實施例,間隔件209的剩餘部分提供用於蝕刻第二溝槽243與第二貫通孔開口244的遮罩層。按照實施例,第二溝槽243的深度可與第一溝槽241的深度實質上類似。按照另些實施例,第二溝槽243的深度可大於或小於第一溝槽241的深度。按照實施例,用於形成第二貫通孔開口244的製程與用於形成第一貫通孔開口242所使用的製程實質上類似,如前文關於圖2D與2E之描述,且因此在此不再重複。
現參考圖2H,在第二溝槽243與第二貫通孔開口244內沉積硬遮罩材料235。在實施例中,例如,可用CMP處理或以蝕刻處理來去除來自沉積處理的任何表面覆蓋。
現參考圖2I,往回研磨互連結構以去除間隔件209。例如,研磨處理可以是CMP處理。在研磨處理期間,第 一互連線221與第一貫通孔223被凹入,並在它們的頂表面上形成選擇性頂蓋239。舉例來說,藉由增加化學機械研磨操作的化學部分,可選擇性地使金屬材料凹入。舉例來說,可藉由工業中各種習知的技術來沉積選擇性頂蓋239,其可包括物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)、或類似方法。選擇性頂蓋239可以是導電材料,其用來劃分第一互連線221的表面與將要在後續處理操作中形成的第二互連線222的表面。不同的表面被利用來確保定向自聚合(DSA)製程期間聚合物之正確的分離,DSA製程係用來形成圖案,而第一介電質頂蓋225與第二介電質頂蓋226即形成在此圖案中。按照實施例,選擇性頂蓋係任何的導電材料,其具有與用來形成第二互連線222之材料的表面化性不同的表面化性。在實施例中,選擇性頂蓋239可被改變以具有不同的極性、表面能量、或具有配置於其頂表面之上的刷狀層。在特定的實施例中,聚苯乙烯(PS)刷(未顯示)被選擇性地接枝到選擇性頂蓋239上。舉例來說,且非藉此限制,選擇性頂蓋可以是金屬,諸如鎢(W);諸如氧化鉿(HfOX)的氧化物;或它們的合金,諸如鎢與鈷的合金。
在某些實施例中,選擇性頂蓋具有與用於第一互連線221之金屬不同的電阻係數。例如,當選擇性頂蓋239使用鎢時,它的電阻係數高於很多常用之互連材料(諸如銅)的電阻係數。因此,使選擇性頂蓋的厚度最小化為有 利。在實施例中,選擇性頂蓋239的厚度可小於第一硬遮罩層205的厚度。在特定的實施例中,選擇性頂蓋239的厚度可小於10奈米。額外的實施例可包括厚度小於5奈米的選擇性頂蓋239。在額外的實施例中,可在選擇性頂蓋239之上配置犧牲的硬遮罩層(未顯示),以在用來形成第二互連線222的金屬沉積與研磨操作期間保護頂蓋。
現參考圖2J,去除硬遮罩235。例如,以灰化處理來去除硬遮罩235。此外,以導電材料填充第二溝槽243與第二貫通孔開口244,並研磨任何的表面覆蓋物以形成第二互連線222與第二貫通孔224。在實施例中,該導電材料與用來形成第一互連線221的導電材料相同。在另外的實施例中,該導電材料與用來形成第一互連線221的金屬不同。舉例來說,導電材料可以是典型上用於互連線的任何金屬,諸如銅、鈷、鎢、釕、或類似材料。在選擇性頂蓋239上利用犧牲硬遮罩的實施例中,為了露出選擇性頂蓋239,在第二互連線222的平坦化之後可實施濕或乾蝕刻處理。
現參考圖2K,DSA層包括形成在互連結構之頂表面上的第一聚合物區248與第二聚合物區249。DSA層經過選擇,以使得第一聚合物區選擇性地形成在選擇性頂蓋239上,及第二聚合物區選擇性地形成在第二互連線222上。按照實施例,DSA層係雙嵌段共聚物。舉例來說,該雙嵌段共聚物係聚苯乙烯-嵌段-聚甲基丙烯酸甲酯(PS-b-PMMA)。在此實施例中,PS部分(即第一聚合物區 248)被驅使朝向選擇性頂蓋239,而PMMA部分(即第二聚合物區249)被驅使離開選擇性頂蓋239。在選擇性頂蓋239之表面上形成有PS刷的實施例中,可進一步增進PS部分248與PMMA部分249的分離。例如,PS刷之尾端上的硫醇基化性可將PS部分248吸引到選擇性頂蓋239。雖然使用硫醇基化學性質做為例示性實施例,但其它的尾端化性也可選擇性地吸引第一聚合物區248(例如,膦酸選擇性地吸引PS部分248)。按照實施例,也可藉由將DSA層退火來驅使或加速DSA層的分離。雖然以PS-b-PMMA為例示性實施例來描述,但須瞭解,也可使用很多不同的材料,諸如雙嵌段共聚物、三嵌段共聚物(例如,ABA或ABC)、或自分離的同元聚合物組合。
現參考圖2L,選擇性地去除第二聚合物區249。例如,以濕或乾蝕刻化學來去除第二聚合物區249。在利用PS-b-PMMA雙嵌段共聚物的實施例中,濕或乾蝕刻處理將選擇性去除PMMA部分249。第二聚合物區249的去除導致開口292的形成,其係選擇性地形成在第二互連線222上,而第一互連線保持被第一聚合物區248覆蓋。
現參考圖2M,以第二介電質頂蓋226填充開口292。例如,可用CVD、PVD、或旋鍍處理來沉積第二介電質頂蓋226。按照實施例,可用平坦化處理(諸如CMP處理)來凹入任何表面覆蓋的材料,因此,第二介電質頂蓋226的頂表面與第一聚合物區248的頂表面實質上共平面。本發明實施例的第二介電質頂蓋226可利用諸如 SiOxCyNz、SiOXCY、非導電金屬氧化物、或金屬氮化物等材料。本發明的另些實施例可為第二介電質頂蓋226選擇蝕刻選擇性高於第一硬遮罩層205的材料。
現參考圖2N,去除第一聚合物區248。例如,可用灰化處理來去除第一聚合物區248。就此而論,開口293形成在第一介電質頂蓋221與第一貫通孔223之上。
現參考圖2O,在開口293內沉積介電材料以形成第一介電質頂蓋225。例如,可用CVD、PVD、或旋鍍處理來沉積第一介電質頂蓋225。本發明之實施例的第一介電質頂蓋225可利用諸如SiOxCyNz、SiOXCY、非導電金屬氧化物、或金屬氮化物等材料。本發明的另些實施例可為第一介電質頂蓋225選擇蝕刻選擇性高於第一硬遮罩層205的材料。按照實施例,在為第一介電質頂蓋225沉積第一介電材料之前,可先在第二介電質頂蓋226、第一硬遮罩205、及選擇性頂蓋239的表面上形成蝕刻阻擋襯層236。
現參考圖2P,可用平坦化處理(諸如CMP處理)來凹入來自第一介電質頂蓋的任何表面覆蓋材料,因此,第一介電質頂蓋的頂表面與蝕刻阻擋襯層236的頂表面實質上共平面。在省略了蝕刻阻擋襯層236的實施例中,第一介電質頂蓋225的頂表面與第二介電質頂蓋226的頂表面實質上共平面。之後,按照實施例,可在頂表面上沉積第二ILD層280,以允許圖案化額外的互連層。
本發明的實施例允許增進密間距之互連線的接觸形 成。如前所述,密間距之互連線越發需要精密的對齊以與所需的互連線接觸。不過,如圖3中所說明的接觸形成製程,包括第一與第二介電質頂蓋325、326及蝕刻阻擋襯層336的互連結構,由於介電質頂蓋、硬遮罩層、及蝕刻阻擋襯層的蝕刻選擇性,允許接點變得較寬,且具有較大的對齊誤差裕度。
現參考圖3,圖中說明的互連結構300與圖1A中的互連結構100實質上類似。互連結構300進一步包括形成在第二ILD 381上的犧牲材料385。犧牲材料385可被圖案化(例如,以光刻製程)以形成第一開口396與第二開口397。第一開口396與第二開口397的圖案可用蝕刻處理轉移到第二ILD 381內。蝕刻處理也可選擇性地去除形成在開口內之外露的第一介電質頂蓋325。即使每一個開口內的第二介電質頂蓋326與蝕刻阻擋襯層336也都外露,但由於第一電質頂蓋325、第二介電質頂蓋326、及蝕刻阻擋襯層336之間的蝕刻選擇性,蝕刻處理可僅選擇性地去除第一電質頂蓋325。
蝕刻選擇性允許第一與第二開口具有大於間距P的寬度WO。例如,第一開口在相鄰的第二貫通孔324上延伸,及第二開口在兩鄰接的互連上延伸。不過由於蝕刻的選擇性,僅第一互連線321會被接觸到。如圖示說明,蝕刻阻擋襯層336仍形成在第一互連線上。因此,要實施額外的蝕刻處理以選擇性地去除蝕刻阻擋襯層336。由於蝕刻阻擋襯層336對於第二介電質頂蓋326具有高的選擇 性,因此,蝕刻處理允許僅露出第一互連線321。例如,可以用濕式蝕刻處理來蝕刻蝕刻阻擋襯層336,該處理不會明顯地去除第二介電質頂蓋326。因此,接點的寬度可以比其它方式來得大,且錯位的誤差不會導致與鄰近互連的意外短路。接點之較大的寬度也放寬了對於製造設備的要求,且可提供較高的良率。
按照本發明的實施例,圖1B與1C中說明的互連結構101及/或102可按照關於圖4A-4H所描述的製程來製造。現參考圖4A,圖中說明的結構與圖2G中所說明的實質上類似。因此,用來形成圖4A中所說明之結構的製程操作也與前文關於圖2A-2G所描述的那些實質上類似,且因此在此不再重複。現參考圖4B,在第二溝槽443與第二貫通孔開口444內沉積犧牲的硬遮罩材料435。
現參考圖4C,往回研磨該互連結構以去除間隔件409及來自犧牲硬遮罩沉積製程的任何表面覆蓋材料435。例如,研磨處理可以是CMP處理。如圖示說明,第一互連線421、第一硬遮罩405、及犧牲的硬遮罩435的頂表面實質上彼此共平面。
現參考圖4D,第一介電質頂蓋437係形成在第一互連線421的頂表面上。在實施例中,第一介電質頂蓋437可以是金屬氧化物,其僅選擇性地沉積或生長在第一互連線421上。第一介電質頂蓋437選擇性地形成在第一互連線與貫通孔的金屬表面上,且不形成在非金屬的第一硬遮罩層405與犧牲的硬遮罩435上。舉例來說,適合的氧化 物可包括氧化鉿、氧化鋯、氧化鈦、氧化鋁、或類似材料。按照實施例,第一介電質頂蓋437的形狀可以視氧化物之沉積或生長特性而定。如圖示說明,第一介電質頂蓋可形成為“鉚釘頭”形狀。不過,須瞭解,第一介電質頂蓋437之確切的生長或沉積式樣並不限於圖4D中所說明的式樣。在實施例中,第一介電質頂蓋437的特徵為具有非實質垂直的側壁。在實施例中,第一介電質頂蓋437也在第一硬遮罩層405鄰近於第一互連線421處的頂表面上延伸。
現參考圖4E,去除硬遮罩435。例如,硬遮罩435可用灰化處理來去除。此外,以導電材料452來填充第二溝槽與第二貫通孔開口,以形成第二互連422與第二貫通孔424。在實施例中,導電材料452與用來形成第一互連421的導電材料相同。在額外的實施例中,導電材料452與用來形成第一互連421的導電材料不同。舉例來說,導電材料可以是典型上用於互連線的任何金屬,諸如銅、鈷、鎢、釕、或類似材料。
現參考圖4F,例如,以CMP處理往回研磨一部分的表面覆蓋的導電材料452。按照實施例,一旦露出第一介電質頂蓋437的頂表面即可停止研磨處理。不過,CMP處理的化學部分可凹入導電材料452,以使得所形成的導電材料頂表面係在第一介電質頂蓋437的頂表面之下。
現參考圖4G,回蝕剩餘的表面覆蓋導電材料452。在實施例中,蝕刻處理可在第二互連線422與第二貫通孔 424上形成凹部471。在實施例中,凹部露出部分的溝槽,第二互連線422與第二貫通孔424即形成在其中。舉例來說,蝕刻處理可以是濕或乾蝕刻處理,視導電材料所使用的材料而定。
現參考圖4H,在第二互連線422與第二貫通孔424上形成第二介電質頂蓋446。按照實施例,第二介電質頂蓋的特徵也為具有非實質垂直的側壁。例如,第二介電質頂蓋446具有的側壁其特徵為具有與第一介電質頂蓋之側壁互補的形狀。在說明性的實施例中,第二介電質頂蓋446具有實質上是“底朝上的鉚釘頭”的形狀。額外的實施例包括第二介電質頂蓋446之側壁具有第一部分為實質垂直而第二部分為非實質垂直的特徵。側壁的垂直部分係由其中形成有第二互連線422與第二貫通孔424之溝槽的側壁所定義,而第二部分係由第一介電質頂蓋137之側壁的形狀所定義。在實施例中,第二介電質頂蓋係關於第一介電質頂蓋437具蝕刻選擇性的任何介電材料。舉例來說,第二介電質頂蓋可以是SiC、SiN、SiOC、或旋塗的金屬氧化物,諸如ZrO、TiO、或類似材料。在實施例中,可往回研磨來自第二介電質頂蓋446之沉積的任何表面覆蓋材料,以使得第一介電質頂蓋437的頂表面與第二介電質頂蓋的頂表面實質共平面。按照額外的實施例,在沉積第二介電質頂蓋446之前,可先在第一介電質頂蓋437、第一硬遮罩層405、第二互連線422、及第二貫通孔424的表面上形成蝕刻阻擋襯層(未顯示)。在這些實施例中, 第二介電質頂蓋446的頂表面可與蝕刻阻擋襯層中形成在第一介電質頂蓋437之頂表面上的頂表面部分一起被平坦化。
現參考圖5A-5K,圖中說明用於形成在互連線上形成有第一介電質頂蓋與第二介電質頂蓋之互連結構的製程。按照此額外的實施例,為了在DSA層中形成可被用來蝕刻用於第二互連線之溝槽的圖案,使用DSA製程中的聚合物區自分離。
現參考圖5A,圖中說明的結構與圖2F中所說明的實質類似。因此,用來形成圖5A中所說明之結構的製程操作也與前文關於圖2A-2F所描述的那些實質類似,且因此在此不再重複。現參考圖5B,去除間隔件509與主幹516。例如,可用研磨處理(諸如CMP處理)將間隔件509與主幹516去除。研磨處理露出第一互連線521、第一貫通孔523、及第一硬遮罩層505。
現參考圖5C,在第一互連線521與第一貫通孔523內形成凹部。按照實施例,凹部572可致使第一互連線521和第一貫通孔523的頂表面與第一硬遮罩層505的底表面實質地共平面。在不同的實施例中,凹部572可致使第一互連線521與第一貫通孔523的頂表面高於或低於第一硬遮罩層505的底表面。
現參考圖5D,以選擇性頂蓋539填充凹部572。在實施例中,選擇性頂蓋539可與前文詳細描述的選擇性頂蓋139實質類似。例如,選擇性頂蓋可以是金屬,諸如鎢 (W)、氧化物諸如氧化鉿(HfOX)、或它們的合金,諸如鎢與鈷的合金。在實施例中,可藉由工業中各種習知的技術來沉積選擇性頂蓋539,其可包括物理氣相沉積(PVD)、化學氣相沉積(CVD)、原子層沉積(ALD)、或類似方法。此外,本發明的實施例包括的選擇性頂蓋539可實質上厚於前文關於圖1A所描述的選擇性頂蓋139。按照實施例,由於在最終的互連結構內不包括選擇性頂蓋539,因此,選擇性頂蓋539的厚度可厚於前述的選擇性頂蓋。就此而論,按照關於圖5A-K所描述的實施例,選擇性頂蓋539所增加的電阻係數不會有損於最終裝置的效率。
現參考圖5E,DSA層包括形成在互連結構之頂表面上的第一聚合物區548與第二聚合物區549。DSA層經過選擇,以使得第一聚合物區548選擇性地形成在選擇性頂蓋539上,及第二聚合物區549選擇性地形成在第一硬遮罩層505上。在一實施例中,也可以圖案相乘以使得第一聚合物區548與第二聚合物區549。例如,相位可與關於選擇性頂蓋539大於1:1的頻率對齊,然而由於DSA層微相分離的自然趨勢,依然仍保持周期性的幾何。在圖5E說明的實施例中,第一聚合物區548關於選擇性頂蓋539係以2:1的頻率重複。舉例來說,圖案相乘的角度可藉由調變DSA層中之聚合物的長度或藉由將DSA層退火加以修改。
按照實施例,DSA層係雙嵌段共聚物。舉例來說,該 雙嵌段共聚物係聚苯乙烯-嵌段-聚甲基丙烯酸甲酯(PS-b-PMMA)。在此實施例中,PS部分(即第一聚合物區548)被驅使朝向選擇性頂蓋539,而PMMA部分(即第二聚合物區549)被驅使離開選擇性頂蓋539。按照實施例,也可藉由將DSA層退火來驅使或加速DSA層的分離。雖然以PS-b-PMMA為例示性實施例來描述,但須瞭解,也可使用很多不同的材料,諸如雙嵌段共聚物、三嵌段共聚物(例如,ABA或ABC)、或自分離的同元聚合物組合。
現參考圖5F,選擇性地去除第二聚合物區549。例如,以濕或乾蝕刻化學性質來去除第二聚合物區549。在利用PS-b-PMMA雙嵌段共聚物的實施例中,濕或乾蝕刻處理將選擇性地去除PMMA部分549。第二聚合物區549的去除導致開口588的形成,其係選擇性地形成在第一硬遮罩層505上,而第一互連線保持被第一聚合物區548覆蓋。
現參考圖5G,以犧牲的硬遮罩材料567填充開口588。例如,犧牲的硬遮罩材料可以是用CVD處理所沉積的碳硬遮罩。在實施例中,犧牲的硬遮罩材料567與第一聚合物區548的頂表面一起被平坦化,例如,以CMP處理來平坦化。
現參考圖5H,去除第一聚合物區548。舉例來說,以對犧牲的硬遮罩材料567具選擇性的濕或乾蝕刻處理來去除第一聚合物區。在去除了第一聚合物區548之後,開口 589形成在第一互連線521與第一硬遮罩層505之上。
現參考圖5I,使用犧牲的硬遮罩材料567做為蝕刻遮罩,以穿過第一硬遮罩層505並進入ILD 503來選擇性地形成第二溝槽543。在實施例中,可用對於形成在第一互連線521上之選擇性頂蓋539具選擇性的濕或乾蝕刻處理來去除硬遮罩層505與ILD 503。因此,使用DSA製程來形成犧牲的硬遮罩567允許形成與第一互連線521呈交替式樣的第二溝槽。
現參考圖5J,在第二溝槽543內沉積導電材料以形成第二互連線522。在實施例中,可往回研磨或回蝕任何的表面覆蓋。在額外的實施例中,第二互連線522的頂表面可被凹入到低於第一硬遮罩層505。例如,蝕刻處理可選擇性地凹入第二互連線522而不實質地蝕離選擇性頂蓋539。
現參考圖5K,在每一條第二互連線522上形成第二介電質頂蓋526。例如,可用CVD、PVD、或旋附處理來沉積介電材料。按照實施例,可用平坦化處理(諸如CMP處理)來凹入任何表面覆蓋的材料,以使得第二介電質頂蓋526的頂表面與第一硬遮罩層505的頂表面實質共平面。本發明之實施例用於第二介電質頂蓋526的材料可利用諸如SiOxCyNz、SiOXCY、非導電金屬氧化物、或金屬氮化物。本發明的額外實施例可為第二介電質頂蓋526選擇蝕刻選擇性高於第一硬遮罩層505且高於第一介電質頂蓋525的材料。
在沉積了第二介電質頂蓋526之後,例如,以濕或乾蝕刻處理來去除選擇性頂蓋539。在實施例中,接著在第一互連線521與第一貫通孔523上形成第一介電質頂蓋525。例如,可用CVD、PVD、或旋附處理來沉積介電材料。按照實施例,可用平坦化處理(諸如CMP處理)來凹入任何表面覆蓋的材料,以使得第一介電質頂蓋525的頂表面與第一硬遮罩層505的頂表面實質共平面。本發明之實施例用於第一介電質頂蓋525的材料可利用諸如SiOxCyNz、SiOXCY、非導電金屬氧化物、或金屬氮化物。本發明的額外實施例可為第一介電質頂蓋525選擇蝕刻選擇性高於第一硬遮罩層505且高於第二介電質頂蓋526的材料。
因此,本發明的實施例允許按交替之式樣來形成第一互連線與第二互連線,且在其上形成蝕刻選擇性不同之介電質頂蓋的構造。為了形成第一互連線與第二互連線交替的式樣,本發明的實施例包括使用具有圖案相乘能力的DSA製程。
圖6說明包括本發明之一或多個實施例的轉接板1000。轉接板1000係用來將第一基板1002橋接至第二基板1004的中間基板。第一基板1002例如是積體電路晶粒。第二基板1004例如是記憶體模組、電腦主機板、或其它的積體電路晶粒。一般來說,轉接板1000的目的是將連接散開成較寬的間距,或將連接重新路由到不同的連接。例如,轉接板1000可將積體電路晶粒耦接到球柵陣 列(BGA)1006,其可接著耦接到第二基板1004。在某些實施例中,第一與第二基板1002/1004附接於轉接板1000的相對側。在其它實施例中,第一與第二基板1002/1004附接於轉接板1000的相同側。及在另些實施例中,三或更多的基板藉由轉接板1000互連。
轉接板1000可用環氧樹脂、玻璃纖維強化環氧樹脂、陶瓷材料、聚合物材料(諸如聚亞醯胺)來形成。在另些實施中,轉接板可由硬或軟的材料交替形成,這些材料可包括與上述半導體基板所用材料相同的材料,諸如矽、鍺、及其它III-V族或IV族材料。
轉接板可包括金屬互連1008與貫通孔1010,包括但不限於矽穿孔通路(TSV)1012。轉接板1000可進一步包括內嵌的裝置1014,包括被動與主動裝置。這些裝置可包括但不限於電容器、去耦電容器、電阻器、電感器、熔絲、二極體、變壓器、感測器、及靜電放電(ESD)裝置。更複雜的裝置,諸如射頻(RF)裝置、功率放大器、電源管理裝置、天線、陣列、感測器、及MEMS裝置等,也可形成在轉接板1000上。
按照本發明的實施例,本文揭示用於形成互連結構的設備或製程,該互連結構包括以DSA製程在硬遮罩層上所形成之交替的介電質頂蓋,其可用於轉接板1000之製造,或用於內嵌裝置1014的製造。
圖7說明按照本發明一實施例的計算裝置1200。計算裝置1200可包括若干組件。在一實施例中,這些組件 附接於一或多個主機板。在替代的實施例中,這些組件係製造在單片系統單晶片(SoC)晶粒上而非主機板上。計算裝置1200中的組件包括但不限於積體電路晶粒1202與至少一個通訊晶片1208。在某些實施例中,通訊晶片1208係被製造成為積體電路晶粒1202的一部分。積體電路晶粒1202可包括CPU 1204以及通常用作為快取記憶體的晶粒內建記憶體1206,其可由諸如內嵌式DRAM(eDRAM)或自旋轉移力矩記憶體(STTM或STTM-RAM)等技術來提供。
計算裝置1200包括可以與也可不與主機板實體及電耦接或製造在SoC晶粒內的其它組件。這些其它組件包括但不限於揮發性記憶體1210(例如,DRAM)、非揮發性記憶體1212(例如,ROM或快閃記憶體)、圖形處理單元1214(GPU)、數位信號處理器1216、密碼處理器1242(特殊的處理器,其在硬體內執行密碼演算法)、晶片組1220、天線1222、顯示器或觸控螢幕顯示器1224、觸控螢幕控制器1226、電池1228或其它電源、功率放大器(未顯示)、全球定位系統(GPS)裝置1228、羅盤1230、移動協同處理器或感測器1232(其可包括加速儀、陀螺儀、及羅盤)、喇叭1234、照相機1236、使用者輸入裝置1238(諸如鍵盤、滑鼠、電子筆、及觸控板)、大量儲存裝置1240(諸如硬式磁碟機、光碟(CD)、數位式光碟(DVD)、等)。
通訊晶片1208使往來於計算裝置1200的資料傳輸能 夠無線通訊。用語“無線”及其衍生詞可用來描述電路、裝置、系統、方法、技術、通訊通道等,其可透過使用經調變的電磁輻射經由非固態媒體來傳遞資料。此用語並非暗示相關聯的裝置不包含任何導線,雖然在某些實施例中可能沒有導線。通訊晶片1208可實施多種無線標準或協定,包括但不限於Wi-Fi(IEEE 802.11系列)、WiMAX(IEEE 802.16系列)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、以上這些的衍生物、以及被命名為3G、4G、5G、及以上的任何其它無線協定。計算裝置1200可包括複數個通訊晶片1208。例如,第一通訊晶片1208可專用於較短程無線通訊,諸如Wi-Fi與藍牙,及第二通訊晶片1208可專用於較長程無線通訊,諸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO及其它。
計算裝置1200的處理器1204包括一或多個裝置,諸如電晶體,其被耦接至互連結構中的一或多條互連線,按照本發明的實施例,該互連結構包括以DSA製程形成在硬遮罩層上之交替的介電質頂蓋。用語“處理器”意指任何裝置或裝置的一部分,其處理來自暫存器及/或記憶體的電子資料,並將該電子資料轉換成可以儲存在暫存器及/或記憶體中的其它電子資料。
通訊晶片1208也包括一或多個裝置,諸如電晶體,其被耦接至互連結構中的一或多條互連線,按照本發明的 實施例,該互連結構包括以DSA製程形成在硬遮罩層上之交替的介電質頂蓋。
在另些實施例中,安裝在計算裝置1200內的其它組件也包含一或多個裝置,諸如電晶體,其被耦接至互連結構中的一或多條互連線,按照本發明的實施例,該互連結構包括以DSA製程形成在硬遮罩層上之交替的介電質頂蓋。
在各種實施例中,計算系統1200可以是膝上型電腦、連網小電腦、筆記型電腦、超輕薄筆電、智慧型手機、平板電腦、個人數位助理(PDA)、超行動PC、行動電話、桌上型電腦、伺服器、印表機、掃瞄器、監視器、機上盒、娛樂控制單元、數位式攝影機、隨身聽、或數位式錄影機。在另些實施中,計算裝置1200可以是處理資料的任何其它電子裝置。
以上對於本發明之說明性實施的描述,包括摘要中的描述,並無意窮舉或限制本發明與所揭示的形式絲毫不差。雖然,基於說明之目的的,本文描述了本發明的特定實施與例子,但在本發明的範圍內可有各種相等的修改,如熟悉此領域之習知技藝者的認知。
按照以上的詳細描述可對本發明做這些修改。以下申請專利範圍中所使用的名詞不能被解釋成將本發明限制在說明書與申請專利範圍中所揭示的特定實施。反之,本發明的範圍完全是由以下的申請專利範圍來決定,其按照申請專利範圍闡釋所建立的文件來解釋。
本發明的實施例包括互連結構,其包含:層間介電質(ILD),其中第一硬遮罩層位於ILD之頂表面上;在ILD中的一或多條互連線;定位於每一條第一互連線上的第一介電質頂蓋,其中,第一介電質頂蓋的表面接觸第一硬遮罩層的頂表面;在ILD中的一或多條第二互連線,與第一互連線呈交替的式樣配置;以及,位於每一條第二互連線的頂表面之上的第二介電質頂蓋,其中,第二介電質頂蓋的表面接觸第一硬遮罩層的頂表面。額外的實施例包括互連結構,進一步包含定位於每一條第一互連線之頂表面上的選擇性頂蓋。額外的實施例包括互連結構,其中,選擇性頂蓋具有與第二互連線不同的表面化學性質。額外的實施例包括互連結構,其中,選擇性頂蓋係鵭(W)、HfOX、或鎢與鈷的合金。額外的實施例包括互連結構,其中,選擇性頂蓋具有的厚度小於第一硬遮罩層的厚度。額外的實施例包括互連結構,其中,選擇性頂蓋的厚度小於5奈米。額外的實施例包括互連結構,其中,第一與第二介電質頂蓋的側壁係實質地垂直。額外的實施例包括互連結構,其中,每一個第一介電質頂蓋的中線係與第一互連線的中線對齊。額外的實施例包括互連結構,其中,第一與第二介電質頂蓋的側壁係非實質地垂直。額外的實施例包括互連結構,其中,第二介電質頂蓋的側壁係與第一介電質頂蓋的側壁互補。額外的實施例包括互連結構,其中,第二介電質頂蓋之側壁的第一部分係實質地垂直,及第二介電質頂蓋之側壁的第二部分係非實質地垂直。額外 的實施例包括互連結構,進一步包含至少定位於每一個第二介電質頂蓋之側壁與頂表面上的蝕刻阻擋襯層。額外的實施例包括互連結構,其中,第一互連線與第二互連線之間的間隔小於30奈米。額外的實施例包括互連結構,其中,第一互連線與第二互連線係不同材料。
本發明的實施例包括形成互連結構的方法,其包含:形成一或多條穿過第一硬遮罩層且進入到形成在第一硬遮罩層下方之層間介電質(ILD)的溝槽;將第一金屬配置到一或多條第一溝槽內以形成第一互連線;在每一條第一互連線之上形成選擇性頂蓋;將與第一溝槽呈交替式樣的一或多條第二溝槽形成到ILD內;將第二金屬配置到一或多條第二溝槽內以形成第二互連線;在第二選擇性頂蓋與第二互連線之等頂表面上配置DSA層,其中,DSA層分離成位在第二頂蓋上的第一聚合物區與位在第二互連線上的第二聚合物區;去除第二聚合物區以露出第二互連線;在第二互連線上形成第二介電質頂蓋;去除第一聚合物區以露出選擇性頂蓋;以及在選擇性頂蓋上形成第一介電質頂蓋。額外的實施例包括形成互連結構的方法,其中,DSA層係雙嵌段共聚物。額外的實施例包括形成互連結構的方法,其中,雙嵌段共聚物係聚苯乙烯-嵌段-聚甲基丙烯酸甲酯(PS-b-PMMA),且其中,第一聚合物區係PS,及第二聚合物區係PMMA。額外的實施例包括形成互連結構的方法,其中,於在選擇性頂蓋與第二互連線的頂表面上配置DSA層之前,先將聚苯乙烯(PS)刷接枝到 選擇性頂蓋上。額外的實施例包括形成互連結構的方法,其中,形成第一溝槽包含:在第一硬遮罩層上形成主幹層;在主幹層上形成間隔件,其中,間隔件之間的第一硬遮罩層部分保持外露;以及蝕刻穿過第一硬遮罩層之外露部分,並進入到第一硬遮罩層之外露部分下方的ILD內。額外的實施例包括形成互連結構的方法,其中,形成第二溝槽包含:蝕刻穿過主幹層;以及蝕刻穿過部分的第一硬遮罩層並進入到ILD內。
本發明的實施例包括形成互連結構的方法,其包含:形成一或多條穿過第一硬遮罩層且進入到形成在第一硬遮罩層下方之層間介電質(ILD)的溝槽;將第一金屬配置到一或多條第一溝槽內以形成第一互連線;將與第一溝槽呈交替式樣的一或多條第二溝槽形成到ILD內;將犧牲的硬遮罩材料配置到一或多條第二溝槽內;在第一互連線上形成第一介電質頂蓋,其中,第一介電質頂蓋之側壁係非實質地垂直;去除一或多條第二溝槽之犧牲的硬遮罩材料;將第二金屬配置到一或多條第二溝槽內以形成第二互連線;將第二互連線凹入,以使得一或多條第二互連線之每一條的頂表面低於第一硬遮罩層的頂表面;以及在第二互連線之上形成第二介電質頂蓋,其中,一或多個第二介電質頂蓋之每一個的側壁至少部分係非實質地垂直。額外的實施例包括形成互連結構的方法,其中,第二介電質頂蓋的側壁與第一介電質頂蓋的側壁互補。額外的實施例包括形成互連結構的方法,其中,第二介電質頂蓋之側壁的 第一部分係實質地垂直,且第二介電質頂蓋之側壁的第二部分係非實質地垂直。
本發明的實施例包括互連結構,其包含:層間介電質(ILD),其中的第一硬遮罩層位於ILD之頂表面上;在ILD中的一或多條第一互連線;定位於每一條第一互連線之頂表面上的選擇性頂蓋,其中,選擇性頂蓋具有的厚度小於第一硬遮罩層之厚度;定位於每一個選擇性頂蓋上的第一介電質頂蓋,其中,第一介電質頂蓋的表面接觸該第一硬遮罩層的頂表面;在ILD中的一或多條第二互連線,與第一互連線呈交替的式樣;位於每一條第二互連線之頂表面上的第二介電質頂蓋,其中,第二介電質頂蓋的表面接觸第一硬遮罩層的頂表面;以及,定位於每一個第二介電質頂蓋之至少側壁與頂表面之上的蝕刻阻擋襯層。額外的實施例包括互連結構,其中,第一與第二介電質頂蓋係SiOxCyNz材料、SiOXCY材料、金屬氧化物材料、或金屬氮化物材料,且其中,蝕刻阻擋襯層係氧化鋁或氧化鉿材料。
100‧‧‧互連結構
103‧‧‧層間介電質
105‧‧‧第一硬遮罩層
121‧‧‧第一互連線
122‧‧‧第二互連線
123‧‧‧第一貫通孔
124‧‧‧第二貫通孔
125‧‧‧第一介電質頂蓋
126‧‧‧第二介電質頂蓋
136‧‧‧蝕刻阻擋襯層
139‧‧‧選擇性頂蓋
180‧‧‧第二互連層

Claims (25)

  1. 一種互連結構,包含:層間介電質(ILD),其中第一硬遮罩層位於該ILD之頂表面上;在該ILD中的一或多條互連線;第一介電質頂蓋,定位於每一條該第一互連線上,其中,該第一介電質頂蓋的表面接觸該第一硬遮罩層的頂表面;在該ILD中的一或多條第二互連線,與該第一互連線呈交替的式樣配置;以及第二介電質頂蓋,位於每一條該第二互連線的頂表面之上,其中,該第二介電質頂蓋的表面接觸該第一硬遮罩層的頂表面。
  2. 如申請專利範圍第1項之互連結構,進一步包含定位於每一條該第一互連線之頂表面上的選擇性頂蓋。
  3. 如申請專利範圍第2項之互連結構,其中,該選擇性頂蓋具有與該第二互連線不同的表面化學性質。
  4. 如申請專利範圍第3項之互連結構,其中,該選擇性頂蓋係鎢或鉿的氧化物。
  5. 如申請專利範圍第2項之互連結構,其中,該選擇性頂蓋具有的厚度小於該第一硬遮罩層的厚度。
  6. 如申請專利範圍第5項之互連結構,其中,該選擇性頂蓋的厚度小於5奈米。
  7. 如申請專利範圍第2項之互連結構,其中,該第 一與該第二介電質頂蓋的該側壁係實質地垂直。
  8. 如申請專利範圍第1項之互連結構,其中,該第一與該第二介電質頂蓋的該側壁係非實質地垂直。
  9. 如申請專利範圍第8項之互連結構,其中,該第二介電質頂蓋的該側壁係與該第一介電質頂蓋的該側壁互補。
  10. 如申請專利範圍第1項之互連結構,其中,該第二介電質頂蓋之該側壁的第一部分係實質地垂直,及該第二介電質頂蓋之該側壁的第二部分係非實質地垂直。
  11. 如申請專利範圍第1項之互連結構,進一步包含至少定位於每一個該第二介電質頂蓋之側壁與頂表面上的蝕刻阻擋襯層。
  12. 如申請專利範圍第1項之互連結構,其中,該第一互連線與該第二互連線之間的間隔小於30奈米。
  13. 如申請專利範圍第1項之互連結構,其中,該第一互連線與該第二互連線係不同材料。
  14. 一種形成互連結構的方法,包含:形成一或多條穿過第一硬遮罩層且進入到形成在該第一硬遮罩層下方之層間介電質(ILD)的溝槽;將第一金屬配置到該一或多條第一溝槽內以形成第一互連線;在該每一條第一互連線之上形成選擇性頂蓋;將與該第一溝槽呈交替式樣的一或多條第二溝槽形成到該ILD內; 將第二金屬配置到該一或多條第二溝槽內以形成第二互連線;在該選擇性頂蓋與該第二互連線之該等頂表面上配置DSA層,其中,該DSA層分離成位在該選擇性頂蓋上的第一聚合物區與位在該第二互連線上的第二聚合物區;去除該第二聚合物區以露出該第二互連線;在該第二互連線上形成第二介電質頂蓋;去除該第一聚合物區以露出該選擇性頂蓋;以及在該選擇性頂蓋上形成第一介電質頂蓋。
  15. 如申請專利範圍第14項之方法,其中,該DSA層係雙嵌段共聚物。
  16. 如申請專利範圍第15項之方法,其中,該雙嵌段共聚物係聚苯乙烯-嵌段-聚甲基丙烯酸甲酯(PS-b-PMMA),且其中,該第一聚合物區係PS,及該第二聚合物區係PMMA。
  17. 如申請專利範圍第15項之方法,其中,於在該選擇性頂蓋與該第二互連線的頂表面上配置DSA層之前,先將聚苯乙烯(PS)刷接枝到該選擇性頂蓋上。
  18. 如申請專利範圍第14項之方法,其中,形成該第一溝槽包含:在該第一硬遮罩層上形成主幹層;在該主幹層上形成間隔件,其中,該等間隔件之間的該第一硬遮罩層部分保持外露;以及蝕刻穿過該第一硬遮罩層之該外露部分,並進入到該 第一硬遮罩層之該外露部分下方的ILD內。
  19. 如申請專利範圍第18項之方法,其中,形成該第二溝槽包含:蝕刻穿過該主幹層;以及蝕刻穿過部分的該第一硬遮罩層並進入到該ILD內。
  20. 如申請專利範圍第19項之方法,進一步包含:在將該第一金屬配置到該第一溝槽內之前,先蝕刻穿過形成在一或多條該第一溝槽之下方的該ILD部分;以及在將該第二金屬配置到該第二溝槽內之前,先蝕刻穿過一或多條該第二溝槽之下方的該ILD部分。
  21. 一種形成互連結構的方法,包含:形成一或多條穿過第一硬遮罩層且進入到形成在該第一硬遮罩層下方之層間介電質(ILD)的溝槽;將第一金屬配置到該一或多條第一溝槽內以形成第一互連線;將與該第一溝槽呈交替式樣的一或多條第二溝槽形成到該ILD內;將犧牲的硬遮罩材料配置到該一或多條第二溝槽內;在該第一互連線上形成第一介電質頂蓋,其中,該第一介電質頂蓋之側壁係非實質地垂直;去除該一或多條第二溝槽之該犧牲的硬遮罩材料;將第二金屬配置到該一或多條第二溝槽內以形成第二互連線;將該第二互連線凹入,以使得該一或多條第二互連線 之每一條的頂表面低於該第一硬遮罩層的頂表面;以及在該第二互連線之上形成第二介電質頂蓋,其中,該一或多個第二介電質頂蓋之每一個的該側壁至少部分係非實質地垂直。
  22. 如申請專利範圍第21項之方法,其中,該第二介電質頂蓋的該側壁係與該第一介電質頂蓋的該側壁互補。
  23. 如申請專利範圍第21項之互連結構,其中,該第二介電質頂蓋之該側壁的第一部分係實質地垂直,且該第二介電質頂蓋之該側壁的第二部分係非實質地垂直。
  24. 一種互連結構,包含:層間介電質(ILD),其中的第一硬遮罩層位於該ILD之頂表面上;在該ILD中的一或多條第一互連線;選擇性頂蓋,定位於每一條該第一互連線之頂表面上,其中,該選擇性頂蓋具有的厚度小於該第一硬遮罩層之厚度;第一介電質頂蓋,定位於每一個該選擇性頂蓋上,其中,該第一介電質頂蓋的表面接觸該第一硬遮罩層的頂表面;在該ILD中的一或多條第二互連線,與該第一互連線呈交替的式樣;第二介電質頂蓋,位於每一條該第二互連線的頂表面上,其中,該第二介電質頂蓋的表面接觸該第一硬遮罩層 的頂表面;以及蝕刻阻擋襯層,定位於每一個該第二介電質頂蓋之至少側壁與頂表面之上。
  25. 如申請專利範圍第24項之互連結構,其中,該第一與該第二介電質頂蓋係SiOxCyNz材料、金屬氧化物材料、或金屬氮化物材料,且其中,該蝕刻阻擋襯層係氧化鋁或氧化鉿材料。
TW104138811A 2014-12-24 2015-11-23 產生具有增加重疊邊界的交替硬遮罩覆蓋互連結構之新穎方法 TWI673846B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
??PCT/US14/72393 2014-12-24
PCT/US2014/072393 WO2016105423A1 (en) 2014-12-24 2014-12-24 Novel method for creating alternate hardmask cap interconnect structure with increased overlay margin

Publications (2)

Publication Number Publication Date
TW201635470A true TW201635470A (zh) 2016-10-01
TWI673846B TWI673846B (zh) 2019-10-01

Family

ID=56151219

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104138811A TWI673846B (zh) 2014-12-24 2015-11-23 產生具有增加重疊邊界的交替硬遮罩覆蓋互連結構之新穎方法

Country Status (6)

Country Link
US (1) US10109583B2 (zh)
EP (1) EP3238247A4 (zh)
KR (1) KR102310014B1 (zh)
CN (1) CN107004634B (zh)
TW (1) TWI673846B (zh)
WO (1) WO2016105423A1 (zh)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102304792B1 (ko) * 2014-12-22 2021-09-27 인텔 코포레이션 교대하는 하드마스크 및 캡슐화 에칭정지 라이너 방식을 이용하여 가이드된 비아들을 갖는 조밀 피치형 도전성 층들에 접촉하는 방법 및 구조물
EP3238247A4 (en) * 2014-12-24 2018-08-22 Intel Corporation Novel method for creating alternate hardmask cap interconnect structure with increased overlay margin
WO2017111822A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Pitch division using directed self-assembly
WO2018063208A1 (en) * 2016-09-29 2018-04-05 Intel Corporation Metal aluminum gallium indium carbide thin films as liners and barriers for interconnects
US11251072B2 (en) 2016-12-23 2022-02-15 Intel Corporation Differential hardmasks for modulation of electrobucket sensitivity
WO2018125175A1 (en) * 2016-12-30 2018-07-05 Intel Corporation Self-aligned hard masks with converted liners
US10515896B2 (en) 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
US11456248B2 (en) * 2018-03-28 2022-09-27 Intel Corporation Etch stop layer-based approaches for conductive via fabrication and structures resulting therefrom
US10734278B2 (en) * 2018-06-15 2020-08-04 Tokyo Electron Limited Method of protecting low-K layers
US11437284B2 (en) 2018-08-31 2022-09-06 Applied Materials, Inc. Contact over active gate structure
US10930556B2 (en) 2018-09-05 2021-02-23 Applied Materials, Inc. Contact over active gate structure
US10930555B2 (en) 2018-09-05 2021-02-23 Applied Materials, Inc. Contact over active gate structure
JP2020119929A (ja) 2019-01-21 2020-08-06 キオクシア株式会社 半導体装置
US11437273B2 (en) * 2019-03-01 2022-09-06 Micromaterials Llc Self-aligned contact and contact over active gate structures
CN112151497B (zh) * 2019-06-28 2023-08-22 台湾积体电路制造股份有限公司 半导体结构以及形成半导体结构的方法
US11562908B2 (en) 2020-04-28 2023-01-24 International Business Machines Corporation Dielectric structure to prevent hard mask erosion
US12012473B2 (en) 2020-06-02 2024-06-18 Intel Corporation Directed self-assembly structures and techniques
US12002678B2 (en) 2020-09-25 2024-06-04 Intel Corporation Gate spacing in integrated circuit structures

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6975032B2 (en) 2002-12-16 2005-12-13 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
JP2004228111A (ja) * 2003-01-20 2004-08-12 Nec Electronics Corp 半導体装置及びその製造方法
JP2005203476A (ja) * 2004-01-14 2005-07-28 Oki Electric Ind Co Ltd 半導体装置の配線構造及びその製造方法
US7514361B2 (en) 2007-08-20 2009-04-07 International Business Machines Corporation Selective thin metal cap process
US20090200668A1 (en) * 2008-02-07 2009-08-13 International Business Machines Corporation Interconnect structure with high leakage resistance
US7830010B2 (en) * 2008-04-03 2010-11-09 International Business Machines Corporation Surface treatment for selective metal cap applications
KR20180015767A (ko) * 2011-11-04 2018-02-13 인텔 코포레이션 자기 정렬 캡의 형성 방법 및 장치
US20130302989A1 (en) * 2012-05-08 2013-11-14 Globalfoundries Inc. Reducing line edge roughness in hardmask integration schemes
US8803321B2 (en) 2012-06-07 2014-08-12 International Business Machines Corporation Dual damascene dual alignment interconnect scheme
US8916472B2 (en) 2012-07-31 2014-12-23 Globalfoundries Inc. Interconnect formation using a sidewall mask layer
US9123726B2 (en) * 2013-01-18 2015-09-01 International Business Machines Corporation Selective local metal cap layer formation for improved electromigration behavior
KR102037830B1 (ko) * 2013-05-20 2019-10-29 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9054164B1 (en) * 2013-12-23 2015-06-09 Intel Corporation Method of forming high density, high shorting margin, and low capacitance interconnects by alternating recessed trenches
US9324650B2 (en) * 2014-08-15 2016-04-26 International Business Machines Corporation Interconnect structures with fully aligned vias
KR102304792B1 (ko) * 2014-12-22 2021-09-27 인텔 코포레이션 교대하는 하드마스크 및 캡슐화 에칭정지 라이너 방식을 이용하여 가이드된 비아들을 갖는 조밀 피치형 도전성 층들에 접촉하는 방법 및 구조물
EP3238247A4 (en) * 2014-12-24 2018-08-22 Intel Corporation Novel method for creating alternate hardmask cap interconnect structure with increased overlay margin
US10553532B2 (en) * 2014-12-24 2020-02-04 Intel Corporation Structure and method to self align via to top and bottom of tight pitch metal interconnect layers

Also Published As

Publication number Publication date
US10109583B2 (en) 2018-10-23
CN107004634B (zh) 2020-10-30
EP3238247A4 (en) 2018-08-22
EP3238247A1 (en) 2017-11-01
WO2016105423A1 (en) 2016-06-30
KR102310014B1 (ko) 2021-10-08
CN107004634A (zh) 2017-08-01
TWI673846B (zh) 2019-10-01
KR20170099853A (ko) 2017-09-01
US20170263551A1 (en) 2017-09-14

Similar Documents

Publication Publication Date Title
TWI673846B (zh) 產生具有增加重疊邊界的交替硬遮罩覆蓋互連結構之新穎方法
CN107004633B (zh) 使用交替硬掩模和密闭性蚀刻停止衬垫方案使紧密间距导电层与引导通孔接触的方法和结构
US20220270964A1 (en) Method of forming high density, high shorting margin, and low capacitance interconnects by alternating recessed trenches
KR102312732B1 (ko) 타이트한 피치의 금속 상호접속층들의 상부 및 하부에 비아를 자기 정렬하는 구조체 및 방법
CN106030819A (zh) 具有选择性过孔接线柱的可缩放互连结构
TW201521202A (zh) 使緊密間距導電層與導引通孔接觸的方法及結構
TW201717278A (zh) 具有選擇性蝕刻終止襯墊之自對準閘極下接接觸
TW201732883A (zh) 用於後段製程線路(beol)互連之柵格自行對準金屬穿孔處理方法及由其所生成的結構
TW201826497A (zh) 用於積體電路裝置之預成的層間連接
TW201735303A (zh) 用於形成具有改善的調正及電容降低之導電特徵的技術
TWI722056B (zh) 用於形成具有改進的對準及電容降低的導電特徵的技術