TW201717278A - 具有選擇性蝕刻終止襯墊之自對準閘極下接接觸 - Google Patents

具有選擇性蝕刻終止襯墊之自對準閘極下接接觸 Download PDF

Info

Publication number
TW201717278A
TW201717278A TW105120484A TW105120484A TW201717278A TW 201717278 A TW201717278 A TW 201717278A TW 105120484 A TW105120484 A TW 105120484A TW 105120484 A TW105120484 A TW 105120484A TW 201717278 A TW201717278 A TW 201717278A
Authority
TW
Taiwan
Prior art keywords
gate
contact
etch stop
trench
stop layer
Prior art date
Application number
TW105120484A
Other languages
English (en)
Other versions
TWI622097B (zh
Inventor
斯成 方
拉爾斯W 賴柏曼
瑞龍 謝
Original Assignee
格羅方德半導體公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 格羅方德半導體公司 filed Critical 格羅方德半導體公司
Publication of TW201717278A publication Critical patent/TW201717278A/zh
Application granted granted Critical
Publication of TWI622097B publication Critical patent/TWI622097B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本發明關於一種用於形成閘極下接的方法,包括露出主動區以形成溝渠接觸開口並形成溝渠接觸於其中。在溝渠接觸上以及相鄰閘極結構的間隔物上形成蝕刻終止層。沉積層間電介質(ILD)以填充在該蝕刻終止層之上。打開該層間電介質及在該閘極結構的一側上的蝕刻終止層,以提供露出的蝕刻終止層部分。凹陷該閘極結構以露出閘極導體。移除該露出的蝕刻終止層部分。沉積導電材料以提供向下至該閘極結構的該一側上的溝渠接觸的自對準接觸,以形成向下至閘極導體的閘極接觸,以及在該閘極導體與該自對準接觸之間形成位於主動區之上的層間電介質內的水平連接。

Description

具有選擇性蝕刻終止襯墊之自對準閘極下接接觸
本發明係關於半導體加工,更具體地是關於一種閘極下接結構(tie-down structures),其允許主動區中的閘極接觸並且可以減少相鄰接點與閘極導體之間的短路。
在傳統的互補金屬氧化物半導體(complementary metal oxide semiconductor,CMOS)加工中,閘極接觸在淺溝隔離(shallow trench isolation,STI)區上形成。在裝置(device)設計中閘極接觸連接閘極線(gate line)至上金屬層。在許多情況下,在STI區中提供閘極接觸會導致大量的晶片面積的損失。
閘極下接結構或區域提供該閘極接觸與源極/汲極(S/D)區接觸之間的連接。閘極下接結構的形成可能會導致在S/D區的矽化物區或與相鄰閘極的導電材料之間的短路。部分是因為在這些結構與相鄰的導電體之間的電介質材料的微小間距所致。
一種用於形成閘極下接的方法,包括:通過 蝕刻閘極結構的相鄰側上的電介質材料以打開溝渠接觸開口以露出主動區;在溝渠接觸開口中形成溝渠接觸,並在該溝渠接觸上及相鄰該溝渠接觸的閘極結構的間隔物(spacer)上形成蝕刻終止層。沉積層間電介質(interlevel dielectric,ILD)以填充在該溝渠接觸開口中的該蝕刻終止層之上以及在相鄰該溝渠接觸的閘極結構之上。打開該層間電介質及在該閘極結構的一側上的蝕刻終止層,以提供露出的蝕刻終止層部分。凹陷(recess)該閘極結構以移除帽蓋層(cap layer)、凹陷一個間隔物及露出閘極導體。移除露出的終止層部分。沉積導電材料以提供向下至該閘極結構的該一側上的該溝渠接觸的自對準接觸,以形成向下至該閘極導體的閘極接觸,並且在該閘極導體與該自對準接觸之間形成位於該主動區之上的該層間電介質內的水平連接。
另一種用於形成閘極下接的方法,包括:通過蝕刻閘極結構的相鄰側上的電介質材料以打開溝渠接觸開口以露出主動區;在該溝渠接觸開口中沉積第一導電材料;凹陷該第一導電材料以在該溝渠接觸開口中形成溝渠接觸;在該電介質材料上、該溝渠接觸上及相鄰該溝渠接觸的閘極結構的間隔物上保形地沉積蝕刻終止層;在該蝕刻終止層之上形成平坦化(planarizing)材料;自頂面平坦化以移除該平坦化材料及該蝕刻終止層;自形成在該溝渠接觸上的蝕刻終止部分移除該平坦化材料;沉積層間電介質(ILD)以填充在該蝕刻終止部分之上及相鄰該溝渠接觸 的該閘極結構之上;打開該層間電介質及在該閘極結構的一側的該蝕刻終止部分以提供露出的蝕刻終止層部分;凹陷該閘極結構以移除帽蓋層、凹陷一個間隔物及露出閘極導體;移除該露出的蝕刻終止層部分;以及沉積第二導電材料,以提供向下至該閘極結構的該一側上的溝渠接觸的自對準接觸,以形成向下至該閘極導體的閘極接觸,並且在該閘極導體與該自對準接觸之間形成位於該主動區之上的該層間電介質內的水平連接。
閘極下接結構包括:閘極結構包括閘極導體及閘極間隔物;溝渠接觸形成在該閘極結構的側面上;以及蝕刻終止層部分形成在該閘極結構的一側上的閘極間隔物上及在該閘極結構的該一側上的該溝渠接觸之上。第一層間電介質(ILD)經配置成掩埋該閘極結構,而第二層間電介質(ILD)具有厚度並形成在該第一層間電介質上及該蝕刻終止層部分上方。自對準接觸連接至該閘極結構的另一側上的該溝渠接觸。閘極接觸連接該閘極導體。水平連接位於形成在主動區之上的該第二層間電介質的該厚度範圍內,並連接該閘極導體及形成在該閘極結構的該另一側上的閘極間隔物之上的該自對準接觸。
這些與其它特徵及優點從說明性實施例的以下詳細描述將變得顯而易見,這些實施例要結合附圖來閱讀。
10‧‧‧半導體裝置、裝置
12‧‧‧基板
14‧‧‧層間電介質(ILD)材料、第一ILD、ILD
16‧‧‧ILD
18‧‧‧閘極結構
20‧‧‧間隔物
22‧‧‧閘極導體
24‧‧‧帽蓋層
26‧‧‧源極/汲極(S/D)區、S/D區
28‧‧‧閘極電介質
30‧‧‧凹部、溝渠
32‧‧‧導電材料
34‧‧‧凹部
36‧‧‧溝渠矽化(TS)接觸、TS接觸、接觸、自對準接觸
38‧‧‧蝕刻終止層
40‧‧‧平坦化材料、材料
42‧‧‧ILD
44‧‧‧開口
46‧‧‧部分
48‧‧‧部分
50‧‧‧區域
52‧‧‧區域
54‧‧‧接觸開口
56‧‧‧接觸開口
60‧‧‧導電材料、導電性材料、材料
62‧‧‧自對準接觸、接觸
64‧‧‧自對準閘極接觸、閘極接觸
66‧‧‧閘極下接結構
68‧‧‧間隔物
70‧‧‧間隔物
72‧‧‧間隔物
74‧‧‧連接
102‧‧‧方框
104‧‧‧方框
106‧‧‧方框
108‧‧‧方框
110‧‧‧方框
112‧‧‧方框
114‧‧‧方框
116‧‧‧方框
118‧‧‧方框
120‧‧‧方框
122‧‧‧方框
124‧‧‧方框
126‧‧‧方框
本發明將以優選的實施例提供以下描述的細 節,參照以下附圖,其中:第1圖是半導體裝置的剖視圖,其根據本發明原理形成閘極結構在層間電介質(ILD)內;第2圖是第1圖的半導體裝置的剖面圖,其根據本發明原理形成相鄰閘極結構的溝渠接觸開口以露出主動區;第3圖是第2圖的半導體裝置的剖面圖,其根據本發明原理示出在溝渠開口中形成導電材料;第4圖是第3圖的半導體裝置的剖面圖,其根據本發明原理示出平坦化導電材料;第5圖是第4圖的半導體裝置的剖面圖,其根據本發明原理示出凹陷導電材料以形成溝渠接觸;第6圖是第5圖的半導體裝置的剖面圖,其根據本發明原理示出保形地沉積蝕刻終止層;第7圖是第6圖的半導體裝置的剖面圖,其根據本發明原理示出形成在蝕刻終止層之上的平坦化材料;第8圖是第7圖的半導體裝置的剖面圖,其根據本發明原理示出平坦化該平坦化材料及蝕刻終止層;第9圖是第8圖的半導體裝置的剖面圖,其根據本發明原理示出從蝕刻終止層部分移除平坦化材料;第10圖是第9圖的半導體裝置的剖面圖,其根據本發明原理示出在蝕刻終止層部分上及閘極結構之上形成頂部ILD; 第11圖是第10圖的半導體裝置的剖面圖,其根據本發明原理示出對頂部ILD的開口蝕刻並形成自對準接觸開口;第12圖是第11圖的半導體裝置的剖面圖,其根據本發明原理示出蝕刻閘極接觸開口;圖13是第12圖的半導體裝置的剖面圖,其根據本發明原理示出移除蝕刻終止部分;第14圖是第13圖的半導體裝置的剖面圖,其根據本發明原理示出沉積導電材料,並平坦化該導電材料及頂部ILD,以形成具有閘極接觸、連接(在頂部ILD中)及自對準接觸的閘極下接結構;以及第15圖是根據本發明原理示出用於形成閘極下接的方法的方框/流程圖。
根據本發明原理,提供一個閘極下接結構及製造方法。該閘極下接結構提供能與自對準接觸(CA)抗短路而不與溝渠矽化物(TS)接觸抗短路的閘極接觸(CB)。閘極接觸提供在電晶體裝置中採用的閘極結構的閘極導體(PC)的連接。在一些情況下,閘極導體可以連接到源極或汲極區,這被稱為閘極下接。根據本發明原理的閘極下接可以設置在主動區之上而不會有傳統結構的短路問題。
本發明原理提供用於形成具有蝕刻終止層(例如高k電介質)的閘極下接的方法及結構,以封裝源極/汲極接觸。蝕刻終止層防止穿透到相鄰閘極導體。此外, 閘極下接包括一個自對準至源極/汲極接觸的閘極接觸。該下接結構提供閘極接觸,該閘極接觸可以“飛越(fly)”源極/汲極接觸,使得設計得以更緊湊以節省寶貴的晶片面積。例如,閘極下接結構可以允許在主動區(AA)上或之上。該閘極接觸結構使得閘極接觸飛越源極/汲極接觸以減少佈局的面積。閘極下接結構可以使用在儲存裝置中,例如,靜態隨機存取記憶體(SRAM)、處理器、或其它晶片裝置。
應當理解,本發明將以給定的示例性架構的術語來描述;然而,其它架構、結構、基板材料與製程特徵及步驟可以在本發明的範圍內變化。
還應當理解,當諸如層、區域或基板的組件被稱為在另一組件“上”或“之上”時,它可以是直接在另一組件上方或可以存在中間組件。相對而言,當一個組件對另一組件稱作“直接在上”或“直接之上”時,則不存在中間組件。還應當理解,當一個組件被稱為“連接”或“耦合”到另一組件時,它可以直接連接或耦合到另一組件或可以存在中間組件。相對地,當一個組件被稱為“直接連接”或“直接耦合”到另一組件時,則不存在中間組件。
本發明的實施例可以被包括在積體電路或積體電路設計中。一種用於積體電路晶片的設計可能在圖形電腦程式語言中創建,並且儲存在電腦儲存媒體(如磁碟、磁帶、實體硬碟或者虛擬硬碟,如在儲存存取網路中)中。 如果設計者不製造晶片或用於製造晶片的光微影遮罩,設計者可以直接或間接的由物理手段(例如,通過提供儲存該設計的儲存媒體的副本)或電子地(例如,通過網際網路)將所得的設計傳送到這樣的實體。然後儲存的設計被轉換成適當的格式(例如,GDSII)用於光微影遮罩的製造,一般晶片設計要考慮的是包括在晶圓上形成的多份複本。光微影遮罩被用於定義要蝕刻或以其它方式處理的晶圓(及/或其上的層)的區域。
本文中所描述的方法可以在積體電路晶片的製造中使用。所得的積體電路晶片可以通過原始晶圓形式(即,作為具有多個未封裝晶片的單個晶圓)的製造者以裸晶粒、或以封裝的形式散佈。在後一種情況下,晶片被安裝在單個晶片封裝(諸如塑料載體,帶有引線被固定到母板或其它更高級別的載體)中,或在多晶片封裝(諸如一個陶瓷載體,其具有任一個或二個表面互連或掩埋互連)。無論如何,晶片隨後整合至其它晶片、離散電路組件、及/或其它信號處理裝置,作為(a)諸如母板的中間產品或者(b)最終產品的一部分。最終產品可以是包括積體電路晶片的任何產品,範圍從玩具與其它低端應用到具有顯示器、鍵盤或其它輸入裝置以及中央處理器的高級電腦產品。
參照在說明書中對本發明原理的“一個實施例”或“一實施例”,以及它們的其它變型,是指一個特定的特徵、結構、特性等,在該實施例的描述被包括在本 發明原理的至少一個實施例中。因此,“在一個實施例”或“在實施例”的短語,以及出現在整個說明書中各個地方的任何其它變型不一定都指同一實施例。
應該理解的是,任何使用以下“/”、“及/或”與“至少一個”,例如,在某一情況下,“A/B”、“A及/或B”與“A及B中的至少一個”,意在只包括列出的第一個選項(A)的選擇,或是只包括列出的第二個選項(B)的選擇,或者是二個選項(A及B)都選的選擇。作為進一步的例子,在“A、B及/或C”與“A、B及C中的至少一個”的情況下,這樣的措辭意在只包括列出的第一個選項(A)的選擇,或是只包括列出的第二個選項(B)的選擇,或是只包括列出的第三選項(C)的選擇,或是只包括列出的第一及第二選項(A及B)的選擇,或者只包括列出的第一及第三個選項(A及C)的選擇,或者只包括列出的第二及第三個選項(B及C)的選擇,或是所有三個選項(A及B及C)的選擇。這可以被擴展,並為普通技術人員所顯而易見的,可以列出許多的相關項目。
現在參照附圖,其中相同的符號代表相同或相似的組件,而最初的是第1圖,根據本發明原理示出一個部分製造的半導體裝置10的剖面圖。該裝置10在基板12上形成,該基板12可以包括任何合適的基板材料,如矽、矽鍺、碳化矽、III-V族材料等。閘極結構18在基板12上形成,並且可以包括相鄰閘極結構18的源極/汲極(S/D)區26。閘極結構18可以包括閘極電介質28(例如, 氧化物)及閘極導體22,其可包括金屬、摻雜多晶矽或其它合適的閘極導體材料。在閘極導體22的側壁上形成間隔物20,且在閘極導體22之上形成帽蓋層24。間隔物20及帽蓋層24可以包括矽氮化物材料,也可以採用其它的電介質材料。閘極結構18被掩埋在層間電介質(ILD)材料14內,例如氧化物。另一個ILD 16在閘極結構18之上及第一ILD 14上形成。ILD 16還可以包括氧化物。
參照第2圖,凹部(recess)或溝渠30是通過選定的閘極結構18對ILD 14及ILD 16進行蝕刻。形成凹部30以接觸與閘極結構18的間隔物20相鄰的S/D區26。凹部30的形成是通過執行光微影圖案化製程及隨後的蝕刻製程以打開凹部30並露出S/D區26。該蝕刻製程可以包括反應性離子蝕刻(RIE)基於閘極結構18的帽蓋層24及間隔物20(如氮化物材料)的選擇性。凹部30如所描述的允許自對準接觸。
參照第3圖,執行沉積製程以使用導電材料32填充在相鄰閘極結構18的間隔物20之間與在ILD 16之上的溝渠30。該沉積製程可以包括化學氣相沉積(CVD)製程,但也可以採用其它沉積製程。導電材料32可包括鎢,但也可以採用其它金屬,例如,鋁、銅、銀等。導電材料32連接到S/D區26。導電材料32優選地包括與閘極導體22相同的材料。
參照第4圖,執行平坦化製程以平坦化裝置10的頂面以移除過量的導電材料32。該平坦化製程可以包 括化學機械拋光(CMP)製程。該平坦化製程移除ILD 16向下至帽蓋層24。
參照第5圖,執行凹陷製程使得導電材料32沿著間隔物20的位置凹陷。該凹陷製程可以包括RIE製程,其在相關的ILD 14、間隔物20及帽蓋層24中選擇性地移除導電材料32。在一實施例中,ILD 14包括氧化物,而間隔物20及帽蓋層24包括氮化物。凹陷導電材料32形成溝渠矽化(TS)接觸36以接觸S/D區26(也稱為S/D接觸)。該凹陷製程形成凹部34。
參照第6圖,蝕刻終止層38保形地地形成於ILD 14上及帽蓋層24上的凹部34內及閘極結構18的間隔物20之上。該蝕刻終止層38可以包括高選擇性蝕刻終止層(HS-ESL),並且可以包括高k電介質材料,例如HfO2、AlO2、Ta2O5等,但也可以採用其它材料。蝕刻終止層38優選地包括比該帽蓋層24及該間隔物20有更大的選擇性(例如,在一個實施例中比氮化矽更大的)。
參照第7圖,執行旋塗或沉積製程在閘極結構18上的蝕刻終止層38上的凹部34填充平坦化材料40。在一個實施方案中,該材料40包括旋塗有機電介質材料,例如聚醯亞胺、聚降冰片烯(polynorbornenes)、苯並環丁烯或類似的旋塗有機電介質材料。
參照第8圖,執行平坦化製程以平坦化裝置10的頂面以移除過量的材料40及蝕刻終止層38向下至帽蓋層24。該平坦化製程可包括CMP製程。
參照第9圖,可執行選擇性蝕刻製程以從該凹部34中的蝕刻終止層38移除該材料40。該蝕刻製程可以包括RIE,其基於帽蓋層24、ILD 14及蝕刻終止層38的材料選擇性。
參照第10圖,於裝置10上沉積另一個ILD 42。該ILD 42可以包括氧化物,但也可以採用其它的電介質材料。該ILD 42填充凹部34與覆蓋帽蓋層24及ILD 14。
參照第11圖,採用光微影圖案化製程以形成穿過ILD 42的開口44。對圖案化的ILD 42進行蝕刻,一次將開口44及區域52內的材料移除。該蝕刻製程相對於蝕刻終止層38及帽蓋層24選擇性地移除ILD材料(例如,氧化物)。蝕刻製程可以包括RIE。
參照第12圖,採用另一光微影圖案化製程以打開帽蓋層24,以露出閘極導體22及凹陷一個間隔物20。蝕刻製程移除帽蓋層24並露出其它間隔物20的一部分48以形成區域50。該蝕刻終止層38的一部分46可通過蝕刻製程變薄。蝕刻製程相對於ILD材料42(例如,氧化物)及蝕刻終止層38選擇性地移除帽蓋層24、間隔物20。蝕刻製程可以包括定向RIE。
參照第13圖,採用另一光微影圖案化製程,選擇性地移除蝕刻終止層38露出的部分至ILD 42、閘極導體22及間隔物20(例如,對氧化物及氮化物的選擇性)。蝕刻終止層38移除後,接觸開口54被用於自對準接觸(CA)向下至TS接觸36。此外,接觸開口56用於製作為 閘極接觸(CB)。
參照第14圖,執行沉積製程以沉積導電材料60,以形成閘極接觸64(CB)、自對準接觸62(CA)及閘極下接結構66。導電性材料60優選地包括與TS接觸36及閘極導體22使用相同的材料。在一個實施例中,導電性材料60包括鎢,但也可以採用其它金屬。導電材料60及ILD 42被平坦化以凹陷材料60,使得導電材料60的一部分形成閘極接觸64與接觸62(其連接到TS接觸36)之間的連接74。連接74被掩埋在ILD 42的厚度範圍內。
根據本發明原理,閘極下接結構66提供了一種自對準閘極接觸(CB)64,其直接與接觸62(CA)短路而不是TS接觸36。間隔物68與蝕刻終止層38一起可以防止相鄰的接觸36與閘極導體22之間的短路。間隔物70可以防止相鄰的接觸36與閘極導體22(此區域短路發生在習用的結構)之間的短路。間隔物72提供了一種電介質阻擋,其防止閘極接觸64及TS接觸36之間的直接短路。此外,閘極接觸64是自對準於自對準接觸36。該閘極接觸64位在主動區內(在S/D區26之上),這降低了裝置10的佈局面積。換言之,在閘極接觸64與自對準接觸62之間的水平連接74是利用ILD 42提供的垂直空間來製作。製作該連接74無需使用佈局區域,一般是消耗S/D區(有效面積)以外的STI區來製作該連接。本發明原理可在7奈米技術中來實現,但其它技術尺寸也可以採用(較大或較小均可從本發明原理中受益)。
參照第15圖,根據本發明原理示出用於形成閘極下接的方法。在一些替代實現方式中,方框內的功能註記可能記錄圖中註記的順序。例如,實際上,連續示出的兩個方框可以實質上同時執行,或者是這些方框有時可以以相反的順序執行,這取決於所涉及的功能。還應當指出的是,框圖及/或流程圖示出的每一方框,與框圖及/或流程圖示出的方框組合,可以由專用的基於硬體的系統來執行以實現指定功能或動作或進行專用硬體及電腦指令的組合。
在方框102中,形成閘極結構與源極及汲極(S/D)區之後,通過蝕刻閘極結構的相鄰側上的電介質材料以打開溝渠接觸開口以露出主動區。在方框104中,在溝渠接觸開口中沉積導電材料。在方框106中,凹陷導電材料以在溝渠接觸開口內形成溝渠接觸。在方框108,蝕刻終止層保形地沉積在電介質材料上、溝渠接觸上及相鄰溝渠接觸的閘極結構的間隔物上。蝕刻終止層可以包括高k電介質材料。
在方框110中,在蝕刻終止層上可選擇地形成平坦化材料。該平坦化材料可以包括旋塗有機材料。在方框112中,平坦化該平坦化材料及蝕刻終止層以從頂面移除它們。在方框114中,自形成在溝渠接觸上的蝕刻終止層移除平坦化材料。
在方框116中,沉積層間電介質(ILD)以填充在蝕刻終止部分之上與相鄰溝渠接觸的閘極結構之上。 在方框118中,打開在閘極結構的一側上的ILD及蝕刻終止部分,以提供露出的蝕刻終止層部分。在方框120,凹陷閘極結構以移除帽蓋層、凹陷間隔物及露出閘極導體。餘留凹陷的間隔物以允許自對準接觸與閘極接觸(將形成的)之間的接觸,並防止溝渠接觸與閘極導體之間的接觸。
在方框122中,移除露出的蝕刻終止層部分。在方框124中,沉積另一導電材料以提供一個向下至該閘極結構的一側上的溝渠接觸的自對準接觸,以形成一個向下至閘極導體的閘極接觸,並且在閘極導體及該自對準接觸之間的主動區之上的ILD內形成水平連接。餘留與該閘極結構的該一側相對的蝕刻終止部分及閘極結構的相應間隔物,以防止溝渠接觸的一個與閘極導體之間的短路。露出的蝕刻終止層部分防止相鄰閘極結構的間隔物被侵蝕,以防止溝渠接觸的一個與相鄰閘極結構的閘極導體之間的短路。該ILD包括該閘極結構的帽蓋層上方的厚度,且該閘極導體與該自對準接觸之間的水平連接是在ILD的厚度範圍內形成的。在方框126中,加工持續到完成該裝置。
具有一個高選擇性的蝕刻終止襯墊的閘極下接(其旨在是說明性的而不是限制性的)描述的優選實施方案中,應該注意的是修改及變化可以由本領域的技術人員根據上面的教導進行。因此,可以理解到,在此所公開的特定實施例的改變是在所附申請專利範圍中所概述的本發明的範圍內進行。具有本發明描述的態樣,及專利法所 要求的細節及特殊性,由專利證書所要保護的申請專利範圍及需要保護的內容在所附申請專利範圍中闡述。
14‧‧‧層間電介質(ILD)材料、第一ILD、ILD
18‧‧‧閘極結構
22‧‧‧閘極導體
36‧‧‧溝渠矽化(TS)接觸、TS接觸、接觸、自對準接觸
38‧‧‧蝕刻終止層
42‧‧‧ILD
60‧‧‧導電材料、導電性材料、材料
62‧‧‧自對準接觸、接觸
64‧‧‧自對準閘極接觸、閘極接觸
66‧‧‧閘極下接結構
70‧‧‧間隔物
72‧‧‧間隔物
74‧‧‧連接

Claims (20)

  1. 一種用於形成閘極下接的方法,包括:通過蝕刻閘極結構的相鄰側上的電介質材料以打開溝渠接觸開口而露出主動區,並形成溝渠接觸於其中;在該溝渠接觸上及相鄰該溝渠接觸的閘極結構的間隔物上形成蝕刻終止層;沉積層間電介質(ILD)以填充在該溝渠接觸開口中的該蝕刻終止層之上以及在相鄰該溝渠接觸的該閘極結構之上;打開該層間電介質及在該閘極結構的一側上的該蝕刻終止層,以提供露出的蝕刻終止層部分;凹陷該閘極結構以移除帽蓋層、凹陷一個間隔物及露出閘極導體,並且移除該露出的蝕刻終止層部分;以及沉積導電材料以提供向下至該閘極結構的該一側上的該溝渠接觸的自對準接觸,以形成向下至該閘極導體的閘極接觸,並且在該閘極導體與該自對準接觸之間形成位於該主動區之上的該層間電介質內的水平連接。
  2. 如申請專利範圍第1項所述的方法,其中,餘留與該閘極結構的該一側相對的該蝕刻終止層及該閘極結構的相應間隔物,以防止該溝渠接觸的其中一個與該閘極導體之間的短路。
  3. 如申請專利範圍第1項所述的方法,其中,該露出的蝕 刻終止層部分防止相鄰閘極結構的間隔物被侵蝕,以防止該溝渠接觸的其中一個與相鄰閘極結構的閘極導體之間的短路。
  4. 如申請專利範圍第1項所述的方法,其中,該層間電介質包括該閘極結構的該帽蓋層上方的厚度,且該閘極導體與該自對準接觸之間的該水平連接是在該層間電介質的厚度範圍內形成的。
  5. 如申請專利範圍第1項所述的方法,其中,餘留閘極間隔物以允許該自對準接觸與該閘極接觸之間的接觸,並防止該溝渠接觸與該閘極導體之間的接觸。
  6. 如申請專利範圍第1項所述的方法,其中,該蝕刻終止層包括高k電介質材料。
  7. 一種用於形成閘極下接的方法,包括:通過蝕刻閘極結構的相鄰側上的電介質材料以打開溝渠接觸開口以露出主動區;在該溝渠接觸開口中沉積第一導電材料;凹陷該第一導電材料以在該溝渠接觸開口中形成溝渠接觸;在該電介質材料上、該溝渠接觸上及相鄰該溝渠接觸的閘極結構的間隔物上保形地沉積蝕刻終止層;在該蝕刻終止層之上形成平坦化材料;自頂面平坦化以移除該平坦化材料及該蝕刻終止層;自形成在該溝渠接觸上的蝕刻終止部分移除該平 坦化材料;沉積層間電介質(ILD)以填充在該蝕刻終止部分之上及相鄰該溝渠接觸的該閘極結構之上;打開該層間電介質及在該閘極結構的一側上的該蝕刻終止部分以提供露出的蝕刻終止層部分;凹陷該閘極結構以移除帽蓋層、凹陷一個間隔物及露出閘極導體;移除該露出的蝕刻終止層部分;以及沉積第二導電材料,以提供向下至該閘極結構的該一側上的該溝渠接觸的自對準接觸,以形成向下至該閘極導體的閘極接觸,並且在該閘極導體與該自對準接觸之間形成位於該主動區之上的該層間電介質內的水平連接。
  8. 如申請專利範圍第7項所述的方法,其中,餘留與該閘極結構的該一側相對的該蝕刻終止層及該閘極結構的相應間隔物,以防止該溝渠接觸的其中一個與該閘極導體之間的短路。
  9. 如申請專利範圍第7項所述的方法,其中,該露出的蝕刻終止層部分防止相鄰閘極結構的間隔物被侵蝕,以防止該溝渠接觸的其中一個與相鄰閘極結構的閘極導體之間的短路。
  10. 如申請專利範圍第7項所述的的方法,其中,該層間電介質包括該閘極結構的該帽蓋層上方的厚度,且該閘極導體與該自對準接觸之間的該水平連接是在該層間電 介質的厚度範圍內形成的。
  11. 如申請專利範圍第7項所述的方法,其中,餘留閘極間隔物以允許該自對準接觸與該閘極接觸之間的接觸,並防止該溝渠接觸與該閘極導體之間的接觸。
  12. 如申請專利範圍第7項所述的方法,其中,該蝕刻終止層包括高k電介質材料。
  13. 如申請專利範圍第7項所述的方法,其中,該平坦化材料包括旋塗有機材料。
  14. 一種閘極下接結構,包括:閘極結構,包括閘極導體及閘極間隔物;溝渠接觸,形成在該閘極結構的側面上;蝕刻終止層部分,形成在該閘極結構的一側上的閘極間隔物上以及在該閘極結構的該一側上的該溝渠接觸之上;第一層間電介質(ILD),經配置成掩埋該閘極結構;第二層間電介質(ILD),具有厚度並形成在該第一層間電介質上以及該蝕刻終止層部分之上;自對準接觸,連接至該閘極結構的另一側上的該溝渠接觸;閘極接觸,連接該閘極導體;以及水平連接,位於形成在主動區之上的該第二層間電介質的該厚度範圍內,並連接該閘極導體及形成在該閘極結構的該另一側上的閘極間隔物之上的該自對準接 觸。
  15. 如申請專利範圍第14項所述的結構,其中,該蝕刻終止層部分防止該溝渠接觸的其中一個與該閘極導體之間的短路。
  16. 如申請專利範圍第14項所述的結構,其中,餘留相鄰閘極結構的間隔物因移除的蝕刻終止層部分而被完整處理,以防止該溝渠接觸的其中一個與相鄰閘極結構的閘極導體之間的短路。
  17. 如申請專利範圍第14項所述的結構,其中,該第二層間電介質包括相鄰閘極結構的帽蓋層上方的厚度,且該閘極導體與該自對準接觸之間的該水平連接是在該第二層間電介質的厚度範圍內形成的。
  18. 如申請專利範圍第14項所述的結構,其中,餘留形成在該另一側的該閘極間隔物以允許該自對準接觸與該閘極接觸之間的接觸,並防止該溝渠接觸與該閘極導體之間的接觸。
  19. 如申請專利範圍第14項所述的結構,其中,該蝕刻終止層部分包括高k電介質材料。
  20. 如申請專利範圍第14項所述的結構,其中,該結構形成在該主動區中以減少裝置面積。
TW105120484A 2015-08-10 2016-06-29 具有選擇性蝕刻終止襯墊之自對準閘極下接接觸 TWI622097B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/822,490 US9570573B1 (en) 2015-08-10 2015-08-10 Self-aligned gate tie-down contacts with selective etch stop liner
US14/822,490 2015-08-10

Publications (2)

Publication Number Publication Date
TW201717278A true TW201717278A (zh) 2017-05-16
TWI622097B TWI622097B (zh) 2018-04-21

Family

ID=57964988

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105120484A TWI622097B (zh) 2015-08-10 2016-06-29 具有選擇性蝕刻終止襯墊之自對準閘極下接接觸

Country Status (3)

Country Link
US (2) US9570573B1 (zh)
CN (1) CN106449524B (zh)
TW (1) TWI622097B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109698166A (zh) * 2017-10-24 2019-04-30 格芯公司 形成晶体管装置的栅极接触结构、交叉耦合接触结构的方法
US10490455B2 (en) 2017-10-24 2019-11-26 Globalfoundries Inc. Gate contact structures and cross-coupled contact structures for transistor devices

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10163797B2 (en) * 2015-10-09 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Forming interlayer dielectric material by spin-on metal oxide deposition
CN108574005B (zh) * 2017-03-07 2021-08-06 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10366919B2 (en) * 2017-09-20 2019-07-30 Globalfoundries Inc. Fully aligned via in ground rule region
US10529624B2 (en) * 2017-11-21 2020-01-07 International Business Machines Corporation Simple contact over gate on active area
KR102516878B1 (ko) 2018-07-26 2023-03-31 삼성전자주식회사 집적회로 소자
US10943990B2 (en) 2018-10-25 2021-03-09 International Business Machines Corporation Gate contact over active enabled by alternative spacer scheme and claw-shaped cap
US11024720B2 (en) 2019-03-13 2021-06-01 International Business Machines Corporation Non-self aligned contact semiconductor devices
US11164948B2 (en) * 2019-09-24 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Field-effect transistor and method of manufacturing the same
US11164782B2 (en) 2020-01-07 2021-11-02 International Business Machines Corporation Self-aligned gate contact compatible cross couple contact formation
US11588030B2 (en) 2020-09-29 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and manufacturing method thereof

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5006911A (en) 1989-10-02 1991-04-09 Motorola, Inc. Transistor device with high density contacts
US5217567A (en) 1992-02-27 1993-06-08 International Business Machines Corporation Selective etching process for boron nitride films
JP3402022B2 (ja) * 1995-11-07 2003-04-28 三菱電機株式会社 半導体装置の製造方法
US6107189A (en) 1997-03-05 2000-08-22 Micron Technology, Inc. Method of making a local interconnect using spacer-masked contact etch
US6348411B1 (en) 1998-09-03 2002-02-19 Micron Technology, Inc. Method of making a contact structure
US6326652B1 (en) * 1999-06-18 2001-12-04 Micron Technology, Inc., CMOS imager with a self-aligned buried contact
KR100400033B1 (ko) * 2001-02-08 2003-09-29 삼성전자주식회사 다층 배선 구조를 갖는 반도체 소자 및 그의 제조방법
US7071043B2 (en) 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
US6660581B1 (en) * 2003-03-11 2003-12-09 International Business Machines Corporation Method of forming single bitline contact using line shape masks for vertical transistors in DRAM/e-DRAM devices
US7037845B2 (en) 2003-08-28 2006-05-02 Intel Corporation Selective etch process for making a semiconductor device having a high-k gate dielectric
US7141511B2 (en) * 2004-04-27 2006-11-28 Micron Technology Inc. Method and apparatus for fabricating a memory device with a dielectric etch stop layer
JP5090671B2 (ja) * 2005-08-01 2012-12-05 ルネサスエレクトロニクス株式会社 半導体装置
CN1956186A (zh) * 2005-10-27 2007-05-02 松下电器产业株式会社 半导体装置及其制造方法
US7718513B2 (en) 2007-04-13 2010-05-18 International Business Machines Corporation Forming silicided gate and contacts from polysilicon germanium and structure formed
US7813162B2 (en) 2008-02-28 2010-10-12 International Business Machines Corporation SRAM cell having asymmetric pass gates
CN102194693B (zh) * 2010-03-16 2013-05-22 中国科学院微电子研究所 一种半导体器件及其制造方法
US8633520B2 (en) * 2010-10-21 2014-01-21 Samsung Electronics Co., Ltd. Semiconductor device
US8564030B2 (en) * 2011-06-10 2013-10-22 Advanced Micro Devices Self-aligned trench contact and local interconnect with replacement gate process
US8928048B2 (en) * 2013-01-17 2015-01-06 Globalfoundries Inc. Methods of forming semiconductor device with self-aligned contact elements and the resulting device
US9379058B2 (en) * 2014-02-14 2016-06-28 Qualcomm Incorporated Grounding dummy gate in scaled layout design

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109698166A (zh) * 2017-10-24 2019-04-30 格芯公司 形成晶体管装置的栅极接触结构、交叉耦合接触结构的方法
TWI677922B (zh) * 2017-10-24 2019-11-21 美商格芯(美國)集成電路科技有限公司 形成用於電晶體裝置之閘極接觸結構及交叉耦合接觸結構的方法
US10490455B2 (en) 2017-10-24 2019-11-26 Globalfoundries Inc. Gate contact structures and cross-coupled contact structures for transistor devices
US10651284B2 (en) 2017-10-24 2020-05-12 Globalfoundries Inc. Methods of forming gate contact structures and cross-coupled contact structures for transistor devices
US11469309B2 (en) 2017-10-24 2022-10-11 Globalfoundries U.S. Inc. Gate contact structures and cross-coupled contact structures for transistor devices
CN109698166B (zh) * 2017-10-24 2023-08-22 格芯(美国)集成电路科技有限公司 形成晶体管装置的栅极接触结构、交叉耦合接触结构的方法

Also Published As

Publication number Publication date
TWI622097B (zh) 2018-04-21
CN106449524A (zh) 2017-02-22
US20170047418A1 (en) 2017-02-16
US9570573B1 (en) 2017-02-14
US20170092585A1 (en) 2017-03-30
CN106449524B (zh) 2019-10-01

Similar Documents

Publication Publication Date Title
TWI622097B (zh) 具有選擇性蝕刻終止襯墊之自對準閘極下接接觸
US10879375B2 (en) Gate tie-down enablement with inner spacer
US11721630B2 (en) Method of forming stacked trench contacts and structures formed thereby
US9356071B2 (en) Methods of forming patterns and methods of manufacturing semiconductor devices using the same
US9905282B1 (en) Top electrode dome formation
US20120306033A1 (en) Vialess memory structure and method of manufacturing same
US9570397B1 (en) Local interconnect structure including non-eroded contact via trenches
US9728456B2 (en) Interconnect structure including middle of line (MOL) metal layer local interconnect on etch stop layer
TWI512894B (zh) 金屬內連線結構及其製程

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees