CN106030819A - 具有选择性过孔接线柱的可缩放互连结构 - Google Patents

具有选择性过孔接线柱的可缩放互连结构 Download PDF

Info

Publication number
CN106030819A
CN106030819A CN201580008960.5A CN201580008960A CN106030819A CN 106030819 A CN106030819 A CN 106030819A CN 201580008960 A CN201580008960 A CN 201580008960A CN 106030819 A CN106030819 A CN 106030819A
Authority
CN
China
Prior art keywords
binding post
interconnecting member
dielectric substance
interconnection
via binding
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201580008960.5A
Other languages
English (en)
Other versions
CN106030819B (zh
Inventor
M·J·科布林斯基
T·N·安徳留先科夫
R·V·谢比亚姆
俞辉在
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to CN201910179888.2A priority Critical patent/CN110098173B/zh
Publication of CN106030819A publication Critical patent/CN106030819A/zh
Application granted granted Critical
Publication of CN106030819B publication Critical patent/CN106030819B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Printing Elements For Providing Electric Connections Between Printed Circuits (AREA)
  • Geometry (AREA)

Abstract

包括了设置在下级互连部件的顶表面上的选择性过孔接线柱的互连结构以及选择性地形成这种接线柱的制造技术。根据本文的实施例,可以独立于过孔开口中的配准误差而保持最小互连线间隔。在实施例中,选择性过孔接线柱具有小于接线柱被设置在内的过孔开口的底部横向尺寸的底部横向尺寸。导电的过孔接线柱的形成可以优先于由过孔开口所暴露的下互连部件的顶表面。随后沉积的电介质材料对过孔开口的延伸超过互连部件的其中没有形成导电的过孔接线柱的部分进行回填。上级互连部件着落在选择性过孔接线柱上以与下级特征进行电互连。

Description

具有选择性过孔接线柱的可缩放互连结构
要求优先权
本申请要求享有于2014年3月20日提交的名称为“SCALABLEINTERCONNECT STRUCTURES WITH SELECTIVE VIA POSTS”的美国专利申请(序列号为No.14/220,814)的优先权,并且其全部内容以引用的方式并入本申请中。
技术领域
本发明的实施例总体上涉及集成电路(IC)和单片器件的制造,并且更具体而言涉及金属互连结构。
背景技术
图1是传统的单片IC互连架构的横截面视图。单片IC通常包括制造在衬底101上方的若干无源和/或有源器件,诸如金属-氧化物-半导体场效应晶体管(MOSFET)等。这些器件是由包埋在提供电隔离的电介质材料(例如,105和115)的层内的金属互连的级来单片地集成的。随着器件尺寸从一个技术代至下一个技术代而缩小,期望也缩小互连金属线尺寸和相邻互连线110与111之间的间隔CDS。然而,随着互连的尺寸缩小,旨在被电隔离的线之间的最小距离非常快速地减小。这是因为最小距离的减小不仅是缩放互连线间隔CDS的结果,而且还是在每一项技术产生时通常不随着尺寸缩小而改进的配准误差的函数。
例如,在图1中,互连布线之间的最小距离S1由被设计为着落在下级金属线111上的上互连级过孔121与和线111间隔开最小设计间隔CDS的相邻的下级金属布线110之间的间隔而确定。互连级之间(例如,过孔121与下级金属线110、111之间)的配准误差或失配准不受控地将最小设计间隔从CDS降低至S1。此外,随着线间隔继续减小,线边缘粗糙度变为CDS的更重要部分、增大了IC器件使用期间的对瞬时短路(time-zero short)以及时间相关的电介质击穿(TDDB)故障的敏感度。
由于短路和TDDB故障不利地影响IC器件良品率和/或器件可靠性,通过增大的短路容限(shorting margin)和改进的可靠性而具有更大的可缩放性的互连架构是有利的。
附图说明
本文所描述的内容在附图中以示例而非限制的方式示出。为了示出的简化和清晰,图中示出的元件不必按比例来绘制。例如,为了清晰,一些原件的尺寸可以相对于其它元件而放大。此外,在认为适当合的情况下,附图标记在附图中可以被重复以指示相应的或类似的元件。在附图中:
图1是传统的IC互连架构的横截面视图;
图2A是根据实施例的包括选择性的过孔接线柱的IC互连架构的平面图;
图2B是根据实施例的在图2A中示出的IC互连架构的横截面视图;
图3A是根据实施例的示出了形成具有选择性的过孔接线柱的IC互连结构的方法的流程图;
图3B是根据实施例的示出了形成具有选择性的过孔接线柱的IC互连结构的方法的流程图;
图4A、4B、4C、4D、4E、4F、4G和4H是根据实施例的IC互连结构的包括选择性的过孔接线柱的横截面视图,该选择性的过孔接线柱是通过执行图3中所示的方法中的选择的操作而形成的;
图5示出了采用了根据本发明的实施例的具有导体回填的包埋式熔丝的移动计算平台和数据服务器机器;以及
图6是根据本发明的实施例的电子计算设备的功能框图。
具体实施方式
参考所附的图描述了一个或多个实施例。尽管详细地讨论了具体配置和布置,但是应当理解的是,这样做仅仅是出于示例的目的。相关领域的技术人员将认识到,在不脱离说明书的精神和范围的情况下,其它配置和布置是可以的。对于相关领域中的技术人员显而易见的是,本文所描述的技术和/或布置可以用在除了本文详细描述的系统和应用之外的各种其它系统和应用中。
在下面的具体实施方式中参考了附图,附图形成其一部分并且示出示范性实施例。此外,应当理解的是,可以利用其它实施例和/或可以在不脱离所要求保护的主题的范围的情况下做出逻辑变化。还应当指出的是,方向和参照(例如,上、下、顶部、底部等等)可以仅仅用于方便描述图中的特征。因此,下面的具体实施方式不被认为是限制意义,并且要求保护的主题的范围仅仅受所附权利要求和其等效形式来限定。
在下面的说明书中,阐述了许多细节。然而,对于本领域技术人员显而易见的是,本发明可以在没有这些具体细节的情况下得以实施。在一些情况下,公知的方法和器件以框图形式而不是详细地示出,以避免掩盖本发明。遍及此说明书对“实施例”或“一个实施例”的提及意指结合实施例而描述的特定特征、结构、功能或特性包括在本发明的至少一个实施例中。从而,遍及说明书的各个地方的词语“在实施例中”或“在一个实施例中”的出现不必指代本发明的相同实施例。此外,特定特征、结构、功能、或特性可以以任何适合的方式组合在一个或多个实施例。例如,第一实施例可以与第二实施例在与两个实施例相关联的特定特征、结构、功能、或特性不相互排斥的任何地方进行组合。
如在本发明的说明书和所附权利要求中所使用的,单数形式“一”、“一个”和“该”旨在也包括复数形式,除非上下文清楚地另外指示。还将理解的是,如在本文所使用的术语“和/或”指代且包含相关联的所列出的项中的一项或多项的任何和所有可能的组合。
术语“耦合”和“连接”连同其衍生词可以在本文用于描述部件之间的功能关系或结构关系。还应当指出的是,这些术语不旨在为彼此的同义词。相反,在特定的实施例中,“连接”可以用于指示两个或更多个元件彼此直接物理、光、或电接触。“耦合”可以用于指示两个或更多个元件(与在其之间的其它中间元件)直接或间接彼此物理、光、或电接触,和/或两个或更多个元件彼此协作或交互(例如,如以因果关系)。
如在本文所使用的术语“在……上方”、“在……下方”、“在……之间”和“在……上”指代一个部件或材料相对于其它部件或材料的相对位置,其中这些物理关系是显著的。例如,在上下文涉及材料的情况下,一材料或一种材料设置在另一材料上方或下方可以是直接接触,或者可以具有一种或多种中间材料。而且,设置在两个材料之间的一材料或一种材料可以与两层直接相接触或可以具有一个或多个中间层。相反,在第二材料“上”的第一材料或材料或材料与第二材料/材料直接相接触。在部件组件的情况下做出了类似的区分。
如遍及此说明书和权利要求书中所使用的,由术语“中的至少一个”或“中的一个或多个”连接的项的列表可以意指所列出的项的任何组合。例如,词语“A、B或C中的至少一个”可以意指A;B;C;A和B;A和C;B和C;或A、B和C。
本文描述了包括设置在下级互连部件的顶表面上的选择性过孔接线柱的互连结构以及选择性地形成这种接线柱的制造技术。在实施例中,选择性过孔接线柱具有比其内设置了接线柱的过孔开口的底部横向尺寸更小的底部横向尺寸。在实施例中,过孔接线柱优选地沉积在由过孔凹部图案化所暴露的互连部件的顶表面上。在导电的过孔接线柱的形成之后沉积的电介质材料对过孔开口的延伸超过其中未形成任何导电的过孔接线柱的下级互连部件的横向尺寸的任何部分进行回填。导电接线柱将着落在选择性过孔接线柱上的上级互连部件电连接至下级互连部件。用于形成导电的过孔接线柱和过孔凹部的未对准部分的电介质回填的选择性生长技术可以一起保持最小互连线间隔(独立于过孔开口配准误差)。
图2A是根据实施例的包括选择性的过孔接线柱260的IC互连架构201的平面图。图2B是根据实施例的沿着图2A中示出的b-b’平面的IC互连架构的横截面视图。如在图2A和2B中示出的,下级互连部件210和211包埋在衬底205的区域上方的电介质材料205内。有源器件(未示出)(诸如,晶体管(例如,MOSFET)、光检测器(例如,PIN二极管)、激光、调制器等等)设置在衬底205中或上。一个或多个无源器件(诸如,电阻器、电容器、电感器、光波导等等)也可以设置在衬底205中或上。
衬底205可以是适合于形成单片集成的电、光、或微电子机械(MEM)器件(在本文总体上称为IC)的任何衬底。示范性衬底包括半导体衬底、绝缘体上半导体(SOI)衬底、绝缘体衬底(例如,蓝宝石)等等、和/或其组合。在一个示范性实施例中,衬底205包括实质上单晶的半导体,诸如但不限于硅。示范性半导体衬底组分还包括锗、或IV族合金系统;III-V族系统,诸如GaAs、InP、InGaAs等等;或III-N族系统,诸如GaN。
互连部件210和211可以分别是导电线、迹线、过孔或焊盘等。互连部件210、211可以包括适合于作为随后的选择性衬底工艺的晶种或催化随后的选择性衬底工艺的任何导电材料,如在本文的其它地方进一步描述的。在示范性实施例中,互连部件210、211包括提供催化顶表面的金属组分。示范性金属组分包括但不限于铜(Cu)、钴(Co)、钨(W)和其合金。在示出的实施例中,互连部件210、211包括包覆填充金属的互连衬垫208。对于本文中的实施例,填充金属是将为导电材料的选择性沉积提供催化表面或可以针对选择性沉积(例如,利用Pd)来激活的组分,而衬垫208有利地为不提供与填充金属的催化表面类似的催化表面的材料。例如,在催化填充金属包括Cu、Ni、W或Co的情况下,衬垫不包括Cu、Ni、W或Co,或衬垫208内存在的Cu、Ni、W或Co的催化功能例如通过局部杂质掺杂(例如,Mn)或化学去激活而被破坏。除了有利地具有非催化属性,衬垫208还可以是满足传统的互连衬垫功能(诸如用作固态扩散势垒和/或腐蚀抑制剂等)的材料。满足这些功能中的一个或多个功能的适合的非催化衬垫材料包括但不限于某些难熔金属(例如,Ta)、难熔金属氮化物(例如,TaN、TiN)、难熔金属氧化物(例如,TaO)、或具有与电介质材料205不同组分的非金属电介质(例如,SiO2、Si3N4、SiC、SiCN)。在进一步的实施例中,催化填充金属和非催化衬垫中的任一个或两者可以是层压的材料叠置体或组分上非均匀合金。互连部件210、211分离为尺寸CDS的横向间隔,CDS可以是给定互连级中的共面互连部件的最小设计规则间隔。尽管CDS可以随着技术节点而变化,在示范性实施例中,其范围是从5nm至60nm。
电介质材料205可以是适合于将互连部件210与互连部件211电隔离的本领域公知的任何电介质材料。许多这种材料在使用中,诸如但不限于二氧化硅、氮化硅、氮氧化硅、低-k和超低-k材料(例如,碳掺杂的二氧化硅/氮化硅、多孔电介质等)。如在图2B中示出的,电介质材料205的在过孔凹部250的横向尺寸外部或超过过孔凹部250的横向尺寸的一部分的顶表面与互连部件210、211的顶表面共面。过孔凹部250限定了暴露互连部件211的侧壁的电介质材料205中的非平面,其在示范性实施例中是衬底208的一部分。过孔凹部250是图案化的过孔开口与互连部件211之间的尺寸差和/或配准误差的物理特征。过孔凹部260具有深度DOE,DOE是用于形成过孔开口的图案化的蚀刻工艺的持续时间的函数,如在本文其它地方进一步描述的。在示范性实施例中,DOE小于互连部件211的z-高度Z1的一半,并且有利地小于互连部件侧壁z-高度Z1的25%。根据横向尺寸的过孔开口小于、等于或大于互连部件(过孔凹部250与该互连部件相交)(例如,图2A和2B中的互连部件211)的横向尺寸以及根据过孔开口是否未对准,过孔凹部250可以物理地特征化为围绕互连部件211中的或多或少的互连部件211的深沟。在描述的示范性实施例中,包括互连部件211的顶表面的过孔开口是相对于互连部件211未对准的,以使得凹部250占据互连部件210、211之间的间隔的一部分,但是离开部件211的相反侧。换句话说,电介质材料205是在具有尺寸CDS的互连部件间隔内沿着图2B中的b-b’平面为非平面的。过孔凹部250可以沿着在图2A中示出的整个过孔长度CDL而存在。
在实施例中,导电的过孔接线柱260被设置为与互连部件211的顶表面接触。根据实施例的过孔接线柱可以具有不受限制的最大横向尺寸。例如,图2中的过孔接线柱260具有y-维度长度CDL,该y-维度长度CDL可以显著大于最大x-维度(底部)宽度CDB以保持可接受的低过孔电阻。导电的过孔接线柱260具有不大于过孔接线柱着落在其上的互连部件的最小横向尺寸的最小底部横向尺寸。即使在过孔开口与整个顶表面宽度CDW相交的情况下,导电的过孔接线柱260也将不大于x-方向上的CDW。在过孔开口相交小于整个顶表面宽度CDW的情况下(如在图2A和2B中),过孔接线柱260具有底部横向关键尺寸CDB,该底部横向关键尺寸CDB小于互连部件211的横向尺寸CDW
在有利实施例中,过孔接线柱260是“选择性”导电接线柱,其具有小于其中沉积了过孔的过孔开口的横向尺寸的最小底部横向关键尺寸。例如,在图2B中,在周围电介质205中的过孔凹部250使得延伸超过互连部件211的过孔开口图案明显的情况下,过孔接线柱260的x-尺寸宽度(CDB)小于过孔开口的x-尺寸宽度,过孔开口的x-尺寸宽度包括CDB和过孔凹部250的x-尺寸宽度(CDS的一部分)。在进一步的选择性过孔接线柱实施例中,过孔接线柱与互连部件的顶表面相接触但是实质上离开由过孔凹部暴露的互连部件的侧壁。换句话说,尽管一些迹线过孔接线柱金属可以附带地设置在所暴露的互连部件的侧壁上,过孔接线柱中没有显著的部分与侧壁相交。如以下进一步描述的,选择性过孔接线柱沉积工艺确保在互连侧壁上的过孔接线柱金属沉积慢于在互连部件的顶表面上的过孔接线柱金属沉积。在图2A中,在凹部250暴露互连部件211的侧壁,并且尤其是与衬垫208的一部分明确相交的示例中,过孔接线柱260从填充金属延伸但是实质上离开衬垫208。因此,过孔接线柱中没有显著的部分沉积在凹部250内。这样,与图1中的互连架构101不同,过孔接线柱260不占据在对短路或TDDB故障产生威胁的相邻互连部件210、211之间的间隔CDS的一部分。
在实施例中,导电的过孔接线柱包括一种或多种金属和/或金属合金。公知为分别适合于电镀(例如,Cu)、化学气相沉积(例如,钨)和/或原子层沉积(例如,铝)任何金属。在替代实施例中,选择性过孔接线柱由无电镀金属组成。示范性无电镀金属包括但不限于镍(Ni)、铜(Cu)、钴(Co)、钌(Ru)、钯(Pd)、铂(Pt)、或金(Au)和其合金。如在本文所使用的,术语“无电镀”指代具体的材料微结构和/或组分,并且不仅仅指代用于形成过孔接线柱的工艺。例如,某些无电镀金属实施例除了其主要金属种类(例如,Ni、Cu、Co等等)之外,还具有相对高的组分(例如,至少10-15%)的杂质掺杂剂,诸如磷(P)、碳(C)或硼(B)中的一种或多种。
在实施例中,电介质材料在过孔凹部内设置为相邻于选择性的过孔接线柱。如在图2B中示出的,例如,电介质材料215设置在电介质材料205上方并且设置在过孔凹部250内。在电介质材料215设置在过孔凹部215内的情况下,电介质材料215与电介质材料205之间的界面区分出了电介质材料205中的非平面,其由电介质材料215来回填。电介质材料215还与互连衬垫208在过孔凹部250的端部处相接触。在进一步的实施例中,过孔接线柱包埋在设置在过孔凹部内的电介质材料内,其中该电介质材料的厚度超过过孔接线柱的z-高度。如在图2B中示出的,电介质材料215具有大于过孔接线柱z-高度Z2的厚度,并且围绕过孔接线柱260,包括顶部接线柱表面的不接触上级互连部件221的一部分。
在进一步的实施例中,电介质材料215可以是至少包括了基础层和设置在基础层上方的顶部层的电介质叠置体。对于这种实施例,基础层有利地是共形的(即,具有独立于地形的膜厚度)并且设置在过孔凹部250内,接触互连衬垫208的一部分并且形成围绕过孔接线柱260的包覆。此基础电介质层可以用作钝化和/或保护互连结构免受顶部电介质层和/或免受用于形成顶部层的工艺。示范性基础层材料包括但不限于AL2O3、SiN、SiC和SiCN。顶部电介质层有利地是非共形的,具有超过过孔接线柱260的z-高度的厚度以及具有平面顶表面。电介质材料215可以包括任何公知的电介质材料,诸如但不限于针对电介质材料205所描述的那些中的任何一种。在某些实施例中,设置在凹部250内的电介质材料215具有与电介质材料205相同的组分,在这种情况下,悬空键、不均匀微结构、或污染物种类中的一个或多个仍然提供电介质材料205与电介质材料215之间的界面的划分。
在实施例中,中间电介质材料设置在形成过孔凹部处的界面的电介质材料之间。此中间电介质材料离开过孔凹部并且还可以区分其中设置了选择性过孔接线柱的过孔开口的尺寸。例如,如在图2B中示出的,中间电介质212设置在电介质材料205与电介质材料215之间的除了在过孔凹部250内之外的任何地方。因此,过孔凹部250形成中间电介质212中的非平面。对于如所示出的其中非平面完全通过中间电介质212的实施例,过孔凹部250实质上与中间电介质212中的开口对准。电介质材料215对中间电介质212和延伸至电介质205中的过孔凹部250两者进行回填。中间电介质212还设置在互连部件210、211的顶表面上方的其中过孔接线柱260不存在的区域中。同样如在图2B中示出的,中间电介质212与过孔接线柱260相接触,形成在过孔接线柱260的至少底部部分周围的至少局部周界。更具体而言,如在图2B中示出的,设置在互连部件211上方的过孔接线柱260的侧壁区域与中间电介质212物理接触。
中间电介质212可以是任何常规的电介质材料组分,包括先前针对电介质材料205和/或电介质材料215所描述的那些中的任一种。在有利实施例中,中间电介质材料212组分上与电介质材料205不同,容许两个材料之间进行选择性蚀刻。示范性材料包括AL2O3、SiN、SiC和SiCN。在进一步的实施例中,中间电介质材料212组分上与电介质材料205和电介质材料215不同,例如其中电介质材料205具有与电介质材料215相同的组分。替代地,中间电介质材料212具有与电介质材料205、215中的至少一个相同的组分。
在实施例中,导电的互连部件设置在过孔接线柱的顶表面上。如在图2A和2B中进一步示出的,上级互连部件220和221包埋在电介质材料215内。互连部件220、221的顶表面与电介质材料215的顶表面共面。互连部件220、221可以是焊盘、过孔或线。互连部件221的底部接触过孔接线柱260的顶表面。图2A和2B示出了其中互连部件221具有比过孔接线柱260更大的横向尺寸和/或在部件221与过孔接线柱260之间存在配准误差的示范性架构。互连部件221使横向距离S2延伸超过过孔接线柱260的顶表面的边缘并且接触过孔接线柱侧壁的一部分。取决于过孔接线柱z-高度Z2和其中设置了互连部件221的沟槽的深度,在互连部件210与部件221之间存在电介质填充的间隔S3。过孔接线柱260为互连部件221提供z-支架(z-stand off),使得间隔S3任意大于互连间隔CDS。因此,由于过孔接线柱260也横向受限制或“自对准”至互连结构211的顶表面,架构201中的非连接互连部件由至少为CDS的最小间隔来分离,而不会遭受图1中示出的物理侵犯。
在实施例中,顶部电介质材料设置在着落于选择性的过孔接线柱上的互连部件的顶表面上方。顶部电介质材料222可以具有针对电介质材料205、212或215所描述的组分中的任一种。在示范性实施例中,电介质材料222具有与电介质材料212相同的组分,实现了互连结构201的另一叠代,这可以再次利用选择性过孔接线柱,以避免随后侵犯互连部件220、221之间的间隔。
具有选择性形成的过孔接线柱的互连结构可以借助于各种技术来制造。图3A是示出了根据一个示范性实施例的用于形成具有选择性形成的过孔接线柱的IC互连结构的方法301的流程图。方法301可以被实施为制造在图2A、2B中示出的互连结构201。
方法301开始于操作310,在操作310中,第一导电的互连部件形成在设置在衬底上方的电介质中。任何制造技术可以用在操作301处。例如,镶嵌或双镶嵌工艺可以包括在电介质材料中蚀刻沟槽、利用互连金属化对沟槽进行回填、以及抛光任何覆盖层以在沟槽内留下电隔离的互连部件以及利用周围的电介质材料进行平坦化。
方法301然后进行至操作320,在操作320中,蚀刻停止(ES)或ES和硬掩膜被沉积于在操作310中所形成的互连部件上方。在操作320处可以执行任何ES和硬掩膜沉积工艺(诸如化学气相沉积(CVD)、等离子体增强CVD(PECVD)、或旋涂电介质工艺)。在操作330处,过孔开口被图案化为在操作320处沉积的ES或ES/硬掩膜叠置体。过孔开口可以包括公知为适合于期望的过孔开口尺寸和ES/硬掩膜材料的一个或多个光刻掩膜印刷工艺和掩膜蚀刻和清洁工艺。可以在操作330中利用各向异性反应离子刻蚀来蚀刻穿过电介质ES/硬掩膜的区域并且暴露在操作310中形成的导电的互连部件的部分,其后是干法或湿法硬掩膜去除以及湿法清洁。
在操作340,通过在晶种或由过孔开口操作330所暴露的互连部件的催化表面上选择性地沉积金属来形成导电的过孔接线柱。选择性过孔接线柱沉积工艺确保互连侧壁上的过孔接线柱金属沉积慢于互连部件的顶表面上的过孔接线柱金属沉积。在实施例中,选择性沉积操作包括催化沉积工艺,诸如但不限于选择性CVD、ALD、无电镀沉积中的一个或多个。在过孔开口操作330暴露互连部件的侧壁的情况下,选择性地相对于暴露的侧壁上方或优选地在暴露的侧壁上方,选择性沉积操作340在互连部件的顶表面上沉积金属。在过孔开口操作330暴露下层电介质材料的情况下,选择性地相对于下层电介质材料或优选地在下层电介质材料上方,选择性沉积操作340在互连部件的顶表面上沉积金属。
在操作350,将电介质材料回填至在操作330中形成的过孔开口的任何部分,而不是在选择性过孔沉积操作340中利用金属来填充。取决于在操作350处利用的电介质沉积工艺,可需要平坦化抛光。例如,化学机械抛光(CMP)可以跟随CVD电介质沉积之后。在其它实施例中,在没有任何平坦化抛光的情况下,在操作350中采用旋涂电介质沉积。
在操作360,在操作340中所形成的导电的过孔接线柱被互连至更高级金属化。在一个示范性实施例中,操作360包括镶嵌工艺。将沟槽蚀刻至在操作350处沉积的与过孔接线柱相交的电介质中。将金属化沉积至沟槽中以电接触过孔接线柱,借助于在操作350处沉积的电介质的顶表面来对金属化进行平坦化。根据给定IC所需要的,方法301可以进行重复以形成附加的互连金属化级。
图3B是示出了根据方法301的具体实施例的用于形成具有选择性过孔接线柱的IC互连结构的方法302的流程图。图4A、4B、4C、4D、4E、4F、4G和4H是根据进一步的实施例的包括选择性过孔接线柱的IC互连结构的横截面视图,该选择性过孔接线柱通过执行方法302中的选择的操作而形成。针对在图4A-4G中进一步示出的相对应的结构保留了在图2A-2B中引入的附图标记。
参考图3B,方法302开始于操作311,在操作311中,第一和第二相邻的互连部件以特征之间的最小间隔而包埋在电介质材料内。在图4A中示出的示例中,沟槽405以最小沟槽间隔CDS被蚀刻至电介质205中。在实施例中,将非催化衬垫材料沉积至沟槽405中,和/或对沟槽405的表面进行处理以局部破坏随后沉积至沟槽405中的金属的催化属性。甚至当在操作311处制造的互连部件另外被认为缺少衬垫(liner-less)的情况下(例如,缺乏明显的扩散势垒材料,诸如Ta、TaN、TiN等),可以执行衬垫和/或抗催化表面处理208。还可以执行抗催化表面处理,以作为形成包括明显的扩散势垒材料的加衬的互连部件的部分。破坏的表面处理被局部化到沟槽405的侧壁。在一个沟槽表面处理示例中,沟槽405的至少侧壁表面掺杂有Mn。在另一个沟槽表面处理示例中,沟槽405的至少侧壁表面利用硅烷(silane)来处理。在替代实施例中,将非催化衬垫材料(诸如TaN)或非金属化电介质沉积至沟槽405中。如在图4B中进一步示出的,将催化填充金属(诸如Cu、Co或Ni)沉积至沟槽405中的位于非催化或破坏的衬垫208上方。在示范性实施例中,催化填充金属通过电解沉积来沉积。然后通过借助于电介质材料205对填充金属进行平坦化的抛光来勾画互连部件210和211。
回到图3B,在操作321中,将电介质ES或ES和掩膜被沉积于在操作311中所形成的互连部件上方。图4B示出了由CVD/PECVD沉积在互连部件210、211和电介质材料205上方的示范性电介质材料212。方法301在操作331处以通过光刻和对电介质ES或ES/硬掩膜叠置体进行蚀刻来形成过孔开口而继续进行。过孔开口暴露了互连部件的催化的顶表面。在实施例中,过孔蚀刻操作331还暴露了下层电介质材料中的位于互连部件之间的最小间隔内的一部分。在图4C中示出的示范性实施例中,过孔开口被图案化为具有横向关键尺寸CDv,其中的一部分暴露了催化的顶部互连表面411。适合于电介质材料212的任何蚀刻可以被利用,并且有利地是在相比于电介质材料205优选地蚀刻电介质材料212的等离子体蚀刻工艺,以限制在过孔开口过蚀刻期间被形成至电介质材料205中的过孔凹部250的深度。如在图4C中进一步示出的,过孔凹部405具有沿着B-B’平面的横向尺寸,其近似等于过孔开口互连部件211之间的配准误差Ereg。过孔凹部因此与互连部件间隔开较小的横向距离Smin。在生成过孔开口之后,如果需要的话,可以执行处理以破坏衬垫(208)的催化属性而不是填充金属(211)的催化属性。
回到图3B,方法302在操作341处继续进行,其中通过相对于非催化表面而言优选地在催化的互连金属表面上沉积过孔金属来形成导电的过孔接线柱,其小于在操作331处被图案化的过孔开口。导电的过孔接线柱材料不显著地沉积在任何暴露的互连衬垫侧壁表面上或至暴露的电介质表面上,所以进行至实质上自对准至暴露的互连部件的顶表面。在图4D中进一步示出的示范性实施例中,过孔接线柱金属优选地沉积在暴露的催化顶表面411上。在由过孔开口所暴露的互连顶表面411包括催化填充金属(诸如Cu或Co等)的情况下,Cu或Co、Ni、Ru、Pd、Pt或Au中的一个或多个可以通过任何适合的选择性沉积工艺来沉积。在示范性实施例中,过孔接线柱金属是无电镀沉积的。在替代实施例中,过孔接线柱金属是借助于CVD或ALD沉积技术选择性沉积的。
如在图4D中示出的,没有过孔接线柱金属形成在衬垫208上,其在制造互连部件211时呈现为非催化的。类似地,没有过孔接线柱金属形成在电介质材料205上,确保过孔凹部250在沉积过孔接线柱260之后保留。由于过孔接线柱沉积操作的选择性性质,过孔接线柱260具有小于其中形成了接线柱的过孔开口的关键尺寸CBV的底部关键尺寸CDB。过孔接线柱260可以具有大于如在图4D中所示出的CDB的顶部CD,在图4D的实施例中,过孔接线柱沉积在过孔接线柱金属表面上各向同性地进行。
回到图3B,在操作351中,保护电介质层被共形地沉积于在操作341中所形成的导电的过孔接线柱上方,以及在不催化过孔金属沉积的过孔开口的任何保留部分上方。图4E示出了共形地沉积到保护电介质层214。在一个实施例中,保护电介质层214是由ALD沉积的Al2O3。在替代实施例中,保护电介质层214是由CVD/PECVD技术沉积的SiN、SiC或SiCN中的一种或多种。
在图3B中,方法以操作355继续,其中平坦化电介质被沉积在选择性过孔接线柱上方,对从过孔开口操作331保留的任何过孔凹部进行回填。如在图4F中示出的,电介质215对过孔凹部250进行回填并且形成完全覆盖导电的过孔接线柱260的平坦化表面。在一个有利实施例中,借助于旋涂工艺(其是自平坦化的)来非共形地沉积电介质215。在替代实施例中,通过CVD/PECVD非共形地沉积电介质215。如有需要的话,电介质215可以被CMP抛光,以实现平坦化。
方法302以操作361而继续,其中凹部被图形化为在操作355中所沉积的电介质材料,以实现与在操作351中所沉积的导电的过孔接线柱的电连接。参考图4G,以光刻法限定沟槽475以及电介质材料215被蚀刻以暴露导电的过孔接线柱260的表面。沟槽475与过孔接线柱260之间的任何配准误差由过孔接线柱z-高度和电介质材料215的相对应的厚度来缓和。然后对在操作361处形成的沟槽进行金属化以形成上级互连部件。如在图4H中进一步示出的,上级互连部件220、221可以通过重复操作311来形成,如以上以仅仅导致沟槽金属接触过孔接线柱260的侧壁(除了顶部接线柱表面之外)的失配准所描述的。类似地,可以很大程度上以另一电介质层222来使互连部件220、221钝化,如针对操作321所描述的。方法302然后以在操作370处继续的后端处理而完成,例如通过针对每一个附加的互连级而重复操作341-365。还可以制定用以生成该结构的替代工艺流程。
图5示出了系统1000,其中移动计算平台1005和/或数据服务器机器1006利用根据本发明的实施例的至少部分地通过自对准的选择性过孔接线柱而互连的IC。服务器机器1006可以是任何商用服务器,例如,包括设置在机架内并且为了电子数据处理联网在一起的任何数量的高性能计算平台,其在示范性实施例中包括封装的单片式IC 1050。移动计算平台1005可以是被配置用于电子数据显示、电子数据处理、无线电子数据传输等等中的每一个的任何便携式设备。例如,移动计算平台1005可以是平板、智能电话、膝上型计算机等中任何一个,并且可以包括显示屏(例如,电容式、电感式、电阻式或光学触摸屏)、芯片级或封装级集成系统1010、以及电池1015。
不管设置在展开图1020中示出的集成系统1010中还是作为服务器机器1006内的单独封装的芯片,封装的单片式IC 1050包括采用了例如如在本文其它地方所描述的自对准选择性过孔接线柱的存储器芯片(例如,RAM)或处理器芯片(例如,微处理器、多核处理器、图形处理器等)。单片式IC 1050可以还耦合至板、衬底、或内插件1060,连同电源管理集成电路(PMIC)1030、包括了宽带RF(无线)发射器和/或接收器(TX/RX)(例如,包括了数字基带和还包括在发送路径上的功率放大器和接收路径上的低噪声放大器的模拟前端模块)的RF(无线)集成电路(RFIC)1025、其控制器1035中的一个或多个。
在功能方面,PMIC 1030可以执行电池功率调节、DC-DC转换等,并且因此具有耦合至电池1015的输入端和具有向其它功能模块提供电流源的输出端。如进一步示出的,在示范性实施例中,RFIC 1025具有耦合至实施若干无线标准或协议(包括但不限于Wi-Fi(IEEE 802.11族)、WiMAX(IEEE802.16族)、IEEE 802.20、长期演进(LTE)、Ev-DO、HSPA+、HSDPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、蓝牙、其衍生物、以及被指定为3G、4G、5G及以后的任何其它无线协议)中的任何一个的天线(未示出)的输出端。在替代实施方式中,这些板级模块中的每一个可以集成至被耦合至单片式IC 1050的封装衬底的单独的IC上或耦合至单片式IC1050的封装衬底的单个IC内。
图6是根据本公开内容的至少一些实施方式布置的计算设备1100的功能框图。计算设备1100可以位于例如平台1005或服务器机器1006内部。设备1100还包括母板1102承载若干部件,诸如但不限于处理器1104(例如,专用处理器),其还可以并入根据本发明的实施例的自对准的选择性过孔接线柱。处理器1104可以物理地和/或电地耦合至母板1102。在一些示例中,处理器1104包括封装在处理器1104内的集成电路管芯。通常,术语“处理器”或“微处理器”可以指代处理来自寄存器和/或存储器的电子数据以将该电子数据转换为还可以存储在寄存器和/或存储器中的其它电子数据的任何设备或设备的部分。
在各个示例中,一个或多个通信芯片1106还可以物理地和/或电地耦合至母板1102。在进一步的实施方式中,通信芯片1106可以是处理器1104的部分。取决于其应用,计算设备1100可以包括可以或可以不物理地和电地耦合至母板1102的其它部件。这些其它部件包括但不限于易失性存储器(例如,DRAM)、非易失性存储器(例如,ROM)、闪存、图形处理器、数字信号存储器、密码存储器、芯片组、天线、触摸屏显示器、触摸屏控制器、电池、音频编码解码器、视频编码解码器、功率放大器、全球定位系统(GPS)设备、指南针、加速度计、陀螺仪、扬声器、相机、大容量储存设备(诸如,硬盘驱动器、固态驱动器(SSD)、压缩盘(CD)、数字通用盘(DVD)等等)等等。
通信芯片1106可以实现了用于往返于计算设备1100进行数据传输的无线通信。术语“无线”及其派生词可以用于描述可以通过非固态介质借助使用调制电磁辐射传送数据的电路、设备、系统、方法、技术、通信信道等。该术语不暗示相关联的设备不包含任何导线,尽管在一些实施例中它们可能不包含。通信芯片1106可以实施若干无线标准或协议的任一种,包括但不限于本文其它地方所描述的那些无线标准或协议。例如,第一通信芯片可以专用于较短距离的无线通信,诸如Wi-Fi和蓝牙,而第二通信芯片可以专用于更长距离的无线通信,诸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO等。
尽管本文所阐述的某些特征已经参考各个实施方式进行了描述,但是此描述不旨在被解释为限制的意义。因此,本公开内容所涉及的对于本领域技术人员而言显而易见的对本文所描述的实施方式的各个修改以及其它实施方式被认为存在于本公开内容的精神和范围内。
将认识到的是,本发明不限于如此描述的实施例,但是可以被实施为具有修改和更改而不脱离所附权利要求的范围。以上实施例可以包括特征的具体组合。例如:
在一个或多个第一实施例中,提供了一种集成电路(IC)互连结构,其包括:导电的互连部件,所述导电的互连部件包埋在设置在衬底上方的第一电介质材料内。所述IC结构还包括过孔凹部,所述过孔凹部与所述互连部件的侧壁交叠,其中,所述过孔凹部限定了所述第一电介质材料中或设置在所述第一电介质材料与第二电介质材料之间的中间电介质材料中的非平面,所述第二电介质材料设置在所述中间电介质材料上方。所述IC结构还包括导电的过孔接线柱,所述导电的过孔接线柱设置为与所述互连部件的顶表面相接触,其中,所述第二电介质材料还设置在所述过孔凹部内。
在一个或多个第一实施例的进一步的实例中,所述过孔凹部限定了所述第一电介质材料中的非平面,其暴露了所述互连部件的侧壁。所述导电过孔实质上离开所述互连部件侧壁。所述第一电介质层的超过所述过孔凹部的横向尺寸的顶表面与所述互连部件的所述顶表面共面,以及所述第一电介质材料和所述第二电介质材料形成区分所述过孔凹部的界面。
在一个或多个第一实施例的促进中,所述第一电介质材料和所述第二电介质材料形成区分所述过孔凹部的界面;并且所述互连结构还包括中间电介质材料,所述中间电介质材料设置在所述第一电介质材料与所述第二电介质材料之间的其中所述过孔凹部不存在的区域中,并且还设置在所述互连部件的所述顶表面之上的其中所述过孔接线柱不存在的区域中。
在一个或多个第一实施例的进一步实例中,所述互连线包括第一组分的填充金属、包覆所述填充金属的所述侧壁的第二组分的互连衬垫。所述过孔接线柱与所述填充金属相接触;以及设置在所述凹部内的所述第二电介质材料与所述互连衬垫相接触。
在一个或多个第一实施例的进一步实例中,所述互连线包括:适合于所述过孔接线柱材料的无电镀形成的第一金属组分的填充金属;以及互连衬垫,所述互连衬垫包覆所述填充金属的侧壁,所述衬垫具有不适合于所述过孔接线柱材料的无电镀形成的第二组分。
在一个或多个第一实施例的进一步实例中,所述过孔接线柱包括Ni、Cu、Co、Ru、Pd、Pt或Au中的至少一种,并且掺杂有P、C、W或B杂质。所述互连衬垫包括:填充金属;以及互连衬垫,所述互连衬垫包覆所述填充金属的所述侧壁,所述衬垫还包括金属氮化物、金属氧化物、或具有与所述第一电介质材料不同组分的非金属电介质。
在一个或多个第一实施例的进一步实例中,所述过孔接线柱包埋在所述第二电介质材料内,所述第二电介质材料的厚度超过所述过孔接线柱的z-高度。
在一个或多个第一实施例的进一步实例中,所述过孔接线柱包埋在所述第二电介质材料内,所述第二电介质材料的厚度超过所述过孔接线柱的z-高度。所述第二电介质材料包括电介质叠置体,所述电介质叠置体包括:共形基础层,所述共形基础层设置在所述过孔凹部内并且包覆所述过孔接线柱;以及非共形顶部层,所述非共形顶部层设置在所述基础层上方,所述顶部层具有超过所述过孔接线柱的z-高度的厚度。
在一个或多个第一实施例的进一步实例中,所述第二导电的互连部件设置在所述过孔接线柱的顶表面上,所述第二导电特征延伸超过所述顶部过孔接线柱表面的边缘并且接触所述过孔接线柱的侧壁的一部分。
在一个或多个第一实施例的进一步实例中,所述导电的互连部件是包埋在所述第一电介质材料内并且横向间隔开第一间隔的互连部件对中的一个。所述过孔凹部设置在所述第一间隔内。所述过孔接线柱的与所述互连部件对中的第一部件的顶表面相接触的底部与所述互连结构对中的第二结构的顶表面横向间隔开至少所述第一间隔。
在一个或多个第二实施例中,提供了一种制造集成电路(IC)互连结构的方法,其包括:在衬底上方形成包埋在第一电介质材料内的导电的互连部件。所述方法还包括对暴露所述导电的互连部件的顶表面和侧壁的过孔开口进行图案化。所述方法还包括相对于所述侧壁选择性地在所述互连部件的所述顶表面上形成导电的过孔接线柱。
在一个或多个第二实施例的进一步实例中,所述导电的互连部件还包括以不适合于催化随后的无电镀沉积衬垫材料来包覆适合于催化所述随后的无电镀沉积的第一金属组分的填充金属。形成所述导电的过孔接线柱还包括在所述催化填充材料上沉积过孔金属而不在所述非催化衬垫上沉积所述过孔金属。
在一个或多个第二实施例的进一步实例中,在所述第一电介质材料上方、所述导电的互连部件的所述侧壁上方、以及所述过孔接线柱的侧壁上方沉积第二电介质材料。
在一个或多个第二实施例的进一步实例中,在所述第一电介质材料上方、在所述导电的互连部件的所述侧壁上方、以及在所述过孔接线柱的侧壁上方沉积第二电介质材料。所述第二电介质材料沉积还包括:将基础电介质层共形地沉积至所述导电的互连部件的所述侧壁上和所述过孔接线柱的侧壁上方;以及在所述基础电介质层上方非共形地沉积顶部电介质层以在所述过孔接线柱的顶表面上方对所述顶部电介质层的顶表面进行平坦化。
在一个或多个第二实施例的进一步实例中,所述方法还包括在所述互连部件的所述顶表面上方和所述第一电介质材料的上方沉积中间电介质材料。对所述过孔开口进行图案化还包括蚀刻穿过所述中间电介质材料以暴露两个所述互连部件中的一部分并且在所述第一电介质材料中邻近于所述互连部件形成过孔凹部。所述方法还包括在所述中间电介质材料和所述过孔接线柱上方沉积第二电介质材料以填充所述过孔凹部。
在一个或多个第二实施例的进一步实例中,所述方法还包括以第二电介质材料围绕所述过孔接线柱。所述方法还包括将第二凹部蚀刻至暴露所述过孔接线柱的顶表面的所述第二电介质材料中,所述第二凹部延伸超过所述顶部过孔接线柱表面的边缘并且暴露所述过孔接线柱的侧壁的一部分。所述方法还包括在所述第二凹部内沉积第二互连部件,所述第二互连部件接触所述过孔接线柱的所述顶表面和所述侧壁。
在一个或多个第三实施例中,提供了一种形成集成电路(IC)的方法,其包括在衬底上方形成第一互连部件和第二互连部件,其中第一电介质材料设置在借助于所述第一互连部件和所述第二互连部件的顶表面而平坦化的所述第一特征与所述第二特征之间。所述方法还包括在所述第一互连部件和所述第二互连部件上方以及在所述第一特征与所述第二特征之间的所述第一电解质材料上方沉积中间电介质层。所述方法还包括对通过所述中间电介质层的开口进行图案化,所述开口暴露出所述第一互连部件的顶表面并且使所述第一互连部件与所述第二互连部件之间的所述第一电介质材料顶表面的至少一部分凹进。所述方法还包括通过在所述第一互连部件的所述顶表面上沉积金属而不在所述第一电介质材料的所述凹进部分中沉积所述金属来形成导电过孔。所述方法还包括以第二电介质材料对所述第一电介质材料的所述凹进部分进行回填。
在一个或多个第三实施例的进一步实例中,形成所述导电的过孔接线柱还包括选择性地沉积Ni、Cu、Co、Ru、Pd、Pt或Au中的至少一个。形成所述第一互连部件和所述第二互连部件还包括包覆填充金属,其有助于选择性沉积抑制所述无电镀沉积的衬垫。
在一个或多个第三实施例的进一步实例中,包覆所述填充金属还包括选择性地破坏所述第一互连部件和所述第二互连部件的侧壁。
在一个或多个第三实施例的进一步实例中,对所述第一电介质材料的所述凹进部分进行回填还包括:将基部电介质层共形地沉积至所述导电的互连部件的所述侧壁上个所述过孔接线柱的侧壁上方,以及将顶部电介质层非共形地沉积在所述基部电介质层上方以对在所述过孔接线柱的顶表面上方的所述顶部电介质层的顶表面进行平坦化。
在一个或多个第四实施例中,提供了一种集成电路(IC)互连结构,其包括:包埋在设置在衬底上方的第一电介质材料内并且横向间隔开第一间隔的第一导电的互连部件对;以及设置为与所述互连部件中的一个互连部件的顶表面相接触的所述导电的过孔接线柱。所述IC结构还包括:第二导电的互连部件,所述第二导电的互连部件包埋在设置在第一电介质材料上方的第二电介质材料内并且设置为与所述过孔接线柱的顶表面相接触,其中,所述第二互连部件与具有与所述第一间隔交叠的所述互连部件的底部表面的所述过孔接线柱横向不对齐。
在一个或多个第四实施例的进一步实例中,所述IC结构还包括设置在所述互连部件的所述顶表面上方的其中所述过孔接线柱不存在的区域中的中间电介质材料,并且其中,所述中间电介质材料还断开了所述第一间隔的至少一部分。
在一个或多个第四实施例的进一步实例中,所述第一互连部件对包括具有第一组分的填充金属的互连线对以及包覆所述填充金属的所述侧壁的第二组分的互连衬垫。所述第二互连部件包括具有所述填充金属的第三互连、以及包覆所述填充金属的所述侧壁的所述互连衬垫。所述过孔接线柱与所述第一互连部件对中的一个第一互连部件的所述填充金属相接触,并且与包覆所述第三互连线的互连衬垫相接触。所述过孔接线柱具有与所述互连填充金属和所述包覆不同的第三组分。
在一个或多个第四实施例的进一步实例中,所述过孔接线柱包括Ni、Cu、Co、Ru、Pd、Pt或Au中的至少一种,并且掺杂有P、C、W或B杂质。
然而,以上实施例并限于此,并且在各个实施方式中,以上实施例可以包括这些特征中的仅一子集、这些特征的不同顺序、这些特征的不同组合、和/或与明确列出的那些特征不同的附加特征。本发明的范围因此应当根据所附权利要求以及这些权利要求的等同形式的全部范围来确定。

Claims (19)

1.一种集成电路(IC)互连结构,包括:
导电的互连部件,所述导电的互连部件包埋在被设置于衬底上方的第一电介质材料内;
过孔凹部,所述过孔凹部与所述互连部件的侧壁交叠,其中,所述过孔凹部限定了位于所述第一电介质材料中的或位于被设置在所述第一电介质材料与第二电介质材料之间的中间电介质材料中的一非平面,所述第二电介质材料被设置在所述中间电介质材料上方;以及
导电的过孔接线柱,所述导电的过孔接线柱被设置为与所述互连部件的顶表面相接触,其中,所述第二电介质材料还被设置在所述过孔凹部内。
2.根据权利要求1所述的IC互连结构,其中
所述过孔凹部限定了位于所述第一电介质材料中的、暴露出所述互连部件的侧壁的非平面;
所述导电的过孔接线柱基本上离开所述互连部件的侧壁;
所述第一电介质层的超过所述过孔凹部的横向尺寸的顶表面与所述互连部件的顶表面共面;并且
所述第一电介质材料和所述第二电介质材料形成区分出所述过孔凹部的界面。
3.根据权利要求1所述的IC互连结构,其中,所述第一电介质材料和所述第二电介质材料形成区分出所述过孔凹部的界面;并且
其中,中间电介质材料被设置在所述第一电介质材料与所述第二电介质材料之间的、所述过孔凹部不存在的区域中,并且所述中间电介质材料还被设置在所述互连部件的所述顶表面上方的、所述过孔接线柱不存在的区域中。
4.根据权利要求1-3中任一项所述的IC互连结构,其中:
所述互连线包括第一组分的填充金属、以及包覆所述填充金属的侧壁的第二组分的互连衬垫;
所述过孔接线柱与所述填充金属相接触;并且
被设置于所述凹部内的所述第二电介质材料与所述互连衬垫相接触。
5.根据权利要求1所述的IC互连结构,其中,所述互连线包括:
适用于所述过孔接线柱材料的无电镀形成的第一金属组分的填充金属;以及
包覆所述填充金属的侧壁的互连衬垫,所述衬垫具有不适用于所述过孔接线柱材料的无电镀形成的第二组分。
6.根据权利要求1-3中任一项所述的IC互连结构,其中:
所述过孔接线柱包括Ni、Cu、Co、Ru、Pd、Pt或Au中的至少一种,并且被掺杂有P、C、W或B杂质;并且
所述互连衬垫包括:
填充金属;以及
包覆所述填充金属的侧壁的互连衬垫,所述衬垫还包括金属氮化物、金属氧化物、或非金属电介质,所述非金属电介质具有与所述第一电介质材料不同的组分。
7.根据权利要求1-6中任一项所述的IC互连结构,其中:
所述过孔接线柱被包埋在所述第二电介质材料内,其中所述第二电介质材料的厚度超过所述过孔接线柱的z-高度。
8.根据权利要求1-6中任一项所述的IC互连结构,其中:
所述过孔接线柱被包埋在所述第二电介质材料内,其中所述第二电介质材料的厚度超过所述过孔接线柱的z-高度;并且
所述第二电介质材料包括电介质叠置体,所述电介质叠置体包括:
共形的基础层,所述共形的基础层被设置在所述过孔凹部内并包覆所述过孔接线柱;以及
非共形的顶部层,所述非共形的顶部层被设置在所述基础层上方,所述顶部层具有超过所述过孔接线柱的z-高度的厚度。
9.根据权利要求1-6中任一项所述的IC互连结构,还包括:
设置于所述过孔接线柱的顶表面上的第二导电的互连部件,所述第二导电的部件延伸超过所述顶部过孔接线柱表面的边缘并接触所述过孔接线柱的侧壁的一部分。
10.根据权利要求1-6中任一项所述的IC互连结构,其中:
所述导电的互连部件是被包埋在所述第一电介质材料内并在横向上间隔开第一间隔的互连部件对中的一个;
所述过孔凹部被设置在所述第一间隔内;并且
所述过孔接线柱的与所述互连部件对中的第一互连部件的顶表面相接触的底部与所述互连结构对中的第二互连结构的顶表面在横向上间隔开至少所述第一间隔。
11.一种制造集成电路(IC)互连结构的方法,所述方法包括:
形成导电的互连部件,所述导电的互连部件被包埋在位于衬底上方的第一电介质材料内;
图案化一过孔开口,所述过孔开口暴露出所述导电的互连部件的顶表面和侧壁;以及
选择性地相对于所述侧壁在所述互连部件的顶表面上形成导电的过孔接线柱。
12.根据权利要求11所述的方法,其中:
形成所述导电的互连部件还包括:以不适用于催化随后的无电镀沉积的衬垫材料来包覆适用于催化所述随后的无电镀沉积的第一金属组分的填充金属;并且
形成所述导电的过孔接线柱还包括:在催化的所述填充金属上无电镀沉积过孔金属,而不在非催化的所述衬垫上沉积所述过孔金属。
13.根据权利要求11所述的方法,还包括:在所述第一电介质材料上方、在所述导电的互连部件的侧壁上方、以及在所述过孔接线柱的侧壁上方沉积第二电介质材料。
14.根据权利要求11所述的方法,还包括:在所述第一电介质材料上方、在所述导电的互连部件的侧壁上方、以及在所述过孔接线柱的侧壁上方沉积第二电介质材料,其中,沉积所述第二电介质材料还包括:
在所述导电的互连部件的侧壁上以及所述过孔接线柱的侧壁上方共形地沉积基础电介质层;以及
在所述基础电介质层上方非共形地沉积顶部电介质层,以对位于所述过孔接线柱的顶表面上方的所述顶部电介质层的顶表面进行平坦化。
15.根据权利要求11所述的方法,还包括:在所述互连部件的顶表面上方以及在所述第一电介质材料上方沉积中间电介质材料;
其中,
图案化所述过孔开口还包括:蚀刻穿过所述中间电介质材料,以暴露出两个所述互连部件的一部分,并在所述第一电介质材料中邻近所述互连部件处形成过孔凹部;并且
其中所述方法还包括:在所述中间电介质材料和所述过孔接线柱上方沉积第二电介质材料,以填充所述过孔凹部。
16.根据权利要求1所述的集成电路(IC)互连结构,其中,
所述导电的互连部件是被包埋在设置于衬底上方的所述第一电介质材料内并在横向上间隔开第一间隔的互连部件对中的第一互连部件;
所述导电的过孔接线柱被设置为与所述互连部件中的一个互连部件的顶表面相接触;并且所述结构还包括:
第二导电的互连部件,所述第二导电的互连部件被包埋在设置于所述第一电介质材料上方的第二电介质材料内,并且所述第二导电的互连部件被设置为与所述过孔接线柱的顶表面相接触,其中,所述第二互连部件与所述过孔接线柱在横向上不对齐,所述第二互连部件的底表面与所述第一间隔交叠。
17.根据权利要求17所述的IC结构,还包括中间电介质材料,所述中间电介质材料被设置在所述互连部件的顶表面上方的、所述过孔接线柱不存在的区域中,并且其中,所述中间电介质材料还断开了所述第一间隔的至少一部分。
18.根据权利要求17所述的IC结构,其中:
所述第一互连部件对包括具有第一组分的填充金属的互连线对、以及包覆所述填充金属的侧壁的第二组分的互连衬垫;
所述第二互连部件包括具有所述填充金属的第三互连线、以及包覆所述填充金属的侧壁的所述互连衬垫;
所述过孔接线柱与所述第一互连部件对中的一个的所述填充金属相接触,并且与包覆所述第三互连线的互连衬垫相接触;并且
所述过孔接线柱具有第三组分,所述第三组分与所述互连填充金属和所述包覆物都不同。
19.根据权利要求17所述的IC结构,其中,所述过孔接线柱包括Ni、Cu、Co、Ru、Pd、Pt或Au中的至少一种,并且所述过孔接线柱被掺杂有P、C、W或B杂质。
CN201580008960.5A 2014-03-20 2015-03-05 具有选择性过孔接线柱的可缩放互连结构 Active CN106030819B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201910179888.2A CN110098173B (zh) 2014-03-20 2015-03-05 具有选择性过孔接线柱的可缩放互连结构

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/220,814 US9391019B2 (en) 2014-03-20 2014-03-20 Scalable interconnect structures with selective via posts
US14/220,814 2014-03-20
PCT/US2015/018935 WO2015142535A1 (en) 2014-03-20 2015-03-05 Scalable interconnect structures with selective via posts

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201910179888.2A Division CN110098173B (zh) 2014-03-20 2015-03-05 具有选择性过孔接线柱的可缩放互连结构

Publications (2)

Publication Number Publication Date
CN106030819A true CN106030819A (zh) 2016-10-12
CN106030819B CN106030819B (zh) 2019-09-06

Family

ID=54142835

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201580008960.5A Active CN106030819B (zh) 2014-03-20 2015-03-05 具有选择性过孔接线柱的可缩放互连结构
CN201910179888.2A Active CN110098173B (zh) 2014-03-20 2015-03-05 具有选择性过孔接线柱的可缩放互连结构

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201910179888.2A Active CN110098173B (zh) 2014-03-20 2015-03-05 具有选择性过孔接线柱的可缩放互连结构

Country Status (7)

Country Link
US (1) US9391019B2 (zh)
EP (2) EP3120389A4 (zh)
KR (2) KR20160134645A (zh)
CN (2) CN106030819B (zh)
MY (1) MY193614A (zh)
TW (2) TWI593056B (zh)
WO (1) WO2015142535A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109314138A (zh) * 2016-10-28 2019-02-05 华为技术有限公司 场效应晶体管及其制造方法

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9589897B1 (en) * 2015-08-18 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Trench liner for removing impurities in a non-copper trench
US9837309B2 (en) 2015-11-19 2017-12-05 International Business Machines Corporation Semiconductor via structure with lower electrical resistance
US9711391B1 (en) * 2016-01-20 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN110024106B (zh) * 2016-12-30 2024-01-23 英特尔公司 带有转化的衬里的自对准硬掩模
TWI686912B (zh) * 2017-01-24 2020-03-01 旺宏電子股份有限公司 內連線結構及其製造方法
TWI636543B (zh) * 2017-07-19 2018-09-21 旺宏電子股份有限公司 內連線結構及其製造方法
US10777452B2 (en) 2017-09-14 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure having top and bottom vias with a barrier layer therebetween and a dielectric spacer at the bottom via
US20190148290A1 (en) * 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Two-Dimensional Via Pillar Structures
WO2019132899A1 (en) 2017-12-27 2019-07-04 Intel Corporation Integrated circuits (ics) with electromigration (em)-resistant segments in an interconnect level
EP3732705A4 (en) * 2017-12-27 2021-08-04 INTEL Corporation METAL ISOLATOR METAL (MIM) STRUCTURE FOR HIGH VOLTAGE APPLICATIONS AND LOW VOLTAGE APPLICATIONS
WO2019132897A1 (en) 2017-12-27 2019-07-04 Intel Corporation Multiple layer metal-insulator-metal (mim) structure
US11205586B2 (en) 2017-12-27 2021-12-21 Intel Corporation Integrated circuits with line breaks and line bridges within a single interconnect level
US10727124B2 (en) 2018-10-29 2020-07-28 International Business Machines Corporation Structure and method for forming fully-aligned trench with an up-via integration scheme
US20200176379A1 (en) * 2018-11-30 2020-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Metal filament vias for interconnect structure
FR3091014B1 (fr) * 2018-12-24 2022-06-24 Commissariat Energie Atomique Procédé de sécurisation d’un circuit intégré lors de sa réalisation
US11404317B2 (en) 2019-09-24 2022-08-02 International Business Machines Corporation Method for fabricating a semiconductor device including self-aligned top via formation at line ends
US11244859B2 (en) * 2019-10-10 2022-02-08 International Business Machines Corporation Interconnects having a via-to-line spacer for preventing short circuit events between a conductive via and an adjacent line
US11232986B2 (en) 2019-10-11 2022-01-25 Samsung Electronics Co., Ltd. Integrated circuit devices including enlarged via and fully aligned metal wire and methods of forming the same
US11217481B2 (en) * 2019-11-08 2022-01-04 International Business Machines Corporation Fully aligned top vias
US11164777B2 (en) * 2020-01-15 2021-11-02 International Business Machines Corporation Top via with damascene line and via
US11232977B2 (en) 2020-02-11 2022-01-25 International Business Machines Corporation Stepped top via for via resistance reduction
FR3108781B1 (fr) * 2020-03-30 2022-03-18 Commissariat Energie Atomique Procédé de réalisation sur une plaque d’une pluralité de puces comprenant chacune une zone d’individualisation
US11189568B2 (en) 2020-04-29 2021-11-30 International Business Machines Corporation Top via interconnect having a line with a reduced bottom dimension
US11456211B2 (en) * 2020-07-30 2022-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming interconnect structure

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001053144A (ja) * 1999-08-16 2001-02-23 Matsushita Electronics Industry Corp 半導体装置及びその製造方法
CN1630086A (zh) * 2003-12-16 2005-06-22 恩益禧电子股份有限公司 半导体集成电路器件
US20090298280A1 (en) * 2006-05-17 2009-12-03 International Business Machines Corporation Structure and method for creating reliable via contacts for interconnect applications

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5514622A (en) * 1994-08-29 1996-05-07 Cypress Semiconductor Corporation Method for the formation of interconnects and landing pads having a thin, conductive film underlying the plug or an associated contact of via hole
US5656543A (en) * 1995-02-03 1997-08-12 National Semiconductor Corporation Fabrication of integrated circuits with borderless vias
US6432811B1 (en) * 2000-12-20 2002-08-13 Intel Corporation Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
US7008872B2 (en) * 2002-05-03 2006-03-07 Intel Corporation Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
US7304388B2 (en) * 2003-06-26 2007-12-04 Intel Corporation Method and apparatus for an improved air gap interconnect structure
JP5180426B2 (ja) 2005-03-11 2013-04-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7439557B2 (en) * 2005-03-29 2008-10-21 Coldwatt, Inc. Semiconductor device having a lateral channel and contacts on opposing surfaces thereof
US7348648B2 (en) * 2006-03-13 2008-03-25 International Business Machines Corporation Interconnect structure with a barrier-redundancy feature
US7659196B2 (en) * 2006-12-20 2010-02-09 Intel Corporation Soluble hard mask for interlayer dielectric patterning
US7863742B2 (en) * 2007-11-01 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Back end integrated WLCSP structure without aluminum pads
US8288276B2 (en) * 2008-12-30 2012-10-16 International Business Machines Corporation Method of forming an interconnect structure including a metallic interfacial layer located at a bottom via portion
US9123727B2 (en) * 2011-12-29 2015-09-01 Intel Corporation Airgap interconnect with hood layer and method of forming

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001053144A (ja) * 1999-08-16 2001-02-23 Matsushita Electronics Industry Corp 半導体装置及びその製造方法
CN1630086A (zh) * 2003-12-16 2005-06-22 恩益禧电子股份有限公司 半导体集成电路器件
US20090298280A1 (en) * 2006-05-17 2009-12-03 International Business Machines Corporation Structure and method for creating reliable via contacts for interconnect applications

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109314138A (zh) * 2016-10-28 2019-02-05 华为技术有限公司 场效应晶体管及其制造方法
CN109314138B (zh) * 2016-10-28 2021-10-15 华为技术有限公司 场效应晶体管及其制造方法

Also Published As

Publication number Publication date
US20150270211A1 (en) 2015-09-24
CN106030819B (zh) 2019-09-06
WO2015142535A1 (en) 2015-09-24
US9391019B2 (en) 2016-07-12
KR20160134645A (ko) 2016-11-23
EP3534396A1 (en) 2019-09-04
TW201546959A (zh) 2015-12-16
TWI593056B (zh) 2017-07-21
EP3120389A1 (en) 2017-01-25
MY193614A (en) 2022-10-20
CN110098173A (zh) 2019-08-06
CN110098173B (zh) 2023-10-03
TW201711133A (zh) 2017-03-16
KR20210134834A (ko) 2021-11-10
KR102506276B1 (ko) 2023-03-07
TWI544577B (zh) 2016-08-01
EP3120389A4 (en) 2017-11-15

Similar Documents

Publication Publication Date Title
CN106030819A (zh) 具有选择性过孔接线柱的可缩放互连结构
US10475772B2 (en) Seal-ring structure for stacking integrated circuits
US10629592B2 (en) Through silicon via design for stacking integrated circuits
CN107004633B (zh) 使用交替硬掩模和密闭性蚀刻停止衬垫方案使紧密间距导电层与引导通孔接触的方法和结构
TWI673846B (zh) 產生具有增加重疊邊界的交替硬遮罩覆蓋互連結構之新穎方法
KR101697573B1 (ko) 반도체 장치, 그 제조 방법, 및 상기 반도체 장치를 포함하는 반도체 패키지
US20170287775A1 (en) Interconnect Structure Having an Etch Stop Layer Over Conductive Lines
KR102064863B1 (ko) 관통 비아 구조체를 갖는 반도체 소자 제조 방법
CN108701645B (zh) 减成图案化的互连下方的自对准通孔
CN108461477B (zh) 用于超(跳跃)通孔整合的金属互连
CN101465332B (zh) 半导体芯片及其制造方法和半导体芯片堆叠封装
KR20170095836A (ko) 타이트한 피치의 금속 상호접속층들의 상부 및 하부에 비아를 자기 정렬하는 구조체 및 방법
TW201826497A (zh) 用於積體電路裝置之預成的層間連接
CN112582376A (zh) 带侧壁互连结构的半导体装置及其制造方法及电子设备
TW201721803A (zh) 防止通路擊穿的無遮罩氣隙
US9842774B1 (en) Through substrate via structure for noise reduction
KR20160119761A (ko) 도전체 백필을 갖는 임베드된 퓨즈
WO2016160313A1 (en) Systems and methods to reduce parasitic capacitance
US20240153897A1 (en) Semiconductor device with advanced pad structure and method for forming same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant