TW201615535A - 自我對準圖案化及疊代自我對準圖案化的方法 - Google Patents

自我對準圖案化及疊代自我對準圖案化的方法 Download PDF

Info

Publication number
TW201615535A
TW201615535A TW104134168A TW104134168A TW201615535A TW 201615535 A TW201615535 A TW 201615535A TW 104134168 A TW104134168 A TW 104134168A TW 104134168 A TW104134168 A TW 104134168A TW 201615535 A TW201615535 A TW 201615535A
Authority
TW
Taiwan
Prior art keywords
spacer layer
layer
type
spacers
mandrel
Prior art date
Application number
TW104134168A
Other languages
English (en)
Other versions
TWI561460B (en
Inventor
陳德芳
林煥哲
李俊鴻
陳昭成
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201615535A publication Critical patent/TW201615535A/zh
Application granted granted Critical
Publication of TWI561460B publication Critical patent/TWI561460B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)

Abstract

自我對準圖案化的方法包含提供基底,形成圖案化的心軸層其包含複數個心軸特徵,圖案化的心軸層形成於基底上,沈積第一間隔層於心軸層上,第一間隔層包含第一類型材料,各向異性地蝕刻第一間隔層以留下第一組間隔物於心軸特徵的側壁上,移除心軸層,沈積第二間隔層於第一間隔層的剩餘部份上,及各向異性地蝕刻第二間隔層以形成第二組間隔物於第一組間隔物的側壁上。

Description

自我對準圖案化及疊代自我對準圖案化的方法
本發明係有關於半導體積體電路製程之圖案化技術,特別有關於自我對準圖案化製程以及疊代自我對準圖案化製程技術。
製造積體電路時,各種不同的特徵例如金屬線形成於半導體基底中,為了形成這些特徵,光罩(photo-mask)係用來形成圖案於光阻層中,光阻層被移除的區域使得在其下面的基底曝露於蝕刻製程,以形成溝槽,此溝槽後續置入金屬。
當形成於光阻層中的圖案變得愈來愈緻密,使用單一光罩於光阻層中形成圖案就會變得困難,因為此時奈米等級範圍的特徵小於光阻層曝光的光源的解析度,一些例子中,使用自我對準多重圖案化技術產生更緻密的特徵。
自我對準多重圖案化技術一般涉及使用沈積間隔材料於心軸層(mandrel layer)上,然後移除心軸層,且剩餘的間隔材料作為硬遮罩,在下面的層可為暫時層,用以形成另一心軸層,其可透過使用來自於間隔材料的硬遮罩被圖案化,此製程可重覆以產生更緻密的圖案,且各步驟利用額外的暫時層,因此希望能夠使用多重圖案化技術使製程成本更有效率。
為了讓本揭示能從下列詳細描述更明顯易懂,以下配合所附圖示作詳細說明。需注意的是,根據業界常規,各種特徵並無按比例繪製,事實上,各種特徵的尺寸為了達到清楚說明之目的可任意增減。
102‧‧‧基底
104‧‧‧心軸層
106‧‧‧心軸特徵
108‧‧‧第一間距
110、204‧‧‧第一間隔層
112、116、124、128、140、150‧‧‧移除製程
114‧‧‧第一組間隔物(第一間隔層的特徵)
118、130‧‧‧空隙
120、132、142‧‧‧間距
122、206‧‧‧第二間隔層
126‧‧‧第二組間隔物(第二間隔層的特徵)
134、208‧‧‧第三間隔層
136‧‧‧介電層
138‧‧‧平坦化製程
144‧‧‧第三間隔層特徵
146‧‧‧蝕刻製程
148‧‧‧特徵
201‧‧‧第一特徵
202‧‧‧心軸層
203、205‧‧‧第二特徵
210‧‧‧第一寬度
212‧‧‧間隔
214、216‧‧‧厚度
214M、222‧‧‧合併特徵
218‧‧‧寬度
220‧‧‧第二寬度
300‧‧‧方法
302、304、306、308、310、312、314、316、318‧‧‧步驟
第1A-1M圖係根據本揭示所述之一實施例說明疊代自我對準圖案化製程的示意圖;第2A-2B圖係根據本揭示所述之一實施例用於自我對準圖案化技術的圖案的示意圖;第3圖係根據本揭示所述之一實施例說明疊代自我對準圖案化方法的流程圖。
以下之敘述提供許多不同的實施例或例子,用以實施本發明標的之不同特徵。下述特定的元件及排列方式之例子儘為了簡化本發明。當然,這些僅用以舉例而非用於限定本發明。例如,當述及第一特徵位於第二特徵上或之上時,包含第一特徵與第二特徵直接接觸之實施例,或者亦可包含額外特徵形成在第一特徵與第二特徵之間,使得第一特徵與第二特徵可能不直接接觸的實施例。此外,本揭示在不同實施例中可能使用重複的標號或標示。這些重複僅為了達到簡化清楚之目的,不是用於表示所討論之不同實施例及/或結構之間的關連性。
更進一步,於說明書中的空間相對用語,例如”底 下(beneath)”、”下方(below)”、”低於(lower)”、”之上(above)、”上面(upper)”以及類似的用語,在此可使用以易於說明圖中的某一元件或特徵與另一元件或特徵之間的關係。這些空間相對用語係包含裝置在使用或操作上除了圖中所描繪的方向以外不同的方向,裝置可具有其他方向(旋轉90度或其他方向)且此在所使用關於空間的相對敘述可依此作類似的解釋。
如上述,提升自我對準圖案化技術的效率是業界期望的,依據本揭示的一實施例,由第一類型的材料所製成的間隔層形成於圖案化的心軸層上,然後曝露出且移除心軸特徵,剩餘的間隔層特徵之後用來作為第二間隔層的心軸,第二間隔層形成於第一間隔層特徵上。第二間隔層由第二類型的材料所製成,其具有相對於第一類型材料的蝕刻選擇性。此圖案化技術可在由第一類型的材料所製成的間隔層與由第二類型的材料所製成的間隔層之間反覆地交替,直到圖案達到所需的密度,之後,此圖案可被轉移至在下面的基底。
第1A-1M係根據本揭示所述之一實施例說明疊代自我對準圖案化製程的示意圖,根據本實施例,第1A圖說明心軸層104形成於基底102上,在一實施例中,基底102係半導體基底,例如半導體晶圓。基底102可由半導體材料例如矽所製成,一些實施例中,其他可用於基底102的材料例如為鍺或Ⅲ-V族半導體材料,基底可包含額外層,在其上形成有圖案。
心軸層104可使用各種微影技術而圖案化,圖案化的心軸層104包含特徵(亦或心軸特徵)106,其具有第一間距(pitch)108,心軸層104可由常用於心軸層的標準材料所製成。
第1B圖說明形成第一間隔層110於心軸特徵上,第一間隔層110沈積使其本身順應在下面的心軸特徵106,因此,第一間隔物形成於心軸特徵106的側壁上,也形成於心軸特徵106的頂部上。此第一間隔層110由第一類型的間隔層材料所製成。更多關於在此使用的間隔層材料的細節將提供如下。
在一實施例中,第一間隔層110使用化學氣相沈積(CVD)製程形成,化學氣相沈積製程包含將基底曝露於揮發性前驅物,其反應及/或分解以形成沈積層於基底上。在一實施例中,用於沈積第一間隔層110的化學氣相沈積製程為低壓化學氣相沈積(LPCVD)製程。此化學氣相沈積製程使用反應室中的低壓例如次大氣壓。在一實施例中,形成第一間隔層110的化學氣相沈積製程為電漿加強化學氣相沈積(PECVD)製程,電漿加強化學氣相沈積製程利用電漿增加前驅物的反應速率,其可使化學氣相沈積製程於較低的溫度下實施。
第1C圖說明移除製程112,用以移除第一間隔層110的一部份,曝露出心軸特徵106的頂部,從而留下第一組間隔物(或稱第一間隔層的特徵)114於心軸特徵106的側壁上。一實施例中,移除製程112為各向異性(anisotropic)蝕刻製程,例如乾式蝕刻製程。各向異性製程意即蝕刻主要發生於一個方向。在各向異性蝕刻製程期間,位於心軸特徵106和基底102上的第一間隔層110之部份大抵上被移除,同時位於心軸特徵106側壁上的部份大抵上完整保留。乾式蝕刻係藉由將材料曝露於離子的撞擊而移除材料的製程。乾式蝕刻製程使用反應性氣體,例如氟碳化物、氧氣、氯氣、三氟化硼以及其他氣體的電 漿,離子的撞擊去除曝露表面之部份。移除製程112也移除第一間隔層110,使得基底102曝露。
第1D圖說明移除製程116移除心軸特徵106,經過移除製程116後,於原本心軸特徵106之處產生空隙118,移除製程116可為蝕刻製程例如乾式蝕刻製程。一些實施例中,移除製程116為濕式蝕刻製程,濕式製程通常包含將材料浸泡於化學蝕刻劑,使得材料的曝露部份被化學性地移除。濕式蝕刻一般為等向性(isotropic),意即其在全方向蝕刻。移除製程係設計為在第一間隔層110與心軸特徵106的材料之間具選擇性,這表示移除製程116移除心軸特徵106且大抵上留下第一間隔層110。
移除心軸特徵106後,第一間隔層110的剩餘部份從留下的側壁間隔物形成特徵(或稱第一組間隔物)114,這些第一間隔層特徵114具有間距120,其受到心軸特徵106的寬度和心軸特徵106的第一間距108的影響。如下列的詳細敘述,第一間隔層的特徵114可在額外的圖案化步驟中作為心軸層。
第1E圖說明形成第二間隔層122在由第一間隔層110的剩餘部份所產生的第一間隔層的特徵114之上。沈積第二間隔層122使得其順應第一間隔層的特徵114,因此,第二間隔層122具有與第一間隔層的特徵114相似的輪廓。第二間隔層122可使用化學氣相沈積製程例如低壓化學氣相沈積(LPCVD)製程或電漿加強化學氣相沈積(PECVD)製程而形成,因此第二間隔層122形成於第一間隔層特徵114的側壁和頂部上。第二間隔層122的厚度大扺上均勻一致。
第二間隔層122可由對第一間隔層110的材料類型具蝕刻選擇性的材料形成,依據本揭示,第一間隔層由選自於第一組的材料所製成,第二間隔層由選自於第二組的材料所製成。第二組的材料與第一組的材料具有蝕刻選擇性,為了討論之目的,第一組的材料將稱為A類型材料且第二組材料將稱為B類型材料。在一實施例中,A類型材料包含介電材料,例如氧化物、氮化矽(SiN)、氮氧化矽(SiON)、碳化矽(SiC)、氮碳化矽(SiCN)、或碳氮氧化矽(SiOCN)。這些材料可使用如上述的低壓化學氣相沈積製程或電漿加強化學氣相沈積製程沈積。此外,這些介電材料可使用原子層沈積(ALD)形成的介電材料。B類型材料包含導體材料例如非晶(amorphous)矽或非晶碳,這些材料可使用電漿加強化學氣相沈積製程或低壓化學氣相沈積製程形成。
此例中,A類型材料和B類型材料係選擇於各向異性蝕刻製程中具有高度蝕刻選擇性,以形成各自的間隔物,在一實施例中,使用氟基氣體的各向異性蝕刻製程可用於移除A類型材料,此製程將移除A類型材料並且大抵上完整留下B類型材料。另外,使用氯基氣體的各向異性蝕刻製程可用於移除B類型材料,此製程將移除B類型材料並且大抵上完整留下A類型材料。
在一實施例中,第一間隔層110由A類型材料製成,且第二間隔層122由B類型材料製成。然而,一些實施例中,第一間隔層110由B類型材料製成,且第二間隔層122由A類型材料製成。A類型材料和B類型材料皆有良好的順應特性,使 得這些材料能有效地沈積以順應在下面的特徵。
第1F圖說明用於移除第二間隔層122的一部份,以曝露出第一間隔層的特徵114的頂表面之移除製程124。移除製程124可為各向異性蝕刻製程,例如乾式蝕刻製程。此製程留下第二組側壁間隔物126於第一間隔層的特徵114的側壁上,位於間隔層之間的間隔物係基於第二間隔層122的厚度而定。一實施例中,若第二間隔層122由介電材料所製成,之後會使用氟基氣體的蝕刻製程作為移除製程124。然而,若第二間隔層122由導電材料所製成,之後會使用氯基氣體的乾式蝕刻製程作為移除製程124。移除製程124也可曝露出位於未被第一間隔層的特徵114所保護之區域的下方基底102。
第1G圖說明用以移除曝露的第一間隔層的特徵114,且大抵上完整留下第二間隔層122的部份之移除製程128,第二間隔層122的剩餘部份之後將形成第二間隔層的特徵126(或稱為第二組側壁間隔物),移除製程128於原本第一間隔層的特徵114之處產生空隙130,第二間隔層的特徵126具有間距132,其係基於第一間隔層的特徵114寬度和間距120而定,第二間隔層的特徵126之寬度係部分地基於第一間隔層的特徵114的厚度而定。
移除製程128係選擇性只移除使用於第一間隔層110的材料類型,例如,若第一間隔層110由A類型材料所製成且第二間隔層122由B類型材料所製成,之後使用與A類型材料有關聯的各向異性蝕刻製程,且使得B類型材料製成的第二間隔層大抵上完整留下。
第1H圖說明第三間隔層134沈積於第二間隔層的特徵126的剩餘部份之上,第三間隔層134係形成並順應於第二間隔層特徵126之上,因此,第三間隔層134的輪廓與第二間隔層特徵126相似。另外,第三間隔層134形成於第二間隔層特徵126的側壁與頂端之上,第三間隔層134可藉由化學氣相沈積製程例如低壓化學氣相沈積製程或電漿加強化學氣相沈積製程而形成。
第三間隔層134係選自與第一間隔層110相同類型的材料所製成,因此其材料類型與第二間隔層122不同。例如,若第一間隔層110選自A類型材料,則第三間隔層134也選自A類型材料。反之,若第一間隔層110選自B類型材料,則第三間隔層134也選自B類型材料,更特定言之,若第一間隔層110為介電材料,則第三間隔層134也為介電材料,因此,第三間隔層134也與第二間隔層122所使用的材料類型具有蝕刻選擇性。
第1I圖說明一實施例,其中介電層136形成於第三間隔層134上,介電層136填入被第三間隔層134所覆蓋的第二間隔層特徵126的間隔中。介電層136因此作為填充層,填入第二間隔特徵126之間的間隙。
第1J圖說明移除介電層136的頂端部份和第三間隔層134的頂端部份,曝露出第二間隔層特徵126之頂端的平坦化製程138。在一實施例中,平坦化製程為化學機械研磨(CMP)製程,化學機械研磨製程包含施加漿料於基底上,漿料包含化學蝕刻劑和固體粒子,之後研磨工具研磨基底的表面。因此,此表面藉由來自固體粒子的機械效應和來自化學蝕刻劑的化 學效應而平坦化。
第1K圖說明移除介電層136和第二間隔層特徵126的移除製程140,一實施例中,具有選擇性的單一蝕刻步驟使得介電層136和第二間隔層特徵126被移除,同時大抵上完整保留第三間隔層特徵144。一實施例中,移除製程140為兩步驟製程,例如,第一步驟可移除介電層136同時大抵上完整留下第三間隔層特徵144和第二間隔層特徵126。之後,第二步驟移除第二間隔層特徵126同時大抵上完整留下第三間隔層特徵144。
第三間隔層特徵144具有基於第二間隔層特徵126上的間距132和第二間隔層特徵126的寬度而定的間距142,第三間隔層特徵144的寬度係基於第三間隔層的厚度而定,第三間隔層特徵144可作為與上述步驟相似的更多步驟的心軸。特別而言,此製程可在A類型材料與B類型材料的間隔層之間交替,並使用之前所沈積的間隔層特徵作為現行間隔層的心軸。
一些實施例中,心軸層104可由與第二間隔層相同類型的材料所製成,例如,若第一間隔層110由A類型材料所製成,且第二間隔層122由B類型材料所製成,則心軸層104可選擇B類型材料製成。反之,若第一間隔層110由B類型材料所製成,且第二間隔層122由B類型材料所製成,則心軸層104可選擇A類型材料製成。
第1L圖說明使用於轉移第三間隔層特徵144的圖案至下面的基底102之蝕刻製程146,若圖案達到了其預定的密度或最終形式,則圖案可被轉移至下面的基底102。依據本實施例,第三間隔層特徵144作為蝕刻製程146的硬遮罩,因此只 有不被第三間隔層特徵144覆蓋的區域受到蝕刻製程146的影響。其在基底102內產生符合第三間隔層特徵144的圖案之特徵148。
第1M圖說明移除第三間隔層特徵144的移除製程150,移除製程150可為對於第三間隔層特徵144具有選擇性之蝕刻製程,因此大抵上完整留下基底102的材料。一實施例中,移除製程150可為濕式蝕刻製程或乾式蝕刻製程。
第2A-2B圖顯示用於自我對準圖案化技術的圖案之示意圖,特定而言,第2A和2B圖說明可以選擇怎樣的心軸層圖案和間隔層的厚度來達到所需的最終圖案。依據某些例子,可設置心軸層202中心軸特徵之間的間隔,以在最終圖案中產生特定特徵。一些例子中,最終圖案包含一組線,每一條線具有相似寬度。然而,一些例子中,需要讓一些具有比相鄰線大的寬度,其可藉由調整心軸層202中心軸特徵之間的間隔而達成。
本實施例中,心軸層202係使得第一特徵201的寬度210具有與相鄰第二特徵203的寬度210相等。第一間隔層204具有厚度214,第二間隔層206具有厚度216,其小於第一間隔層204的厚度214。第三間隔層208具有與第二間隔層206相同的厚度216。
第一特徵201和第二特徵203具有間隔212,使得合併特徵214M最後形成於最終圖案中。此實施例中,合併特徵214M的寬度214約為形成在最終圖案中的其它特徵的寬度218的兩倍,間隔212可依需要而調整以產生合併特徵214M的所需 寬度。
一些實施例中,可調整其他因素以於特定位置產生合併特徵,例如,可藉由調整第一間隔層204的厚度214形成合併特徵,另外,可藉由調整第二間隔層206或第三間隔層208的厚度而產生合併特徵。
第2B圖為說明心軸特徵具有不同尺寸中的一個,以產生合併特徵222的實施例。特定而言,心軸層202具有第一寬度210之第一特徵201和第二寬度220之第二特徵205,第二特徵205減少的第二寬度220造成第二間隔層206的兩相鄰特徵合併,其轉而造成合併特徵222在最終圖案中產生。
第3圖係說明疊代自我對準圖案化方法的流程圖,依據本實施例,方法300包含用於形成心軸層於基底上的步驟302,心軸層的材料可沈積於基底上,之後心軸層材料可使用微影技術例如光刻製程而圖案化。一些實施例中,心軸層可由與第二間隔層相同類型的材料所製成,例如,若第一間隔層由A類型材料所製成,且第二間隔層由B類型材料所製成,則心軸層由選自B類型材料製成。本實施例中,心軸層使用B類型材料形成。
方法300更包含使用A類型材料形成間隔層於現存結構上的步驟304,為了第一次疊代(iteration),此現存結構為心軸特徵。間隔層順應於現存結構,可藉由化學氣相沈積製程如低壓化學氣相沈積製程或電漿加強化學氣相沈積製程沈積間隔層。一實施例中,步驟304對應第1B圖說明的步驟,特定而言,此現存結構對應心軸特徵106且間隔層對應第一間隔層 110。
方法300更包含蝕刻以曝露現存結構的步驟306,此蝕刻可為各向異性蝕刻製程例如乾式蝕刻製程,蝕刻製程曝露出在下面的現存結構,現存結構在第一次疊代中為心軸特徵。在一實施例中,步驟306對應第1C圖,特定而言,此蝕刻製程對應移除製程112。
方法300更包含蝕刻以移除現存結構的步驟308,現存結構在第一次疊代中為心軸特徵。此蝕刻製程曝露出下面的基底,在一實施例中,步驟308對應第1D圖。特定而言,此蝕刻製程對應移除製程116。
方法300更包含使用B類型材料形成另外的間隔層的步驟310,此另外的間隔層順應之前形成的間隔層的剩餘特徵,一實施例中,步驟310對應第1E圖。特定而言,此另外的間隔層對應第二間隔層122。
方法300更包含蝕刻以曝露存結構的步驟312,此狀況的現存結構為來自於先前形成的間隔層的剩餘特徵,此蝕刻可為各向異性蝕刻製程例如乾式蝕刻製程。在一實施例中,步驟312對應第1F圖。特定而言,此蝕刻製程對應移除製程124。
方法300更包含蝕刻以移除來自於先前形成的間隔層剩餘特徵之步驟314,此蝕刻製程曝露出在下面的基底,一實施例中,步驟314對應第1G圖,特定而言,此蝕刻製程對應移除製程128。
於步驟316中,決定是否有任何更多的間隔層形成,特定而言,若現行圖案為最終圖案,則不會再形成更多層, 且方法將繼續進行至下一步驟。但若現行圖案非最終圖案,則方法將回到步驟304。
步驟304的第二次疊代可對應第1H圖,在此疊代中,形成的間隔層對應第三間隔層134,此例中的現存結構對應第二間隔層特徵126,然後此製程依照需要重覆多次直至最終圖案達成為止。一些例子中,可在步驟308之後決定現行圖案是否為最終圖案,若是,則此方法繼續進行至步驟318。
方法300更包含用以轉移圖案至基底的步驟318,若現行圖案為最終圖案時,則執行此步驟。步驟318可對應第1L-1M圖中所述的步驟,此已被轉移至基底的最終圖案可用於各種不同目的,例如,若圖案用於金屬線的形成,則形成於基底中的圖案可填入金屬材料,之後,可施加化學機械研磨製程至晶圓以除去多餘的金屬材料。
依據本實施例,自我對準圖案化的方法包含提供基底,形成圖案化的心軸層,其包含複數個心軸特徵,圖案化的心軸層形成於基底上,沈積第一間隔層於心軸層上,第一間隔層包括第一類型的材料,各向異性地蝕刻第一間隔層以留下第一組間隔物於心軸特徵的側壁上,移除心軸層,沈積第二間隔層於第一組間隔物的剩餘部份上,以及各向異性地蝕刻第二間隔層,以形成第二組間隔物於第一組間隔物的側壁上。
疊代自我對準圖案化的方法包含提供基底,形成圖案化的心軸層於基底上,沈積第一間隔層於心軸層上,使得第一間隔層順應心軸層,第一間隔層包括第一類型的材料,使用第一蝕刻劑對第一間隔層實施第一次各向異性蝕刻製程,從 而留下第一組間隔物於心軸層的側壁上,移除心軸層,形成第二間隔層於第一組間隔物上,使得第二間隔層順應第一組間隔物,第二間隔層包括不同於第一類型材料的第二類型材料,以及使用不同於第一蝕刻劑的第二蝕刻劑對第二間隔層實施第二次各向異性蝕刻製程,藉此形成第二組間隔物於第一組間隔物的側壁上。
疊代自我對準圖案化的方法,此方法包含提供基底,形成圖案化的心軸層於基底上,形成第一組間隔物於心軸層的側壁上,第一組間隔物包括第一類型的材料,移除心軸層,形成第二組間隔物於第一組間隔物的側壁上,第二組間隔物包括第二類型的材料,移除第一組間隔物,形成第三組間隔物於第二組間隔物的側壁上,第三組間隔物包括第一類型的材料,及移除第二組間隔物。
本揭示以上所述許多實施例是為了任何所屬技術領域中具有通常知識者易於理解,任何所屬技術領域中具有通常知識者應當理解基於本揭示實施例所揭露的設計或調整其他製程和結構以實現相同目的及/或達成相同優點。任何所屬技術領域中具有通常知識者也應當理解其中的不同的調整、取代以及改變皆不背離本揭示的精神以及範圍。
201‧‧‧第一特徵
202‧‧‧心軸層
203‧‧‧第二特徵
204‧‧‧第一間隔層
206‧‧‧第二間隔層
208‧‧‧第三間隔層
210‧‧‧第一寬度
212‧‧‧間隔
214、216‧‧‧厚度
214M‧‧‧合併特徵
218‧‧‧寬度

Claims (12)

  1. 一種自我對準圖案化的方法,該方法包括:提供一基底;形成一圖案化的心軸層其包括複數個心軸特徵,該圖案化的心軸層形成於該基底上;沉積一第一間隔層於該心軸層上,該第一間隔層包括一第一類型的材料;各向異性地蝕刻該第一間隔層以留下一第一組間隔物於該心軸特徵的側壁上;移除該心軸層;沉積一第二間隔層於該第一組間隔物的剩餘部分上,該第二間隔層包括一第二類型的材料;以及各向異性地蝕刻該第二間隔層以形成一第二組間隔物於該第一組間隔物的側壁上。
  2. 如申請專利範圍第1項所述之自我對準圖案化的方法,更包括:移除該第一間隔層的該第一組間隔物;形成一第三間隔層於該第二間隔層的剩餘部分上,該第三間隔層包括一與該第一間隔層相同類型的材料;以及實施一蝕刻製程以移除透過該第二間隔層曝露出的該基底的一部分。
  3. 如申請專利範圍第1項所述之自我對準圖案化的方法,更包括:形成一介電層於該第二間隔層上方; 實施一化學機械研磨製程以曝露該第一間隔層;以及移除該第一間隔層和該介電層。
  4. 如申請專利範圍第1項所述之自我對準圖案化的方法,其中該第一類型的材料包括一介電材料,且該介電材料包括下列至少之一:氧化物、氮化矽(SiN)、氮氧化矽(SiON)、碳化矽(SiC)、氮化碳矽(SiCN)、及碳氮氧化矽(SiOCN)。
  5. 如申請專利範圍第1項所述之自我對準圖案化的方法,其中該第二類型的材料包括一導電材料,且該第二類型的材料包括下列至少之一:非晶矽及非晶碳。
  6. 如申請專利範圍第1項所述之自我對準圖案化的方法,其中該心軸層的一寬度、該第一間隔層的一寬度以及該第二間隔層的一寬度係選擇以產生一合併特徵。
  7. 一種疊代自我對準圖案化的方法,該方法包括:提供一基底;形成一圖案化的心軸層於該基底上;沉積一第一間隔層於該心軸層上,使得該第一間隔層順應該心軸層,該第一間隔層包括一第一類型的材料;使用一第一蝕刻劑對該第一間隔層實施一第一各向異性蝕刻製程,從而留下一第一組間隔物於該心軸層的側壁上;移除該心軸層;形成一第二間隔層於該第一組間隔物上,使得該第二間隔層順應該第一組間隔物,該第二間隔層包括一與該第一類型的材料不同的第二類型的材料;以及使用一與該第一蝕刻劑不同的第二蝕刻劑對該第二間隔層 實施一第二各向異性蝕刻製程,從而形成一第二組間隔物於該第一組間隔物的側壁上。
  8. 如申請專利範圍第7項所述之疊代自我對準圖案化的方法,更包括:形成一介電層於該第二間隔層上方,使得該介電層形成於該第二間隔層的特徵之間,並且覆蓋該第二間隔層的該些特徵;實施一平坦化製程以曝露該第一間隔層;以及實施一乾式蝕刻製程以移除該第一間隔層,該乾式蝕刻製程對於該第一類型的材料與該第二類型的材料之間具有選擇性。
  9. 如申請專利範圍第8項所述之疊代自我對準圖案化的方法,更包括:形成一第三間隔層使得該第三間隔層順應該第二組間隔層的剩餘部分,該第三間隔層包括該第一類型的材料;移除該第三間隔層的一部份,以曝露該第二間隔層;以及實施一乾式蝕刻製程,以移除該第二間隔層,該乾式蝕刻製程對於該第一類型的材料與該第二類型的材料之間具有選擇性。
  10. 如申請專利範圍第7項所述之疊代自我對準圖案化的方法,其中該第一蝕刻劑選擇性移除該第一類型的材料同時完整留下該第二類型的材料,且該第二蝕刻劑選擇性移除該第二類型的材料同時完整留下該第一類型的材料。
  11. 一種疊代自我對準圖案化的方法,該方法包括: 提供一基底;形成一圖案化的心軸層於該基底上;形成一第一組間隔物於該心軸層的側壁上,該第一組間隔物包括一第一類型的材料;移除該心軸層;形成一第二組間隔物於該第一組間隔物的側壁上,該第二組間隔物包括一第二類型的材料;移除該第一組間隔物;形成一第三組間隔物於該第二組間隔物的側壁上,該第三組間隔物包括該第一類型的材料;以及移除該第二組間隔物。
  12. 如申請專利範圍第11項所述之疊代自我對準圖案化的方法,其中該第一類型的材料係一介電材料,且實施一第一乾式蝕刻製程以移除該第一組間隔物,該第一乾式蝕刻製程使用一氟基氣體;以及該第二類型的材料係一導電材料,且實施一第二乾式蝕刻製程以移除該第二組間隔物,該第二乾式蝕刻製程使用一氯基氣體。
TW104134168A 2014-10-17 2015-10-19 Methods for self-aligned patterning and iterative self-aligned patterning TWI561460B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/517,252 US9685332B2 (en) 2014-10-17 2014-10-17 Iterative self-aligned patterning

Publications (2)

Publication Number Publication Date
TW201615535A true TW201615535A (zh) 2016-05-01
TWI561460B TWI561460B (en) 2016-12-11

Family

ID=55749610

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104134168A TWI561460B (en) 2014-10-17 2015-10-19 Methods for self-aligned patterning and iterative self-aligned patterning

Country Status (4)

Country Link
US (1) US9685332B2 (zh)
KR (3) KR20160045527A (zh)
CN (2) CN106158600A (zh)
TW (1) TWI561460B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108010966A (zh) * 2016-10-28 2018-05-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9449880B1 (en) * 2015-02-26 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin patterning methods for increased process margin
US9997369B2 (en) 2016-09-27 2018-06-12 International Business Machines Corporation Margin for fin cut using self-aligned triple patterning
US10832908B2 (en) * 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US9941164B1 (en) * 2016-12-05 2018-04-10 Samsung Electronics Co., Ltd. Self-aligned block patterning with density assist pattern
US10483108B2 (en) * 2017-04-28 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate
US11411095B2 (en) 2017-11-30 2022-08-09 Intel Corporation Epitaxial source or drain structures for advanced integrated circuit structure fabrication
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
CN108511330A (zh) * 2018-03-29 2018-09-07 上海华力集成电路制造有限公司 掩模图案的形成方法、半导体器件和集成电路
US20220221636A1 (en) * 2021-01-08 2022-07-14 LabForInvention Energy-efficient window coatings transmissible to wireless communication signals and methods of fabricating thereof

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100310257B1 (ko) 1999-08-07 2001-09-29 박종섭 반도체소자의 미세 패턴의 제조방법
US6632741B1 (en) * 2000-07-19 2003-10-14 International Business Machines Corporation Self-trimming method on looped patterns
KR100387242B1 (ko) * 2001-05-26 2003-06-12 삼성전기주식회사 반도체 발광소자의 제조방법
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7807575B2 (en) * 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
KR100866723B1 (ko) 2006-12-28 2008-11-05 주식회사 하이닉스반도체 반도체 소자 및 그의 미세 패턴 형성 방법
US7972959B2 (en) 2008-12-01 2011-07-05 Applied Materials, Inc. Self aligned double patterning flow with non-sacrificial features
KR20120019917A (ko) * 2010-08-27 2012-03-07 삼성전자주식회사 반도체 장치의 제조방법
US8461053B2 (en) * 2010-12-17 2013-06-11 Spansion Llc Self-aligned NAND flash select-gate wordlines for spacer double patterning
US9384962B2 (en) * 2011-04-07 2016-07-05 United Microelectronics Corp. Oxygen treatment of replacement work-function metals in CMOS transistor gates
JP5710436B2 (ja) * 2011-09-26 2015-04-30 株式会社東芝 パターン形成方法
US20130244437A1 (en) * 2012-03-15 2013-09-19 Globalfoundries Inc. Methods of forming features on an integrated circuit product using a novel compound sidewall image transfer technique
US8836049B2 (en) * 2012-06-13 2014-09-16 United Microelectronics Corp. Semiconductor structure and process thereof
CN103779191B (zh) * 2012-10-26 2016-08-31 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108010966A (zh) * 2016-10-28 2018-05-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法

Also Published As

Publication number Publication date
CN112542377A (zh) 2021-03-23
US9685332B2 (en) 2017-06-20
CN106158600A (zh) 2016-11-23
TWI561460B (en) 2016-12-11
KR20180089339A (ko) 2018-08-08
US20160111297A1 (en) 2016-04-21
KR20170033830A (ko) 2017-03-27
KR101992569B1 (ko) 2019-06-24
KR20160045527A (ko) 2016-04-27

Similar Documents

Publication Publication Date Title
KR101992569B1 (ko) 반복적인 셀프얼라인 패터닝
US10276381B2 (en) Semiconductor methods and devices
US11854820B2 (en) Spacer etching process for integrated circuit design
US7855148B2 (en) Methods of isolating array features during pitch doubling processes and semiconductor device structures having isolated array features
US9508560B1 (en) SiARC removal with plasma etch and fluorinated wet chemical solution combination
KR101576335B1 (ko) 집적 회로 패터닝 방법
CN108321079B (zh) 半导体结构及其形成方法
CN109585305B (zh) 一种形成半导体器件的方法
KR20080113857A (ko) 반도체 소자의 미세 패턴 형성 방법
KR20150101398A (ko) 기판 내 반도체 장치의 핀 구조체 제조방법
CN107731666B (zh) 双重图形化的方法
CN108573865B (zh) 半导体器件及其形成方法
CN109559978B (zh) 半导体结构及其形成方法
CN112349588B (zh) 半导体结构的形成方法、晶体管
US9741567B2 (en) Method of forming multiple patterning spacer structures
TW200828502A (en) Method for fabricating landing plug contact in semiconductor device
CN107785252B (zh) 双重图形化的方法
CN106960816A (zh) 双重图形化的方法
US8329522B2 (en) Method for fabricating semiconductor device
KR101103809B1 (ko) 반도체 소자의 제조 방법
TW201405709A (zh) 全部在一整合蝕刻中的金屬硬遮罩